WorldWideScience

Sample records for beam sputtering source

  1. Argonne inverted sputter source

    International Nuclear Information System (INIS)

    Yntema, J.L.; Billquist, P.J.

    1983-01-01

    The emittance of the inverted sputter source with immersion lenses was measured to be about 5π mm mrad MeV/sup 1/2/ at the 75% level over a wide range of beam intensities. The use of the source in experiments with radioactive sputter targets and hydrogen loaded targets is described. Self contamination of the source is discussed

  2. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  3. Recent advancements in sputter-type heavy negative ion sources

    International Nuclear Information System (INIS)

    Alton, G.D.

    1989-01-01

    Significant advancement have been made in sputter-type negative ion sources which utilize direct surface ionization, or a plasma to form the positive ion beam used to effect sputtering of samples containing the material of interest. Typically, such sources can be used to generate usable beam intensities of a few μA to several mA from all chemically active elements, depending on the particular source and the electron affinity of the element in question. The presentation will include an introduction to the fundamental processes underlying negative ion formation by sputtering from a low work function surface and several sources will be described which reflect the progress made in this technology. 21 refs., 9 figs., 1 tab

  4. Prototype inverted sputter source for negative heavy ions

    International Nuclear Information System (INIS)

    Minehara, Eisuke; Kobayashi, Chiaki; Kikuchi, Shiroh

    1977-10-01

    A sputter source from which negative heavy ion beam is extracted through a tungsten wire and disc ionizer was built and tested. An alkali metal surface ionization gun with the ionizer is described, and also performance of the surface ionization gun and of the sputter source for negative heavy ions using the gun is reported. The gun was tested for three alkali metals, i.e. sodium, potassium and cesium. Total potassium beam current of 1-2mA was obtained at entrance aperture of the magnet. Sputtering materials and gases for producing negative heavy ions are carbon, copper, aluminium, molybdenum, oxygen and air. With carbon and leakage air, the beam intensities analyzed are: 2-5μA (at Faraday cup) and 4.6-11μA (at exit slit) for C - , 3-5μA (at Faraday cup) and 6.8-11μA (at exit slit) for 2C - , and 11-15μA (at Faraday cup) and 25-34μA (at exit slit) for O - . Total beam current at the entrance aperture was 200-400μA. (auth.)

  5. Production of rare-earth atomic negative ion beams in a cesium-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Davis, V.T.; Covington, A.M.; Duvvuri, S.S.; Kraus, R.G.; Emmons, E.D.; Kvale, T.J.; Thompson, J.S.

    2007-01-01

    The desire to study negative ion structure and negative ion-photon interactions has spurred the development of ion sources for use in research and industry. The many different types of negative ion sources available today differ in their characteristics and abilities to produce anions of various species. Thus the importance of choosing the correct type of negative ion source for a particular research or industrial application is clear. In this study, the results of an investigation on the production of beams composed of negatively-charged rare-earth ions from a cylindrical-cathode-geometry, cesium-sputter-type negative ion source are presented. Beams of atomic anions have been observed for most of the first-row rare-earth elements, with typical currents ranging from hundreds of picoamps to several nanoamps

  6. Production of intensive negative lithium beam with caesium sputter-type ion source

    Science.gov (United States)

    Lobanov, Nikolai R.

    2018-01-01

    Compounds of lithium oxide, hydroxide and carbonate, mixed with silver, were prepared for use as a cathode in caesium-sputter ion source. The intention was to determine the procedure which would produce the highest intensity negative lithium beams over extended period and with maximum stability. The chemical composition and properties of the samples were analysed using mass-spectrometry, optical microscopy, Scanning Electron Microscopy (SEM), Energy Dispersive X-ray Analyses (EDX) and Raman spectroscopy. These analyses showed that the chemical transformations with components resulted from pressing, storage and bake out were qualitatively in agreement with expectations. Intensive negative lithium ion beams >1 μA were delivered using cathodes fabricated from materials with multicomponent chemical composition when the following conditions were met: (i) use of components with moderate enthalpy of formation; (ii) low moisture content at final stage of cathode production and (iii) small concentration of water molecules in hydrate phase in the cathode mixture.

  7. Developments in broad-beam, ion-source technology and applications

    International Nuclear Information System (INIS)

    Kaufman, H.R.; Harper, J.M.E.; Cuomo, J.J.

    1982-01-01

    Recent advances in broad-beam, ion-source technology are summarized, including low-energy ion optics, improved extraction grid fabrication, a compact ion-source design and a gridless ion-source design. Recent applications have emphasized concepts such as stress modification of vapor deposited films, very low energy ion beams to minimize the physical sputtering portion in reactive etching, and the use of multiple sources and targets to sputter deposit alloys and compounds. A comprehensive critical review by the same authors appears concurrently, describing in detail the developments in broad-beam, ion-source technology 1 and the applications of these sources. 2

  8. Cornell electron beam ion source

    International Nuclear Information System (INIS)

    Kostroun, V.O.; Ghanbari, E.; Beebe, E.N.; Janson, S.W.

    1981-01-01

    An electron beam ion source (EBIS) for the production of low energy, multiply charged ion beams to be used in atomic physics experiments has been designed and constructed. An external high perveance electron gun is used to launch the electron beam into a conventional solenoid. Novel features of the design include a distributed sputter ion pump to create the ultrahigh vacuum environment in the ionization region of the source and microprocessor control of the axial trap voltage supplies

  9. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    International Nuclear Information System (INIS)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr + or Xe + ions is preferable to the most commonly used Ar + ions, since the undesirable phenomena mentioned above are minimized for the first two ions. These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs

  10. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  11. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  12. Particle beam experiments for the analysis of reactive sputtering processes in metals and polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, Carles; Grosse-Kreul, Simon; Kreiter, Oliver; Arcos, Teresa de los; Benedikt, Jan; Keudell, Achim von [RD Plasmas with Complex Interactions, Ruhr-Universität Bochum, Universitätsstr. 150, 44780 Bochum (Germany)

    2013-10-15

    A beam experiment is presented to study heterogeneous reactions relevant to plasma-surface interactions in reactive sputtering applications. Atom and ion sources are focused onto the sample to expose it to quantified beams of oxygen, nitrogen, hydrogen, noble gas ions, and metal vapor. The heterogeneous surface processes are monitored in situ by means of a quartz crystal microbalance and Fourier transform infrared spectroscopy. Two examples illustrate the capabilities of the particle beam setup: oxidation and nitriding of aluminum as a model of target poisoning during reactive magnetron sputtering, and plasma pre-treatment of polymers (PET, PP)

  13. Impurity radiation from a beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1995-01-01

    Impurity radiation, in a worst case evaluation for a beam-plasma neutron source (BPNS), does not limit performance. Impurities originate from four sources: (a) sputtering from walls by charge exchange or alpha particle bombardment, (b) sputtering from limiters, (c) plasma desorption of gas from walls and (d) injection with neutral beams. Sources (c) and (d) are negligible; adsorbed gas on the walls of the confinement chamber and the neutral beam sources is removed by the steady state discharge. Source (b) is negligible for impinging ion energies below the sputtering threshold (T i ≤ 0.025 keV on tungsten) and for power densities to the limiter within the capabilities of water cooling (30-40 MW/m 2 ); both conditions can be satisfied in the BPNS. Source (a) radiates 0.025 MW/m 2 to the neutron irradiation samples, compared with 5 to 10 MW/m 2 of neutrons; and radiates a total of 0.08 MW from the plasma column, compared with 60 MW of injected power. The particle bombardment that yields source (a) deposits an average of 2.7 MW/m 2 on the samples, within the capabilities of helium gas cooling (10 MW/m 2 ). An additional worst case for source (d) is evaluated for present day 2 to 5 s pulsed neutral beams with 0.1% impurity density and is benchmarked against 2XIIB. The total radiation would increase a factor of 1.5 to ≤ 0.12 MW, supporting the conclusion that impurities will not have a significant impact on a BPN. (author). 61 refs, 7 figs, 2 tabs

  14. Preliminary results on adhesion improvement using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    Sputtering is an established technique for depositing films with smooth surfaces and interfaces and good thick control. Ejection of articles from a condensed matter due to impingement of high energy particles, termed as sputtering was observed as early as in 1852, however, it is only recently that the complex process of sputtering system. Coating adhesion and environmental stability of the ion beam sputtering deposition coatings performed very well. High-energy high-current ion beam thin film synthesis of adhesion problems can be solved by using. Enhancement of adhesion in thin film synthesis, using high energy and high current ion beam, of mobile phones, car parts and other possible applications in the related industry Alternative technology of wet chrome plating, considering environment and unit cost, for car parts and esthetic improvement on surface of domestic appliances.

  15. Preliminary results on adhesion improvement using Ion Beam Sputtering Deposition

    International Nuclear Information System (INIS)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang

    2013-01-01

    Sputtering is an established technique for depositing films with smooth surfaces and interfaces and good thick control. Ejection of articles from a condensed matter due to impingement of high energy particles, termed as sputtering was observed as early as in 1852, however, it is only recently that the complex process of sputtering system. Coating adhesion and environmental stability of the ion beam sputtering deposition coatings performed very well. High-energy high-current ion beam thin film synthesis of adhesion problems can be solved by using. Enhancement of adhesion in thin film synthesis, using high energy and high current ion beam, of mobile phones, car parts and other possible applications in the related industry Alternative technology of wet chrome plating, considering environment and unit cost, for car parts and esthetic improvement on surface of domestic appliances

  16. Development of neutral beam source using electron beam excited plasma

    International Nuclear Information System (INIS)

    Hara, Yasuhiro; Hamagaki, Manabu; Mise, Takaya; Hara, Tamio

    2011-01-01

    A low-energy neutral beam (NB) source, which consists of an electron-beam-excited plasma (EBEP) source and two carbon electrodes, has been developed for damageless etching of ultra-large-scale integrated (ULSI) devices. It has been confirmed that the Ar ion beam energy was controlled by the acceleration voltage and the beam profile had good uniformity over the diameter of 80 mm. Dry etching of a Si wafer at the floating potential has been carried out by Ar NB. Si sputtering yield by an Ar NB clearly depends on the acceleration voltage. This result shows that the NB has been generated through the charge exchange reaction from the ion beam in the process chamber. (author)

  17. Improving depth resolutions in positron beam spectroscopy by concurrent ion-beam sputtering

    Science.gov (United States)

    John, Marco; Dalla, Ayham; Ibrahim, Alaa M.; Anwand, Wolfgang; Wagner, Andreas; Böttger, Roman; Krause-Rehberg, Reinhard

    2018-05-01

    The depth resolution of mono-energetic positron annihilation spectroscopy using a positron beam is shown to improve by concurrently removing the sample surface layer during positron beam spectroscopy. During ion-beam sputtering with argon ions, Doppler-broadening spectroscopy is performed with energies ranging from 3 keV to 5 keV allowing for high-resolution defect studies just below the sputtered surface. With this technique, significantly improved depth resolutions could be obtained even at larger depths when compared to standard positron beam experiments which suffer from extended positron implantation profiles at higher positron energies. Our results show that it is possible to investigate layered structures with a thickness of about 4 microns with significantly improved depth resolution. We demonstrated that a purposely generated ion-beam induced defect profile in a silicon sample could be resolved employing the new technique. A depth resolution of less than 100 nm could be reached.

  18. Sputtering of silicon and glass substrates with polyatomic molecular ion beams generated from ionic liquids

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Mitsuaki, E-mail: m-takeuchi@kuee.kyoto-u.ac.jp; Hoshide, Yuki; Ryuto, Hiromichi; Takaoka, Gikan H. [Photonics and Electronics Science and Engineering Center, Kyoto University, Kyotodaigaku-Katsura, Nishikyo-ku, Kyoto 615-8510 (Japan)

    2016-03-15

    The effect of irradiating 1-ethyl-3-methylimidazolium positive (EMIM{sup +}) or dicyanamide negative (DCA{sup –}) ion beams using an ionic liquid ion source was characterized concerning its sputtering properties for single crystalline Si(100) and nonalkaline borosilicate glass substrates. The irradiation of the DCA{sup –} ion beam onto the Si substrate at an acceleration voltage of 4 and 6 kV exhibited detectable sputtered depths greater than a couple of nanometers with an ion fluence of only 1 × 10{sup 15} ions/cm{sup 2}, while the EMIM{sup +} ion beam produced the same depths with an ion fluence 5 × 10{sup 15} ions/cm{sup 2}. The irradiation of a 4 kV DCA{sup –} ion beam at a fluence of 1 × 10{sup 16} ions/cm{sup 2} also yields large etching depths in Si substrates, corresponding to a sputtering yield of Si/DCA{sup – }= 10, and exhibits a smoothed surface roughness of 0.05 nm. The interaction between DCA{sup –} and Si likely causes a chemical reaction that relates to the high sputtering yield and forms an amorphous C-N capping layer that results in the smooth surface. Moreover, sputtering damage by the DCA{sup –} irradiation, which was estimated by Rutherford backscattering spectroscopy with the channeling technique, was minimal compared to Ar{sup +} irradiation at the same condition. In contrast, the glass substrates exhibited no apparent change in surface roughnesses when sputtered by the DCA{sup –} irradiation compared to the unirradiated glass substrates.

  19. Influence of ion beam and geometrical parameters on properties of Si thin films grown by Ar ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Bundesmann, Carsten; Feder, Rene; Neumann, Horst [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputtering (IBS) offers, in contrast to other physical vapour deposition techniques, such as magnetron sputtering or electron beam evaporation, the opportunity to change the properties of the layer forming particles (sputtered and scattered particles) by varying ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, emission angle). Consequently, these effects can be utilized to tailor thin film properties [1]. The goal is to study systematically the correlations between the primary and secondary parameters and, at last, the effects on the properties of Si thin films, such as optical properties, stress, surface topography and composition. First experimental results are presented for Ar-ion sputtering of Si.

  20. Towards a magnetic field separation in Ion Beam Sputtering processes

    Energy Technology Data Exchange (ETDEWEB)

    Malobabic, Sina, E-mail: s.malobabic@lzh.de [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Jupé, Marco [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Kadhkoda, Puja [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Ristau, Detlev [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany)

    2015-10-01

    Defects embedded in coatings due to particle contamination are considered as a primary factor limiting the quality of optical coatings in Ion Beam Sputtering. An approach combining the conventional Ion Beam Sputtering process with a magnetic separator in order to remove these particles from film growth is presented. The separator provides a bent axial magnetic field that guides the material flux towards the substrate positioned at the exit of the separator. Since there is no line of sight between target and substrate, the separator prevents that the particles generated in the target area can reach the substrate. In this context, optical components were manufactured that reveal a particle density three times lower than optical components which were deposited using a conventional Ion Beam Sputtering process. - Highlights: • We use bent magnetic fields to guide and separate the sputtered deposition material. • No line of sight between substrate and target prevents thin films from particles. • The transport efficiency of binary and ternary oxides is investigated. • The defect statistics of manufactured dielectric ternary multilayers are evaluated. • The phase separation leads to a drastically reduction of particle contamination.

  1. Development of ion beam sputtering techniques for actinide target preparation

    International Nuclear Information System (INIS)

    Aaron, W.S.; Zevenbergen, L.A.; Adair, H.L.

    1985-01-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed. (orig.)

  2. Development of ion beam sputtering techniques for actinide target preparation

    Science.gov (United States)

    Aaron, W. S.; Zevenbergen, L. A.; Adair, H. L.

    1985-06-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of a minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity actinides in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed.

  3. The Kansas State University revolving sputter source

    International Nuclear Information System (INIS)

    Tipping, T.N.

    1989-01-01

    It has been that the perfect ion source is one which runs in a very stable mode, runs continuously, and has the ability to change ion species without sacrificing the previous two requirements. This paper presents an approximation to the perfect ion source, the KSU Revolving Sputter Source. The source consists of an Aarhus-geometry sputter source with the addition of a rotating wheel which holds eight sputter cathodes. The wheel consists of a front plate with eight fixed Macor insulators and a back plate with eight Macor insulators held in place by the tension of eight springs. The cathode assembly consists of a copper cartridge with a threaded rod on one end and a sputter cathode with a threaded hole on the back. The cathode is screwed onto the cartridge and the whole assembly may be loaded into the wheel. A small spring on the side of the cartridge holds the assembly in the wheel

  4. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    Energy Technology Data Exchange (ETDEWEB)

    Ziani, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France); Delmotte, F., E-mail: Franck.Delmotte@InstitutOptique.fr [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Le Paven-Thivet, C. [Institut d' Electronique et de Télécommunications de Rennes (IETR) UMR-CNRS 6164, Université de Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex France (France); Meltchakov, E.; Jérome, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Roulliay, M. [Institut des Sciences Moléculaires d’Orsay UMR 8214, Univ Paris Sud, 91405 Orsay France (France); Bridou, F. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Gasc, K. [Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France)

    2014-02-03

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B{sub 4}C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source.

  5. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    International Nuclear Information System (INIS)

    Ziani, A.; Delmotte, F.; Le Paven-Thivet, C.; Meltchakov, E.; Jérome, A.; Roulliay, M.; Bridou, F.; Gasc, K.

    2014-01-01

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B 4 C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source

  6. Nanopatterning of swinging substrates by ion-beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Sun Mi; Kim, J.-S., E-mail: jskim@sm.ac.kr [Department of Physics, Sookmyung Women' s University, Seoul 140-742 (Korea, Republic of)

    2016-05-28

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, which have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.

  7. Nanopatterning of swinging substrates by ion-beam sputtering

    International Nuclear Information System (INIS)

    Yoon, Sun Mi; Kim, J.-S.

    2016-01-01

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, which have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.

  8. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  9. A Multi-Sample Cs-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Ball, J.A.; Bao, Y.; Cui, B.; Reed, C.A.; Williams, C.

    1998-01-01

    A multi-sample Cs sputter negative-ion source, equipped with a conical-geometry, W-surface-ionizer has been designed and fabricated that permits sample changes without disruption of on-line accelerator operation. Sample changing is effected by actuating an electro-pneumatic control system located at ground potential that drives an air-motor-driven sample-indexing-system mounted at high voltage; this arrangement avoids complications associated with indexing mechanisms that rely on electronic power-supplies located at high potential. In-beam targets are identified by LED indicator lights derived from a fiber-optic, Gray-code target-position sensor. Aspects of the overall source design and details of the indexing mechanism along with operational parameters, ion optics. intensities, and typical emittances for a variety of negative-ion species will be presented in this report

  10. A Multi-Sample Cs-Sputter Negative Ion Source

    Energy Technology Data Exchange (ETDEWEB)

    Alton, G.D.; Ball, J.A.; Bao, Y.; Cui, B.; Reed, C.A.; Williams, C.

    1998-10-05

    A multi-sample Cs sputter negative-ion source, equipped with a conical-geometry, W-surface-ionizer has been designed and fabricated that permits sample changes without disruption of on-line accelerator operation. Sample changing is effected by actuating an electro-pneumatic control system located at ground potential that drives an air-motor-driven sample-indexing-system mounted at high voltage; this arrangement avoids complications associated with indexing mechanisms that rely on electronic power-supplies located at high potential. In-beam targets are identified by LED indicator lights derived from a fiber-optic, Gray-code target-position sensor. Aspects of the overall source design and details of the indexing mechanism along with operational parameters, ion optics. intensities, and typical emittances for a variety of negative-ion species will be presented in this report.

  11. Ion beam sputter implantation method

    International Nuclear Information System (INIS)

    King, W.J.

    1978-01-01

    By means of ion beam atomizing or sputtering an integrally composed coating, the composition of which continuously changes from 100% of the substrate to 100% of the coating, can be surfaced on a substrate (e.g. molten quartz on plastic lenses). In order to do this in the facility there is directed a primary beam of accelerated noble gas ions on a target from the group of the following materials: SiO 2 , Al 2 O 3 , Corning Glass 7070, Corning Glass 7740 or borosilicate glass. The particles leaving the target are directed on the substrate by means of an acceleration potential of up to 10 KV. There may, however, be coated also metal layers (Ni, Co) on a mylar film resulting in a semireflecting metal film. (RW) [de

  12. Characterization of Niobium Oxide Films Deposited by High Target Utilization Sputter Sources

    International Nuclear Information System (INIS)

    Chow, R; Ellis, A D; Loomis, G E; Rana, S I

    2007-01-01

    High quality, refractory metal, oxide coatings are required in a variety of applications such as laser optics, micro-electronic insulating layers, nano-device structures, electro-optic multilayers, sensors and corrosion barriers. A common oxide deposition technique is reactive sputtering because the kinetic mechanism vaporizes almost any solid material in vacuum. Also, the sputtered molecules have higher energies than those generated from thermal evaporation, and so the condensates are smoother and denser than those from thermally-evaporated films. In the typical sputtering system, target erosion is a factor that drives machine availability. In some situations such as nano-layered capacitors, where the device's performance characteristics depends on thick layers, target life becomes a limiting factor on the maximizing device functionality. The keen interest to increase target utilization in sputtering has been addressed in a variety of ways such as target geometry, rotating magnets, and/or shaped magnet arrays. Also, a recent sputtering system has been developed that generates a high density plasma, directs the plasma beam towards the target in a uniform fashion, and erodes the target in a uniform fashion. The purpose of this paper is to characterize and compare niobia films deposited by two types of high target utilization sputtering sources, a rotating magnetron and a high density plasma source. The oxide of interest in this study is niobia because of its high refractive index. The quality of the niobia films were characterized spectroscopically in optical transmission, ellipsometrically, and chemical stoichiometry with X-ray photo-electron spectroscopy. The refractive index, extinction coefficients, Cauchy constants were derived from the ellipsometric modeling. The mechanical properties of coating density and stress are also determined

  13. Anode sputtering characteristics of the Berkeley 2.5 MV source

    International Nuclear Information System (INIS)

    Gavin, B.

    1975-10-01

    An investigation was made of a number of parameters affecting the performance of the SuperHILAC 2.5 MV Adam injector source. The description will emphasize anode sputtered materials, and will discuss in some detail calcium and gold ion production. Parameters varied include electrode geometry, support gas type and electrode bias, to optimize beam intensity and electrode consumption. A factor of three improvement with high n + gold ions appears evident with a new displaced electrode geometry. The source is operated in cold mode, is pulsed and operates usually at less than 0.6 amperes average current. Under these conditions source life has been measured to be sixteen hours at 25 percent duty factor when generating calcium ions with neon support gas

  14. Specific power reduction of an ion source due to heating and cathode sputtering of electrodes

    International Nuclear Information System (INIS)

    Hamilton, G.U.; Semashko, N.N.

    The potentialities and limitations of the water-cooled ion-optical system of the ion source designed for continuous operation of the high-power neutral beam injector are determined. The following problems are analyzed: thermal expansion and deformation of electrodes, electrode sputtering as a result of bombardment, and heat transfer to turbulent flow of water

  15. Metal negative ion beam extraction from a radio frequency ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kanda, S.; Yamada, N.; Kasuya, T.; Romero, C. F. P.; Wada, M.

    2015-04-08

    A metal ion source of magnetron magnetic field geometry has been designed and operated with a Cu hollow target. Radio frequency power at 13.56 MHz is directly supplied to the hollow target to maintain plasma discharge and induce self-bias to the target for sputtering. The extraction of positive and negative Cu ion beams have been tested. The ion beam current ratio of Cu{sup +} to Ar{sup +} has reached up to 140% when Ar was used as the discharge support gas. Cu{sup −} ion beam was observed at 50 W RF discharge power and at a higher Ar gas pressure in the ion source. Improvement of poor RF power matching and suppression of electron current is indispensable for a stable Cu{sup −} ion beam production from the source.

  16. Ion source with radiofrequency mass filter for sputtering purposes

    International Nuclear Information System (INIS)

    Sielanko, J.; Sowa, M.

    1990-01-01

    The Kaufman ion source with radiofrequency mass filter is described. The construction as well as operating characteristics of ion source are presented. The arrangement is suitable for range distribution measurements of implanted layers, where the sputtering rate has to be constant over the wide range of sputtering time. 4 figs., 17 refs. (author)

  17. Influence of source parameters on the growth of metal nanoparticles by sputter-gas-aggregation

    Science.gov (United States)

    Khojasteh, Malak; Kresin, Vitaly V.

    2017-11-01

    We describe the production of size-selected manganese nanoclusters using a magnetron sputtering/aggregation source. Since nanoparticle production is sensitive to a range of overlapping operating parameters (in particular, the sputtering discharge power, the inert gas flow rates, and the aggregation length), we focus on a detailed map of the influence of each parameter on the average nanocluster size. In this way, it is possible to identify the main contribution of each parameter to the physical processes taking place within the source. The discharge power and argon flow supply the metal vapor, and argon also plays a crucial role in the formation of condensation nuclei via three-body collisions. However, the argon flow and the discharge power have a relatively weak effect on the average nanocluster size in the exiting beam. Here the defining role is played by the source residence time, governed by the helium supply (which raises the pressure and density of the gas column inside the source, resulting in more efficient transport of nanoparticles to the exit) and by the aggregation path length.

  18. Surfactant Sputtering: Theory of a new method of surface nanostructuring by ion beams

    International Nuclear Information System (INIS)

    Kree, R.; Yasseri, T.; Hartmann, A.K.

    2009-01-01

    We present a new Monte Carlo model and a new continuum theory of surface pattern formation due to 'surfactant sputtering', i.e. erosion by ion beam sputtering including a submonolayer coverage of additional, co-sputtered surfactant atoms. This setup, which has been realized in recent experiments in a controlled way leads to a number of interesting possibilities to modify pattern forming processing conditions. We will present three simple scenarios, which illustrate some potential applications of the method. In all three cases, simple Bradley-Harper type ripples appear in the absence of surfactant, whereas new, interesting structures emerge during surfactant sputtering.

  19. Systematic investigations of low energy Ar ion beam sputtering of Si and Ag

    Energy Technology Data Exchange (ETDEWEB)

    Feder, R., E-mail: rene.feder@iom-leipzig.de [Leibniz-Institut für Oberflächenmodifizierung, Permoserstraße 15, 04318 Leipzig (Germany); Frost, F.; Neumann, H.; Bundesmann, C.; Rauschenbach, B. [Leibniz-Institut für Oberflächenmodifizierung, Permoserstraße 15, 04318 Leipzig (Germany)

    2013-12-15

    Ion beam sputter deposition (IBD) delivers some intrinsic features influencing the growing film properties, because ion properties and geometrical process conditions generate different energy and spatial distributions of the sputtered and scattered particles. Even though IBD has been used for decades, the full capabilities are not investigated systematically and specifically used yet. Therefore, a systematic and comprehensive analysis of the correlation between the properties of the ion beam, the generated secondary particles and backscattered ions and the deposited films needs to be done. A vacuum deposition chamber has been set up which allows ion beam sputtering of different targets under variation of geometrical parameters (ion incidence angle, position of substrates and analytics in respect to the target) and of ion beam parameters (ion species, ion energy) to perform a systematic and comprehensive analysis of the correlation between the properties of the ion beam, the properties of the sputtered and scattered particles, and the properties of the deposited films. A set of samples was prepared and characterized with respect to selected film properties, such as thickness and surface topography. The experiments indicate a systematic influence of the deposition parameters on the film properties as hypothesized before. Because of this influence, the energy distribution of secondary particles was measured using an energy-selective mass spectrometer. Among others, experiments revealed a high-energetic maximum for backscattered primary ions, which shifts with increasing emission angle to higher energies. Experimental data are compared with Monte Carlo simulations done with the well-known Transport and Range of Ions in Matter, Sputtering version (TRIM.SP) code [J.P. Biersack, W. Eckstein, Appl. Phys. A: Mater. Sci. Process. 34 (1984) 73]. The thicknesses of the films are in good agreement with those calculated from simulated particle fluxes. For the positions of the

  20. Sputtering yields of carbon based materials under high particle flux with low energy

    Science.gov (United States)

    Nakamura, K.; Nagase, A.; Dairaku, M.; Akiba, M.; Araki, M.; Okumura, Y.

    1995-04-01

    A new ion source which can produce high particle flux beams at low energies has been developed. This paper presents preliminary results on the sputtering yield of the carbon fiber reinforced composites (CFCs) measured with the new ion source. The sputtering yields of 1D and 2D CFCs, which are candidate materials for the divertor armour tiles, have been measured by the weight loss method under the hydrogen and deuterium particle fluxes of 2 ˜ 7 × 10 20/m 2 s at 50 ˜ 150 eV. Preferential sputtering of the matrix was observed on CFCs which included the matrix of 40 ˜ 60 w%. The energy dependence of the sputtering yields was weak. The sputtering yields of CFCs normally irradiated with deuterium beam were from 0.073 to 0.095, and were around three times larger than those with hydrogen beam.

  1. Sputtering yields of carbon based materials under high particle flux with low energy

    International Nuclear Information System (INIS)

    Nakamura, K.; Nagase, A.; Dairaku, M.; Akiba, M.; Araki, M.; Okumura, Y.

    1995-01-01

    A new ion source which can produce high particle flux beams at low energies has been developed. This paper presents preliminary results on the sputtering yield of the carbon fiber reinforced composites (CFCs) measured with the new ion source. The sputtering yields of 1D and 2D CFCs, which are candidate materials for the divertor armour tiles, have been measured by the weight loss method under the hydrogen and deuterium particle fluxes of 2 similar 7x10 20 /m 2 s at 50 similar 150 eV. Preferential sputtering of the matrix was observed on CFCs which included the matrix of 40 similar 60 w%. The energy dependence of the sputtering yields was weak. The sputtering yields of CFCs normally irradiated with deuterium beam were from 0.073 to 0.095, and were around three times larger than those with hydrogen beam. ((orig.))

  2. Differential ion beam sputtering of segregated phases in aluminum casting alloys

    International Nuclear Information System (INIS)

    Nguyen, Chuong L.; Wirtz, Tom; Fleming, Yves; Metson, James B.

    2013-01-01

    Highlights: ► Novel combination of SIMS and SPM for accurate 3D chemical mapping. ► Different removal rates of metallurgical phases by ion beam. ► Faster oxidation rate of silicon vs. aluminum at room temperature in vacuum. - Abstract: Differential sputtering of materials is an important phenomenon in materials science with many implications. One of the practical applications of this phenomenon is the modification of the interface between a substrate and coating during sputter coating of materials. Aluminum casting alloys, as common materials in many applications, are suitable candidates to investigate this phenomenon due to their phase separated microstructures. Changes at the sample surface under ion bombardment can be characterized by a range of complimentary techniques. The novel SIMS–SPM instrument used here enables a thorough investigation into the evolution of topography and composition caused by ion beam sputtering. For the alloy examined in this work, the aluminum regions are removed faster than the silicon particles. The faster oxidation rate of silicon compared to aluminum in the exposed surface can also be deduced from this study.

  3. Novel uses of a wide beam saddle field ion source for producing targets used in nuclear physics experiments at the Argonne National Laboratory ATLAS facility

    International Nuclear Information System (INIS)

    Greene, J.P.; Thomas, G.E.

    1996-01-01

    The wide beam ion sputter source has several unique characteristics which make it very useful for producing, reducing the thickness or cleaning the surface of targets needed for nuclear physics experiments. A discussion of these techniques as well as the sputter source characteristics will be given. Sputter yields obtained utilizing the source are presented for a variety of materials common to nuclear target production

  4. Estimation of Sputtering Damages on a Magnetron H- Ion Source Induced by Cs+ and H+ Ions

    CERN Document Server

    Pereira, H; Alessi, J; Kalvas, t

    2013-01-01

    An H− ion source is being developed for CERN’s Linac4 accelerator. A beam current requirement of 80 mA and a reliability above 99% during 1 year with 3 month uninterrupted operation periods are mandatory. To design a low-maintenance long life-time source, it is important to investigate and understand the wear mechanisms. A cesiated plasma discharge ion source, such as the BNL magnetron source, is a good candidate for the Linac4 ion source. However, in the magnetron source operated at BNL, the removal of material from the molybdenum cathode and the stainless steel anode cover plate surfaces is visible after extended operation periods. The observed sputtering traces are shown to result from cesium vapors and hydrogen gas ionized in the extraction region and subsequently accelerated by the extraction field. This paper presents a quantitative estimate of the ionization of cesium and hydrogen by the electron and H− beams in the extraction region of BNL’s magnetron ion source. The respective contributions o...

  5. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  6. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  7. Computer simulation of scattered ion and sputtered species effects in ion beam sputter-deposition of high temperature superconducting thin films

    International Nuclear Information System (INIS)

    Krauss, A.R.; Auciello, O.

    1992-01-01

    Ion beam sputter-deposition is a technique currently used by many groups to produce single and multicomponent thin films. This technique provides several advantages over other deposition methods, which include the capability for yielding higher film density, accurate stoichiometry control, and smooth surfaces. However, the relatively high kinetic energies associated with ion beam sputtering also lead to difficulties if the process is not properly controlled. Computer simulations have been performed to determine net deposition rates, as well as the secondary erosion, lattice damage, and gas implantation in the films, associated with primary ions scattered from elemental Y, Ba and Cu targets used to produce high temperature superconducting Y-Ba-Cu-O films. The simulations were performed using the TRIM code for different ion masses and kinetic energies, and different deposition geometries. Results are presented for primary beams of Ar + , Kr + and Xe + incident on Ba and Cu targets at 0 degrees and 45 degrees with respect to the surface normal, with the substrate positioned at 0 degrees and 45 degrees. The calculations indicate that the target composition, mass and kinetic energy of the primary beam, angle of incidence on the target, and position and orientation of the substrate affect the film damage and trapped primary beam gas by up to 5 orders of magnitude

  8. Ion beam texturing

    Science.gov (United States)

    Hudson, W. R.

    1977-01-01

    A microscopic surface texture was created by sputter-etching a surface while simultaneously sputter-depositing a lower sputter yield material onto the surface. A xenon ion-beam source was used to perform the texturing process on samples as large as 3-cm diameter. Textured surfaces have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, stainless steel, Au, and Ag. A number of texturing parameters are studied including the variation of texture with ion-beam powder, surface temperature, and the rate of texture growth with sputter etching time.

  9. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  10. Characterization of copper thin films prepared by metal self-ion beam sputter deposition

    International Nuclear Information System (INIS)

    Gotoh, Yasuhito; Amioka, Takao; Tsuji, Hiroshi; Ishikawa, Junzo

    1994-01-01

    New deposition technique, 'metal-ion beam self-sputtering' method has been developed. Using metal ions which is the same element with the target material, no contamination with noble gas atoms, which are often used in the conventional sputtering, will occur. In this paper, fundamental measurement of the film purity is reported. As a result of PIXE measurements, it was clarified that only slight amount of iron is incorporated in the films. (author)

  11. Study on the Deposition Rate Depending on Substrate Position by Using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Ion beams have been used for over thirty years to modify materials in manufacturing of integrated circuits, and improving the corrosion properties of surfaces. Recently, the requirements for ion beam processes are becoming especially challenging in the following areas : ultra shallow junction formation for LSI fabrication, low damage high rate ion beam sputtering and smoothing, high quality functional surface treatment for electrical and optical properties. Ion beam sputtering is an attractive technology for the deposition of thin film coatings onto a broad variety of polymer, Si-wafer, lightweight substrates. Demand for the decoration metal is increasing. In addition, lightweight of parts is important, because of energy issues in the industries. Although a lot of researches have been done with conventional PVD methods for the deposition of metal or ceramic films on the surface of the polymer, there are still adhesion problems.

  12. Acceleration of 14C beams in electrostatic accelerators

    International Nuclear Information System (INIS)

    Rowton, L.J.; Tesmer, J.R.

    1981-01-01

    Operational problems in the production and acceleration of 14 C beams for nuclear structure research in Los Alamos National Laboratory's Van de Graaff accelerators are discussed. Methods for the control of contamination in ion sources, accelerators and personnel are described. Sputter source target fabrication techniques and the relative beam production efficiencies of various types of bound particulate carbon sputter source targets are presented

  13. Ion sources for initial use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility (HRIBF) now under construction at the Oak Ridge National Laboratory will use the 25-MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility; the choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. A high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the HRIBF because of its low emittance, relatively high ionization efficiencies and species versatility, and because it has been engineered for remote installation, removal and servicing as required for safe handling in a high-radiation-level ISOL facility. Prototype plasma-sputter negative ion sources and negative surfaceionization sources are also under design consideration for generating negative radioactive ion beams from high electron-affinity elements. A brief review of the HRIBF will be presented, followed by a detailed description of the design features, operational characteristics, ionization efficiencies, and beam qualities (emittances) of these sources

  14. Superconducting oxide thin films by ion beam sputtering

    International Nuclear Information System (INIS)

    Kobrin, P.H.; DeNatale, J.F.; Housley, R.M.; Flintoff, J.F.; Harker, A.B.

    1987-01-01

    Superconducting thin films of ternary copper oxides from the Y-Ba-Cu-O and La-Sr-Cu-O systems have been deposited by ion beam sputtering of ceramic targets. Crystallographic orientation of the polycrystalline films has been shown to vary with substrate identity, deposition temperature and annealing temperature. The onset of the superconductive transition occurs near 90K in the Y-Ba-Cu-O system. Fe impurities of < 0.2% have been found to inhibit the superconducting transition, probably by migrating to the grain boundaries

  15. A description of a wide beam saddle field ion source used for nuclear target applications

    International Nuclear Information System (INIS)

    Greene, J.P.; Schiel, S.L.; Thomas, G.E.

    1997-01-01

    A description is given of a new, wide beam saddle field sputter source used for the preparation of targets applied in nuclear physics experiments. The ion source characteristics are presented and compared with published results obtained with other sources. Deposition rates acquired utilizing this source are given for a variety of target materials encountered in nuclear target production. New applications involving target thinning and ion milling are discussed

  16. Development of ion beam sputtering technology for mold and die

    International Nuclear Information System (INIS)

    Lee, Jaehyung; Park, J.; Lee, J.; Jil, J.; Yang, D.; Noh, Y.; You, B.; You, J.

    2003-06-01

    Ion beam sputtering technique, one of the surface modification techniques, is to reduce surface roughness of materials with selective detaching atoms and micro particles from the surface by bombarding energetic ions of a few to a few tens keV onto the materials surfaces. This technique can be applied for the surfaces that need to have sub micrometer surface roughness, and it has already been used by companies and/or Institute over the world. Although this is relatively high cost process, it has been widely demanded in the industries with developing the eco-friend equipment due to its high quality of products. In the domestic industry, it has been pointed out that the mechanical polishing technique for molds and dies is relatively expensive and does not produce the required surface roughness. Therefore, in this R and D, techniques obtained from the ion source and the ion beam irradiation techniques developed for the proton accelerator has been applied to polish the surface of molds and dies to solve the above-mentioned problems that take place during mechanical polishing. In case that ion beam polishing technique is used, we expect not only producing the high quality polished surfaces but also producing the economically valuable end-products. In this R and D project, we are aiming at establishing ion beam techniques for industrialization as well as mass production of low cost products with developing the economical instrumentation techniques. Also, as a result of this R and D it is expected that importing of precise molds and dies may be reduced and technical competitiveness will be enhanced

  17. ITO/InP solar cells: A comparison of devices fabricated by ion beam and RF sputtering of the ITO

    Science.gov (United States)

    Coutts, T. J.

    1987-01-01

    This work was performed with the view of elucidating the behavior of indium tin oxide/indium phosphide (ITO/InP) solar cells prepared by RF and ion beam sputtering. It was found that using RF sputter deposition of the ITO always leads to more efficient devices than ion beam sputter deposition. An important aspect of the former technique is the exposure of the single crystal p-InP substrates to a very low plasma power prior to deposition. Substrates treated in this manner have also been used for ion beam deposition of ITO. In this case the cells behave very similarly to the RF deposited cells, thus suggesting that the lower power plasma exposure (LPPE) is the crucial process step.

  18. Growth and surface morphology of ion-beam sputtered Ti-Ni thin films

    International Nuclear Information System (INIS)

    Rao, Ambati Pulla; Sunandana, C.S.

    2008-01-01

    Titanium-nickel thin films have been deposited on float glass substrates by ion beam sputtering in 100% pure argon atmosphere. Sputtering is predominant at energy region of incident ions, 1000 eV to 100 keV. The as-deposited films were investigated by X-ray photoelectron spectroscopy (XPS) and atomic force microscope (AFM). In this paper we attempted to study the surface morphology and elemental composition through AFM and XPS, respectively. Core level as well as valence band spectra of ion-beam sputtered Ti-Ni thin films at various Ar gas rates (5, 7 and 12 sccm) show that the thin film deposited at 3 sccm possess two distinct peaks at binding energies 458.55 eV and 464.36 eV mainly due to TiO 2 . Upon increasing Ar rate oxidation of Ti-Ni is reduced and the Ti-2p peaks begin approaching those of pure elemental Ti. Here Ti-2p peaks are observed at binding energy positions of 454.7 eV and 460.5 eV. AFM results show that the average grain size and roughness decrease, upon increasing Ar gas rate, from 2.90 μm to 0.096 μm and from 16.285 nm to 1.169 nm, respectively

  19. Nanofabrication by ion-beam sputtering fundamentals and applications

    CERN Document Server

    Som, Tapobrata

    2012-01-01

    Considerable attention has been paid to ion beam sputtering as an effective way to fabricate self-organized nano-patterns on various substrates. The significance of this method for patterning surfaces is that the technique is fast, simple, and less expensive. The possibility to create patterns on very large areas at once makes it even more attractive. This book reviews various fascinating results, understand the underlying physics of ion induced pattern formation, to highlight the potential applications of the patterned surfaces, and to explore the patterning behavior by different irradiation

  20. Orientation-dependent ion beam sputtering at normal incidence conditions in FeSiAl alloy

    International Nuclear Information System (INIS)

    Batic, Barbara Setina; Jenko, Monika

    2010-01-01

    The authors have performed Ar+ broad ion beam sputtering of a polycrystalline Fe-Si-Al alloy at normal incidence at energies varying from 6 to 10 keV. Sputtering results in the formation of etch pits, which can be classified in three shapes: triangular, rectangular, and square. As each grain of individual orientation exhibits a certain type of pattern, the etch pits were correlated with the crystal orientations by electron backscattered diffraction technique.

  1. High intensity negative proton beams from a SNICS ion source

    International Nuclear Information System (INIS)

    Evans, C.R.; Hollander, M.G.

    1991-01-01

    For the past year we have been involved in a project to develop an intense (> 100μA) negative proton beam from a SNICS (Source of Negative Ions by Cesium Sputtering) ion source. This report will cover how we accomplished and exceeded this goal by more than 40%. Included in these observations will be the following: A description of an effective method for making titanium hydride cathodes. How to overcome the limitations of the titanium hydride cathode. The modification of the SNICS source to improve output; including the installation of the conical ionizer and the gas cathode. A discussion of problems including: poisoning the proton beam with oxygen, alternative gas cathode materials, the clogging of the gas inlet, long burn-in times, and limited cathode life times. Finally, how to optimize source performance when using a gas cathode, and what is the mechanism by which a gas cathode operates; facts, fantasies, or myth

  2. Multilayered nanostructured coverings generated by a method of ion beam sputtering in vacuum

    International Nuclear Information System (INIS)

    Il'yushenko, A.F.; Andreev, M.A.; Markova, L.V.; Lisovskaya, Yu. O.

    2013-01-01

    Technological process of the formation of multilayered coverings by ion -beam sputtering is developed. At research of samples by method of AFM it is established, that the heating of a substrate leads to formation of rather large grains up to 100 nanometers in size, consisting of dispersed subgrains in the size 10-25 nanometers. The obtained results allow to say that in the course of formation of coverings interphase borders of section in one layer and section border between coat layers are formed. The use of a method of Electron Backscatter Diffraction Analysis (EBSD) has helped to confirm that the at ion-beam sputtering, ultrafine diamonds remain their diamond-like structure when migrating to the surface of the coating. It is found that with increasing number of monolayers coating microhardness increases. However, this relationship is described by a nonlinear and exponential model. (authors)

  3. Pattern evolution during ion beam sputtering; reductionistic view

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J.-H.; Kim, J.-S., E-mail: jskim@sm.ac.kr

    2016-09-15

    The development of the ripple pattern during the ion beam sputtering (IBS) is expounded via the evolution of its constituent ripples. For that purpose, we perform numerical simulation of the ripple evolution that is based on Bradley–Harper model and its non-linear extension. The ripples are found to evolve via various well-defined processes such as ripening, averaging, bifurcation and their combinations, depending on their neighboring ripples. Those information on the growth kinetics of each ripple allow the detailed description of the pattern development in real space that the instability argument and the diffraction study both made in k-space cannot provide.

  4. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  5. Gold removal rate by ion sputtering as a function of ion-beam voltage and raster size using Auger electron spectroscopy. Final report

    International Nuclear Information System (INIS)

    Boehning, C.W.

    1983-01-01

    Gold removal rate was measured as a function of ion beam voltage and raster size using Auger electron spectroscopy (AES). Three different gold thicknesses were developed as standards. Two sputter rate calibration curves were generated by which gold sputter rate could be determined for variations in ion beam voltage or raster size

  6. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  7. Comparative analysis of electrophysical properties of ceramic tantalum pentoxide coatings, deposited by electron beam evaporation and magnetron sputtering methods

    Science.gov (United States)

    Donkov, N.; Mateev, E.; Safonov, V.; Zykova, A.; Yakovin, S.; Kolesnikov, D.; Sudzhanskaya, I.; Goncharov, I.; Georgieva, V.

    2014-12-01

    Ta2O5 ceramic coatings have been deposited on glass substrates by e-beam evaporation and magnetron sputtering methods. For the magnetron sputtering process Ta target was used. X-ray diffraction measurements show that these coatings are amorphous. XPS survey spectra of the ceramic Ta2O5 coatings were obtained. All spectra consist of well-defined XPS lines of Ta 4f, 4d, 4p and 4s; O 1s; C 1s. Ta 4f doublets are typical for Ta2O5 coatings with two main peaks. Scanning electron microscopy and atomic force microscopy images of the e-beam evaporated and magnetron sputtered Ta2O5 ceramic coatings have revealed a relatively flat surface with no cracks. The dielectric properties of the tantalum pentoxide coatings have been investigated in the frequency range of 100 Hz to 1 MHz. The electrical behaviour of e-beam evaporated and magnetron sputtered Ta2O5 ceramic coatings have also been compared. The deposition process conditions principally effect the structure parameters and electrical properties of Ta2O5 ceramic coatings. The coatings deposited by different methods demonstrate the range of dielectric parameters due to the structural and stoichiometric composition changes

  8. Means for obtaining a metal ion beam from a heavy-ion cyclotron source

    Science.gov (United States)

    Hudson, E.D.; Mallory, M.L.

    1975-08-01

    A description is given of a modification to a cyclotron ion source used in producing a high intensity metal ion beam. A small amount of an inert support gas maintains the usual plasma arc, except that it is necessary for the support gas to have a heavy mass, e.g., xenon or krypton as opposed to neon. A plate, fabricated from the metal (or anything that can be sputtered) to be ionized, is mounted on the back wall of the ion source arc chamber and is bombarded by returning energetic low-charged gas ions that fail to cross the initial accelerating gap between the ion source and the accelerating electrode. Some of the atoms that are dislodged from the plate by the returning gas ions become ionized and are extracted as a useful beam of heavy ions. (auth)

  9. Ion beam sputtering of Ti: Influence of process parameters on angular and energy distribution of sputtered and backscattered particles

    Energy Technology Data Exchange (ETDEWEB)

    Lautenschläger, T. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Feder, R., E-mail: thomas.lautenschlaeger@iom-leipzig.de [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Neumann, H. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Rice, C.; Schubert, M. [Department of Electrical and Computer Engineering and Center for Nanohybrid Functional Materials, University of Nebraska-Lincoln, Lincoln, Nebraska 68588-0511 (United States); Bundesmann, C. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany)

    2016-10-15

    Highlights: • Ion beam sputter deposition under systematic variation of process parameters. • Angular and energy distribution of secondary particles. • Interaction between incorporated and impinging process gas. • Measured data compared with simulations. - Abstract: In the present study, the influence of ion energy and geometrical parameters onto the angular and energy distribution of secondary particles for sputtering a Ti target with Ar ions is investigated. The angular distribution of the particle flux of the sputtered Ti atoms was determined by the collection method, i.e. by growing Ti films and measuring their thickness. The formal description of the particle flux can be realized by dividing it into an isotropic and an anisotropic part. The experimental data show that increasing the ion energy or decreasing the ion incidence angle lead to an increase of the isotropic part, which is in good agreement with basic sputtering theory. The energy distribution of the secondary ions was measured using an energy-selective mass spectrometer. The energy distribution of the sputtered target ions shows a maximum at an energy between 10 eV and 20 eV followed by a decay proportional to E{sup −n}, which is in principle in accordance with Thompson’s theory, followed by a high energetic tail. When the sum of incidence angle and emission angle is increased, the high-energetic tail expands to higher energies and an additional peak due to direct sputtering events may occur. In the case of backscattered primary Ar ions, a maximum at an energy between 5 eV and 10 eV appears and, depending on the scattering geometry, an additional broad peak at a higher energy due to direct scattering events is observed. The center energy of the additional structure shifts systematically to higher energies with decreasing scattering angle or increasing ion energy. The experimental results are compared to calculations based on simple elastic two-particle-interaction theory and to

  10. Improvements for extending the time between maintenance periods for the Heidelberg ion beam therapy center (HIT) ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Winkelmann, Tim, E-mail: tim.winkelmann@med.uni-heidelberg.de; Cee, Rainer; Haberer, Thomas; Naas, Bernd; Peters, Andreas; Schreiner, Jochen [Heidelberger Ionenstrahl-Therapie Centrum (HIT), D -69120 Heidelberg (Germany)

    2014-02-15

    The clinical operation at the Heidelberg Ion Beam Therapy Center (HIT) started in November 2009; since then more than 1600 patients have been treated. In a 24/7 operation scheme two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce protons and carbon ions. The modification of the low energy beam transport line and the integration of a third ion source into the therapy facility will be shown. In the last year we implemented a new extraction system at all three sources to enhance the lifetime of extraction parts and reduce preventive and corrective maintenance. The new four-electrode-design provides electron suppression as well as lower beam emittance. Unwanted beam sputtering effects which typically lead to contamination of the insulator ceramics and subsequent high-voltage break-downs are minimized by the beam guidance of the new extraction system. By this measure the service interval can be increased significantly. As a side effect, the beam emittance can be reduced allowing a less challenging working point for the ion sources without reducing the effective beam performance. This paper gives also an outlook to further enhancements at the HIT ion source testbench.

  11. Selection and design of ion sources for use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.; Haynes, D.L.; Mills, G.D.; Olsen, D.K.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory will use the 25 MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility. The choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. Although direct-extraction negative ion sources are clearly desirable, the ion formation efficiencies are often too low for practical consideration; for this situation, positive ion sources, in combination with charge exchange, are the logical choice. The high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the facility because of its low emittance, relatively high ionization efficiencies, and species versatility, and because it has been engineered for remote installation, removal, and servicing as required for safe handling in a high-radiation-level ISOL facility. The source will be primarily used to generate ion beams from elements with intermediate to low electron affinities. Prototype plasma-sputter negative ion sources and negative surface-ionization sources are under design consideration for generating radioactive ion beams from high-electron-affinity elements. The design features of these sources and expected efficiencies and beam qualities (emittances) will be described in this report

  12. Molecular dynamics and experimental studies on deposition mechanisms of ion beam sputtering

    International Nuclear Information System (INIS)

    Fang, T.-H.; Chang, W.-J.; Lin, C.-M.; Lien, W.-C.

    2008-01-01

    Molecular dynamics (MD) simulation and experimental methods are used to study the deposition mechanism of ionic beam sputtering (IBS), including the effects of incident energy, incident angle and deposition temperature on the growth process of nickel nanofilms. According to the simulation, the results showed that increasing the temperature of substrate decreases the surface roughness, average grain size and density. Increasing the incident angle increases the surface roughness and the average grain size of thin film, while decreasing its density. In addition, increasing the incident energy decreases the surface roughness and the average grain size of thin film, while increasing its density. For the cases of simulation, with the substrate temperature of 500 K, normal incident angle and 14.6 x 10 -17 J are appropriate, in order to obtain a smoother surface, a small grain size and a higher density of thin film. From the experimental results, the surface roughness of thin film deposited on the substrates of Si(1 0 0) and indium tin oxide (ITO) decreases with the increasing sputtering power, while the thickness of thin film shows an approximately linear increase with the increase of sputtering power

  13. Distribution of Fe atom density in a dc magnetron sputtering plasma source measured by laser-induced fluorescence imaging spectroscopy

    Science.gov (United States)

    Shibagaki, K.; Nafarizal, N.; Sasaki, K.; Toyoda, H.; Iwata, S.; Kato, T.; Tsunashima, S.; Sugai, H.

    2003-10-01

    Magnetron sputtering discharge is widely used as an efficient method for thin film fabrication. In order to achieve the optimized fabrication, understanding of the kinetics in plasmas is essential. In the present work, we measured the density distribution of sputtered Fe atoms using laser-induced fluorescence imaging spectroscopy. A dc magnetron plasma source with a Fe target was used. An area of 20 × 2 mm in front of the target was irradiated by a tunable laser beam having a planar shape. The picture of laser-induced fluorescence on the laser beam was taken using an ICCD camera. In this way, we obtained the two-dimensional image of the Fe atom density. As a result, it has been found that the Fe atom density observed at a distance of several centimeters from the target is higher than that adjacent to the target, when the Ar gas pressure was relatively high. It is suggested from this result that some gas-phase production processes of Fe atoms are available in the plasma. This work has been performed under the 21st Century COE Program by the Ministry of Education, Culture, Sports, Science and Technology in Japan.

  14. Effects of ion sputtering on semiconductor surfaces

    International Nuclear Information System (INIS)

    McGuire, G.E.

    1978-01-01

    Ion beam sputtering has been combined with Auger spectroscopy to study the effects of ion beams on semiconductor surfaces. Observations on the mass dependence of ion selective sputtering of two component systems are presented. The effects of ion implantation are explained in terms of atomic dilution. Experimental data are presented that illustrate the super-position of selective sputtering and implantation effects on the surface composition. Sample reduction from electron and ion beam interaction is illustrated. Apparent sample changes which one might observe from the effects of residual gas contamination and electric fields are also discussed. (Auth.)

  15. Ion beam sputter coatings for laser technology

    Science.gov (United States)

    Ristau, Detlev; Gross, Tobias

    2005-09-01

    The initial motivation for the development of Ion Beam Sputtering (IBS) processes was the need for optical coatings with extremely low optical scatter losses for laser gyros. Especially, backscattering of the gyro-mirrors couples the directional modes in the ring resonator leading to the lock in effect which limits the sensitivity of the gyro. Accordingly, the first patent on IBS was approved for an aircraft company (Litton) in 1978. In the course of the rapid development of the IBS-concept during the last two decades, an extremely high optical quality could be achieved for laser coatings in the VIS- and NIR-spectral region. For example, high reflecting coatings with total optical losses below 1 ppm were demonstrated for specific precision measurement applications with the Nd:YAG-laser operating at 1.064 μm. Even though the high quality level of IBS-coatings had been confirmed in many applications, the process has not found its way into the production environment of most optical companies. Major restrictions are the relatively low rate of the deposition process and the poor lateral homogeneity of the coatings, which are related to the output characteristics of the currently available ion sources. In the present contribution, the basic principles of IBS will be discussed in the context of the demands of modern laser technology. Besides selected examples for special applications of IBS, aspects will be presented for approaches towards rapid manufacturing of coatings and the production of rugate filters on the basis of IBS-techniques.

  16. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  17. Dwell time dependent morphological transition and sputtering yield of ion sputtered Sn

    International Nuclear Information System (INIS)

    Qian, H X; Zeng, X R; Zhou, W

    2010-01-01

    Self-organized nano-scale patterns may appear on a wide variety of materials irradiated with an ion beam. Good manipulation of these structures is important for application in nanostructure fabrication. In this paper, dwell time has been demonstrated to be able to control the ripple formation and sputtering yield on Sn surface. Ripples with a wavelength of 1.7 μm were observed for a dwell time in the range 3-20 μs, whereas much finer ripples with a wavelength of 540 nm and a different orientation were observed for a shorter dwell time in the range 0.1-2 μs. The sputtering yield increases with dwell time significantly. The results provide a new basis for further steps in the theoretical description of morphology evolution during ion beam sputtering.

  18. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  19. Improving the growth of Ge/Si islands by modulating the spacing between screen and accelerator grids in ion beam sputtering deposition system

    International Nuclear Information System (INIS)

    Yang, Jie; Zhao, Bo; Wang, Chong; Qiu, Feng; Wang, Rongfei; Yang, Yu

    2016-01-01

    Highlights: • Ge islands were prepared by ion beam sputtering with different grid-to-grid gaps. • Ge islands with larger sizes and low density are observed in 1-mm-spaced samples. • The island growth was determined by sputter energy and the quality of Si buffer. • The crystalline volume fraction of buffer must be higher than 72% to grow islands. - Abstract: Ge islands were fabricated on Si buffer layer by ion beam sputtering deposition with a spacing between the screen and accelerator grids of either 1 mm or 2 mm. The Si buffer layer exhibits mixed-phase microcrystallinity for samples grown with 1 mm spacing and crystallinity for those with 2 mm spacing. Ge islands are larger and less dense than those grown on the crystalline buffer because of the selective growth mechanism on the microcrystalline buffer. Moreover, the nucleation site of Ge islands formed on the crystalline Si buffer is random. Ge islands grown at different grid-to-grid gaps are characterized by two key factors, namely, divergence half angle of ion beam and crystallinity of buffer layer. High grid-to-grid spacing results in small divergence half angle, thereby enhancing the sputtering energy and redistribution of sputtered atoms. The crystalline volume fraction of the microcrystalline Si buffer was obtained based on the integrated intensity ratio of Raman peaks. The islands show decreased density with decreasing crystalline volume fraction and are difficult to observe at crystalline volume fractions lower than 72%.

  20. Improving the growth of Ge/Si islands by modulating the spacing between screen and accelerator grids in ion beam sputtering deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jie; Zhao, Bo [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Wang, Chong, E-mail: cwang@mail.sitp.ac.cn [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Qiu, Feng; Wang, Rongfei [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Yang, Yu, E-mail: yuyang@ynu.edu.cn [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China)

    2016-11-15

    Highlights: • Ge islands were prepared by ion beam sputtering with different grid-to-grid gaps. • Ge islands with larger sizes and low density are observed in 1-mm-spaced samples. • The island growth was determined by sputter energy and the quality of Si buffer. • The crystalline volume fraction of buffer must be higher than 72% to grow islands. - Abstract: Ge islands were fabricated on Si buffer layer by ion beam sputtering deposition with a spacing between the screen and accelerator grids of either 1 mm or 2 mm. The Si buffer layer exhibits mixed-phase microcrystallinity for samples grown with 1 mm spacing and crystallinity for those with 2 mm spacing. Ge islands are larger and less dense than those grown on the crystalline buffer because of the selective growth mechanism on the microcrystalline buffer. Moreover, the nucleation site of Ge islands formed on the crystalline Si buffer is random. Ge islands grown at different grid-to-grid gaps are characterized by two key factors, namely, divergence half angle of ion beam and crystallinity of buffer layer. High grid-to-grid spacing results in small divergence half angle, thereby enhancing the sputtering energy and redistribution of sputtered atoms. The crystalline volume fraction of the microcrystalline Si buffer was obtained based on the integrated intensity ratio of Raman peaks. The islands show decreased density with decreasing crystalline volume fraction and are difficult to observe at crystalline volume fractions lower than 72%.

  1. Tests of a new axial sputtering technique in an ECRIS

    International Nuclear Information System (INIS)

    Scott, R.; Pardo, R.; Vondrasek, R.

    2012-01-01

    Axial and radial sputtering techniques have been used over the years to create beams from an ECRIS at multiple accelerator facilities. Operational experience has shown greater beam production when using the radial sputtering method versus axial sputtering. At Argonne National Laboratory, previous work with radial sputtering has demonstrated that the position of the sputter sample relative to the plasma chamber wall influences sample drain current, beam production and charge state distribution. The possibility of the chamber wall acting as a ground plane which influences the sputtering of material has been considered, and an attempt has been made to mimic this possible ground plane effect with a coaxial sample introduced from the injection end. Results of these tests will be shown as well as comparisons of outputs using the two methods. The paper is followed by the associated poster. (authors)

  2. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  3. Magnetic and structural properties of ion beam sputtered Fe–Zr–Nb–B–Cu thin films

    International Nuclear Information System (INIS)

    Modak, S.S.; Kane, S.N.; Gupta, A.; Mazaleyrat, F.; LoBue, M.; Coisson, M.; Celegato, F.; Tiberto, P.; Vinai, F.

    2012-01-01

    Magnetic and structural properties of Fe–Zr–Nb–B–Cu thin films, prepared by ion beam sputtering on silicon substrates by using a target made up of amorphous ribbons of nominal composition Fe 84 Zr 3.5 Nb 3.5 B 8 Cu 1 , are reported. As-deposited thin film samples exhibit an in-plane uniaxial anisotropy, which can be ascribed to the preparation technique and the coupling of quenched-in internal stresses. Structural measurements indicate no significant variation of the grain size with thickness and with the annealing temperature. Increase in surface irregularities with annealing temperature and oxidation results in aggregates that would act as pinning centers, affecting the magnetic properties leading to magnetic hardening of the specimens. The role of the magnetic anisotropy is thoroughly discussed with the help of magnetic and ferromagnetic resonance measurements. - Highlights: ►Ion beam sputtered Fe–Zr–Nb–B–Cu thin films of different thickness are prepared. ►Films exhibit in-plane uniaxial anisotropy, which reduces with thermal treatments. ►Increased surface roughness leads to wall pinning, increasing the coercive field.

  4. Simulation of carbon sputtering due to molecular hydrogen impact

    International Nuclear Information System (INIS)

    Laszlo, J.

    1993-01-01

    Simulated results are compared to experimental data on the sputtering yield of carbon due to atomic and to molecular hydrogen impact. The experimental sputtering yields of carbon (graphite) due to low energy hydrogen bombardment have been found to be higher than the simulated ones. Efforts are made to obtain high enough simulated yields by considering the formation of dimer, H 2 and D 2 molecules in the primary beam. The molecular beam model applies full neutralization and full dissociation at the surface. The simulation of sputtering yields of target materials up to Z 2 ≤ 30 is also included for the low primary energy regime for deuterium projectiles. It is found that, although the sputtering yields really tend to increase, the effect of molecule formation in the beam in itself cannot be made responsible for the deviation between measured and simulated sputtering yields. (orig.)

  5. Investigation of beam transmission in A 9SDH-2 3.0 MV NEC pelletron tandem accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Deoli, Naresh T.; Kummari, Venkata C.; Pacheco, Jose L.; Duggan, Jerome L.; Glass, Gary A.; McDaniel, Floyd D.; Reinert, Tilo; Rout, Bibhudutta; Weathers, Duncan L. [Ion Beam Modification And Analysis Laboratory, Department of Physics, University of North Texas, Denton, Texas 76203 (United States)

    2013-04-19

    Electrostatic tandem accelerators are widely used to accelerate ions for experiments in materials science such as high energy ion implantation, materials modification, and analyses. Many applications require high beam current as well as high beam brightness at the target; thus, maximizing the beam transmission through such electrostatic accelerators becomes important. The Ion Beam Modification and Analysis Laboratory (IBMAL) at University of North Texas is equipped with four accelerators, one of which is a 9SDH-2 3.0 MV National Electrostatic Corporation (NEC) Pelletron Registered-Sign tandem accelerator. The tandem accelerator is equipped with three ion sources: one radio frequency-He ion source (Alphatross) and two ion sources of Cs-sputter type, the SNICS II (Source of Negative Ions by Cesium Sputtering) and a Cs-sputter source for trace-element accelerator based mass spectrometry. This work presents a detailed study of the beam transmission of hydrogen, silicon, and silver ions through the accelerator using the SNICS ion source with injection energies ranging from 20 keV to 70 keV. The beam transmission is quantified for three different terminal voltages: 1.5 MV, 2.0 MV and 2.5 MV. For a given terminal voltage, it has been found that beam transmission is strongly dependent on the ion source injector potential. Details of experiments and data analysis are presented.

  6. Recent negative ion source developments

    International Nuclear Information System (INIS)

    Alton, G.D.

    1978-01-01

    This report describes recent results obtained from studies associated with the development of negative ion sources which utilize sputtering in a diffuse cesium plasma as a means of ion beam generation. Data are presented which relate negative ion yield and important operational parameters such as cesium oven temperature and sputter probe voltage from each of the following sources: (1) A source based in principle according to the University of Aarhus design and (2) an axial geometry source. The important design aspects of the sources are given--along with a list of the negative ion intensities observed to date. Also a qualitative description and interpretation of the negative ion generation mechanism in sources which utilize sputtering in the presence of cesium is given

  7. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  8. Development of an ion-beam sputtering system for depositing thin films and multilayers of alloys and compounds

    International Nuclear Information System (INIS)

    Gupta, Mukul; Gupta, Ajay; Phase, D.M.; Chaudhari, S.M.; Dasannacharya, B.A.

    2002-01-01

    An ion-beam sputtering (IBS) system has been designed and developed for preparing thin films and multilayers of various elements, alloys and compounds. The ion source used is a 3 cm diameter, hot-cathode Kaufman type 1.5 kV ion source. The system has been successfully tested with the deposition of various materials, and the deposition parameters were optimised for achieving good quality of thin films and multilayers. A systematic illustration of the versatility of the system to produce a variety of structures is done by depositing thin film of pure iron, an alloy film of Fe-Zr, a compound thin film of FeN, a multilayer of Fe-Ag and an isotopic multilayer of 57 FeZr/FeZr. Microstructural measurements on these films using X-ray and neutron reflectivity, atomic force microscopy (AFM), and X-ray diffraction are presented and discussed to reveal the quality of the microstructures obtained with the system. It is found that in general, the surface roughnesses of the film deposited by IBS are significantly smaller as compared to those for films deposited by e-beam evaporation. Further, the grain size of the IBS crystalline films is significantly refined as compared to the films deposited by e-beam evaporation. Grain refinement may be one of the reasons for reduced surface roughness. In the case of amorphous films, the roughness of the films does not increase appreciably beyond that of the substrate even after depositing thicknesses of several hundred angstroms

  9. An inverted cylindrical sputter magnetron as metal vapor supply for electron cyclotron resonance ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Electron Beam and Plasma Technology, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Silze, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2014-05-15

    An inverted cylindrical sputter magnetron device has been developed. The magnetron is acting as a metal vapor supply for an electron cyclotron resonance (ECR) ion source. FEM simulation of magnetic flux density was used to ensure that there is no critical interaction between both magnetic fields of magnetron and ECR ion source. Spatially resolved double Langmuir probe and optical emission spectroscopy measurements show an increase in electron density by one order of magnitude from 1 × 10{sup 10} cm{sup −3} to 1 × 10{sup 11} cm{sup −3}, when the magnetron plasma is exposed to the magnetic mirror field of the ECR ion source. Electron density enhancement is also indicated by magnetron plasma emission photography with a CCD camera. Furthermore, photographs visualize the formation of a localized loss-cone - area, when the magnetron is operated at magnetic mirror field conditions. The inverted cylindrical magnetron supplies a metal atom load rate of R > 1 × 10{sup 18} atoms/s for aluminum, which meets the demand for the production of a milliampere Al{sup +} ion beam.

  10. Detailed design of the RF source for the 1 MV neutral beam test facility

    International Nuclear Information System (INIS)

    Marcuzzi, D.; Palma, M. Dalla; Pavei, M.; Heinemann, B.; Kraus, W.; Riedl, R.

    2009-01-01

    In the framework of the EU activities for the development of the Neutral Beam Injector for ITER, the detailed design of the Radio Frequency (RF) driven negative ion source to be installed in the 1 MV ITER Neutral Beam Test Facility (NBTF) has been carried out. Results coming from ongoing R and D on IPP test beds [A. Staebler et al., Development of a RF-Driven Ion Source for the ITER NBI System, this conference] and the design of the new ELISE facility [B. Heinemann et al., Design of the Half-Size ITER Neutral Beam Source Test Facility ELISE, this conference] brought several modifications to the solution based on the previous design. An assessment was carried out regarding the Back-Streaming positive Ions (BSI+) that impinge on the back plates of the ion source and cause high and localized heat loads. This led to the redesign of most heated components to increase cooling, and to different choices for the plasma facing materials to reduce the effects of sputtering. The design of the electric circuit, gas supply and the other auxiliary systems has been optimized. Integration with other components of the beam source has been revised, with regards to the interfaces with the supporting structure, the plasma grid and the flexible connections. In the paper the design will be presented in detail, as well as the results of the analyses performed for the thermo-mechanical verification of the components.

  11. Simulation experiments and solar wind sputtering

    International Nuclear Information System (INIS)

    Griffith, J.E.; Papanastassiou, D.A.; Russell, W.A.; Tombrello, T.A.; Weller, R.A.

    1978-01-01

    In order to isolate the role played by solar wind sputtering from other lunar surface phenomena a number of simulation experiments were performed, including isotope abundance measurements of Ca sputtered from terrestrial fluorite and plagioclase by 50-keV and 130-keV 14 N beams, measurement of the energy distribution of U atoms sputtered with 80-keV 40 Ar, and measurement of the fraction of sputtered U atoms which stick on the surfaces used to collect these atoms. 10 references

  12. Accurate argon cluster-ion sputter yields: Measured yields and effect of the sputter threshold in practical depth-profiling by x-ray photoelectron spectroscopy and secondary ion mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Cumpson, Peter J.; Portoles, Jose F.; Barlow, Anders J.; Sano, Naoko [National EPSRC XPS User' s Service (NEXUS), School of Mechanical and Systems Engineering, Newcastle University, Newcastle upon Tyne, NE1 7RU (United Kingdom)

    2013-09-28

    Argon Gas Cluster-Ion Beam sources are likely to become widely used on x-ray photoelectron spectroscopy and secondary ion mass spectrometry instruments in the next few years. At typical energies used for sputter depth profiling the average argon atom in the cluster has a kinetic energy comparable with the sputter threshold, meaning that for the first time in practical surface analysis a quantitative model of sputter yields near threshold is needed. We develop a simple equation based on a very simple model. Though greatly simplified it is likely to have realistic limiting behaviour and can be made useful for estimating sputter yields by fitting its three parameters to experimental data. We measure argon cluster-ion sputter yield using a quartz crystal microbalance close to the sputter threshold, for silicon dioxide, poly(methyl methacrylate), and polystyrene and (along with data for gold from the existing literature) perform least-squares fits of our new sputter yield equation to this data. The equation performs well, with smaller residuals than for earlier empirical models, but more importantly it is very easy to use in the design and quantification of sputter depth-profiling experiments.

  13. Lead-silicate glass surface sputtered by an argon cluster ion beam investigated by XPS

    Czech Academy of Sciences Publication Activity Database

    Zemek, Josef; Jiříček, Petr; Houdková, Jana; Jurek, Karel; Gedeon, O.

    2017-01-01

    Roč. 469, Aug (2017), s. 1-6 ISSN 0022-3093 R&D Projects: GA MŠk LM2015088; GA ČR(CZ) GA15-12580S Institutional support: RVO:68378271 Keywords : lead-silicate glass * XPS * BO * NBO * Argon duster ion beam sputtering * X-ray irradiation Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 2.124, year: 2016

  14. Laser damage resistance of hafnia thin films deposited by electron beam deposition, reactive low voltage ion plating, and dual ion beam sputtering

    International Nuclear Information System (INIS)

    Gallais, Laurent; Capoulade, Jeremie; Natoli, Jean-Yves; Commandre, Mireille; Cathelinaud, Michel; Koc, Cian; Lequime, Michel

    2008-01-01

    A comparative study is made of the laser damage resistance of hafnia coatings deposited on fused silica substrates with different technologies: electron beam deposition (from Hf or HfO2 starting material), reactive low voltage ion plating, and dual ion beam sputtering.The laser damage thresholds of these coatings are determined at 1064 and 355 nm using a nanosecond pulsed YAG laser and a one-on-one test procedure. The results are associated with a complete characterization of the samples: refractive index n measured by spectrophotometry, extinction coefficient k measured by photothermal deflection, and roughness measured by atomic force microscopy

  15. Recent negative ion source developments at ORNL

    International Nuclear Information System (INIS)

    Alton, G.D.

    1979-01-01

    According to specifications written for the 25 MV ORNL tandem accelerator, the ion source used during acceptance testing must be capable of producing a negative ion beam of intensity greater than or equal to 7.5 μA within a phase space of less than or equal to 1 π cm-mrad (MeV)/sup 1/2/. The specifications were written prior to the development of an ion source with such capabilities but fortunately Andersen and Tykesson introduced a source in 1975 which could easily meet the specified requirements. The remarkable beam intensity and quality properties of this source has motivated the development of other sources which utilize sputtering in the presence of a diffuse cesium plasma - some of which will be described in these proceedings. This report describes results of studies associated with the development of a modified Aarhus geometry and an axial geometry source which utilize sputtering in the presence of a diffuse cesium plasma for the production of negative ion beams

  16. Beam, multi-beam and broad beam production with COMIC devices

    International Nuclear Information System (INIS)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Peaucelle, C.

    2012-01-01

    The COMIC discharge cavity is a very versatile technology. We will present new results and devices that match new applications like: molecular beams, ultra compact beam line for detectors calibrations, quartz source for on-line application, high voltage platform source, sputtering /assistance broad beams and finally, a quite new use, high energy multi-beam production for surface material modifications. In more details, we will show that the tiny discharge of COMIC can mainly produce molecular ions (H 3+ ). We will present the preliminary operation of the fully quartz ISOLDE COMIC version, in collaboration with IPN Lyon, we will present a first approach for a slit extraction version of a three cavity device, and after discussing about various extraction systems on the multi discharge device (41 cavities) we will show the low energy broad beam (2 KV) and high energy multi-beams (10 beams up to 30 KV) productions. We will specially present the different extraction systems adapted to each application and the beams characteristics which are strongly dependent on the voltage distribution of an accel-accel two electrodes extraction system. The paper is followed by the slides of the presentation. (authors)

  17. C60 ion sputtering of layered organic materials

    International Nuclear Information System (INIS)

    Shard, Alexander G.; Green, Felicia M.; Gilmore, Ian S.

    2008-01-01

    Two different organic materials, Irganox1010 and Irganox3114, were vacuum deposited as alternating layers. The layers of Irganox3114 were thin (∼2.5 nm) in comparison to the Irganox1010 (∼55 or ∼90 nm); we call these 'organic delta layers'. Both materials are shown to have identical sputtering yields and the alternating layers may be used to determine some of the important metrological parameters for cluster ion beam depth profiling of organic materials. The sputtering yield for C 60 ions is shown to diminish with ion dose. Comparison with atomic force microscopy data from films of pure Irganox1010, demonstrates that the depth resolution is limited by the development of topography. Secondary ion intensities are a well-behaved function of sputtering yield and may be employed to obtain useful analytical information. Organic delta layers are shown to be valuable reference materials for comparing the capabilities of different cluster ion sources and experimental arrangements for the depth profiling of organic materials.

  18. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  19. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Czech Academy of Sciences Publication Activity Database

    Horák, Pavel; Bejšovec, Václav; Vacík, Jiří; Lavrentiev, Vasyl; Vrňata, M.; Kormunda, M.; Daniš, S.

    2016-01-01

    Roč. 389, DEC (2016), s. 751-759 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) LM2011019 Institutional support: RVO:61389005 Keywords : Copper oxide * ion beam sputtering * Van der Pauw * nuclear reaction analysis * gas sensing Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.387, year: 2016

  20. The rf-power dependences of the deposition rate, the hardness and the corrosion-resistance of the chromium nitride film deposited by using a dual ion beam sputtering system

    International Nuclear Information System (INIS)

    Lim, Jongmin; Lee, Chongmu

    2006-01-01

    The hexavalent chromium used in chromium plating is so toxic that it is very hazardous to human body and possibly causes cancer in humans. Therefore, it is indispensable to develop an alternative deposition technique. Dependences of the deposition rate, the phases, the hardness, the surface roughness and the corrosion-resistance of CrN x deposited on the high speed steel substrate by using a dual ion beam sputtering system on the rf-power were investigated to see the feasibility of sputtering as an alternative technique for chromium plating. The dual ion beam sputtering system used in this study was designed in such a way as the primary argon ion beam and the secondary nitrogen ion beam are injected toward the target and the substrate, respectively so that the chromium atoms at the chromium target surface may not nearly react with nitrogen atoms. The hardness and the surface roughness were measured by a micro-Vicker's hardness tester and an atomic force microscope (AFM), respectively. X-ray diffraction analyses were performed to identify phases in the films. The deposition rate of CrN x depends more strongly upon the rf-power for argon ion beam than that for nitrogen ion beam. The hardness of the CrN x film is highest when the volume percent of the Cr 2 N phase in the film is highest. Amorphous films are obtained when the rf-power for nitrogen ion beam is much higher than that for argon ion beam. The CrN x film deposited by using the sputtering technique under the optimal condition provides corrosion-resistance comparable to that of the electroplated chromium

  1. Industrial ion source technology

    Science.gov (United States)

    Kaufman, H. R.; Robinson, R. S.

    1978-01-01

    An analytical model was developed to describe the development of a coned surface texture with ion bombardment and simultaneous deposition of an impurity. A mathematical model of sputter deposition rate from a beveled target was developed in conjuction with the texturing models to provide an important input to that model. The establishment of a general procedure that will allow the treatment of manay different sputtering configurations is outlined. Calculation of cross sections for energetic binary collisions was extened to Ar, Kr.. and Xe with total cross sections for viscosity and diffusion calculated for the interaction energy range from leV to 1000eV. Physical sputtering and reactive ion etching experiments provided experimental data on the operating limits of a broad beam ion source using CF4 as a working gas to produce reactive species in a sputtering beam. Magnetic clustering effects are observed when Al is seeded with Fe and sputtered with Ar(?) ions. Silicon was textured at a micron scale by using a substrate temperature of 600 C.

  2. Electron Beam Ion Sources

    CERN Document Server

    Zschornacka, G.; Thorn, A.

    2013-12-16

    Electron beam ion sources (EBISs) are ion sources that work based on the principle of electron impact ionization, allowing the production of very highly charged ions. The ions produced can be extracted as a DC ion beam as well as ion pulses of different time structures. In comparison to most of the other known ion sources, EBISs feature ion beams with very good beam emittances and a low energy spread. Furthermore, EBISs are excellent sources of photons (X-rays, ultraviolet, extreme ultraviolet, visible light) from highly charged ions. This chapter gives an overview of EBIS physics, the principle of operation, and the known technical solutions. Using examples, the performance of EBISs as well as their applications in various fields of basic research, technology and medicine are discussed.

  3. Low temperature mechanical dissipation of an ion-beam sputtered silica film

    International Nuclear Information System (INIS)

    Martin, I W; Craig, K; Bassiri, R; Hough, J; Robie, R; Rowan, S; Nawrodt, R; Schwarz, C; Harry, G; Penn, S; Reid, S

    2014-01-01

    Thermal noise arising from mechanical dissipation in oxide mirror coatings is an important limit to the sensitivity of future gravitational wave detectors, optical atomic clocks and other precision measurement systems. Here, we present measurements of the temperature dependence of the mechanical dissipation of an ion-beam sputtered silica film between 10 and 300 K. A dissipation peak was observed at 20 K and the low temperature dissipation was found to have significantly different characteristics than observed for bulk silica and silica films deposited by alternative techniques. These results are important for better understanding the underlying mechanisms of mechanical dissipation, and thus thermal noise, in the most commonly-used reflective coatings for precision measurements. (paper)

  4. Titanium carbide coatings on molybdenum by means of reactive sputtering and electron beam techniques

    International Nuclear Information System (INIS)

    Obata, T.; Aida, H.; Hirohata, Y.; Mohri, M.; Yamashina, T.

    1982-01-01

    This study is an experimental investigation of TiC coatings on Mo substrate by means of a reactive r.f. sputtering in the presence of CH 4 and a chemical reaction with interdiffusion in the sandwich structure of Ti/C/Mo by electron beam evaporation and heating. Using the reactive sputtering method, a homogeneous TiC coating with stoichiometric composition and good adhesion could be produced in the conditions of the partial pressure range of CH 4 , 2 approx. equal to 5 x 10 -4 Torr (total pressure, Psub(Ar) + Psub(CH) 4 = 5.6 x 10 -2 Torr) at 300 0 C (substrate). By using the electron beams, successively evaporated carbon and Ti on a Mo substrate was heated to 700 0 C to form a TiC surface layer on the top which then remained stable during further heating to 1000 0 C. Godd adhesion was brought about by interdiffusion to produce Mo 2 C layer between TiC layer and Mo substrate. It was also found that further heating of the coating layers subsequent to Ti evaporation on the TiC layer produced thicker TiC layer due to a chemical reaction between Ti and inner carbon layers. This could be a promising method of in situ replenishment for TiC coatings on the first wall and the limiter materials. (orig.)

  5. A compact CMA spectrometer with axially integrated hybrid electron-ion gun for ISS, AES and sputter depth profile analysis

    International Nuclear Information System (INIS)

    Gisler, E.; Bas, E.B.

    1986-01-01

    Until now, the combined application of electrons and ions in surface analysis required two separate sources for electrons and ions with different incidence angles. The newly developed hybrid electron-ion gun, however, allows bombardment of the same sample area both with noble gas ions and with electrons coming from the same direction. By integrating such a hybrid gun axially in a cylindrical mirror energy analyser (CMA) a sensitive compact single flange spectrometer obtains for ion scattering spectroscopy (ISS), Auger electron spectroscopy (AES), and sputtering all within normal beam incidence. This concept makes accurate beam centering very easy. Additionally, the bombardment from the same direction both for sputtering and for surface analysis brings advantages in depth profiling. The scattering angle for ISS has a constant value of about 138 0 . The hybrid gun delivers typically an electron beam current of -20μA at 3keV for AES, and an ion beam current of +40 nA and +1.2μA at 2 keV for ISS and sputtering respectively. The switching time between ISS, AES, and sputtering mode is about 0.1 s. So this system is best suited for automatically controlled depth profile analysis. The design and operation of this new system will be described and some applications will be discussed. (author)

  6. Specific features of fullerene-bearing thin film growth using ion beam vacuum sputtering of fullerene mixtures with B, Fe, Se, Gd and Na

    International Nuclear Information System (INIS)

    Semenov, A.P.; Semenova, I.A.; Bulina, N.V.; Lopatin, V.A.; Karmanov, N.S.; Churilov, G.N.

    2005-01-01

    A new approach to the growth of films containing fullerenes and doping elements is described. It is suggested that a cluster mechanism of the target sputtering by accelerated ions makes possible the deposition of fullerenes on a substrate with a certain probability for dopant atoms being introduced into the cavities of fullerene molecules and a higher probability of the doping element introduction between fullerene molecules. The proposed method has been experimentally implemented by using an Ar ion beam to sputter C 60 /C 70 fullerene mixtures, synthesized in a plasmachemical reactor at a pressure of 10 5 Pa and containing a doping element, i.e. Fe, Na, B, Gd or Se. Micron-thick films containing C 60 and C 70 fullerenes and the corresponding dopant element, i.e. Fe, Na, B, Gd or Se, were grown from dopant-containing fullerene mixtures by ion beam sputtering in a vacuum of ∼10 -2 Pa [ru

  7. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  8. Sputtering as a means of depth profiling

    International Nuclear Information System (INIS)

    Whitton, J.L.

    1978-01-01

    Probably the most common technique for determination of depth profiles by sputtering is that of secondary ion mass spectrometry. Many problems occur in the important step of converting the time (of sputtering) scale to a depth scale and these problems arise before the secondary ions are ejected. An attempt is made to present a comprehensive list of the effects that should be taken into consideration in the use of sputtering as a means of depth profiling. The various parameters liable to affect the depth profile measurements are listed in four sections: beam conditions; target conditions; experimental environment; and beam-target interactions. The effects are discussed and where interplay occurs, cross-reference is made and examples are provided where possible. (B.R.H.)

  9. Laser sputter neutral mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    King, B.V.; Clarke, M.; Hu, H.; Betz [Newcastle Univ., NSW (Australia). Dept. of Physics

    1993-12-31

    Laser sputter neutral mass spectrometry (LSNMS) is an emerging technique for highly sensitive surface analysis. In this technique a target is bombarded with a pulsed beam of keV ions. The sputtered particles are intercepted by a high intensity pulsed laser beam above the surface and ionised with almost 100% efficiency. The photions may then be mass analysed using a quadrupole or, more commonly, using time of flight (TOF) techniques. In this method photoions are extracted from the ionisation region, accelerated to a known energy E{sub o} and strike a channelplate detector a distance `d` away. The flight time `t` of the photoions is then related to their mass by `d` {radical}m / {radical} 2E{sub o} so measurement of `t` allows mass spectra to be obtained. It is found that LSNMS is an emerging technique of great sensitivity and flexibility, useful for both applied analysis and to investigate basic sputtering processes. 4 refs., 3 figs.

  10. Laser sputter neutral mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    King, B V; Clarke, M; Hu, H; Betz, [Newcastle Univ., NSW (Australia). Dept. of Physics

    1994-12-31

    Laser sputter neutral mass spectrometry (LSNMS) is an emerging technique for highly sensitive surface analysis. In this technique a target is bombarded with a pulsed beam of keV ions. The sputtered particles are intercepted by a high intensity pulsed laser beam above the surface and ionised with almost 100% efficiency. The photions may then be mass analysed using a quadrupole or, more commonly, using time of flight (TOF) techniques. In this method photoions are extracted from the ionisation region, accelerated to a known energy E{sub o} and strike a channelplate detector a distance `d` away. The flight time `t` of the photoions is then related to their mass by `d` {radical}m / {radical} 2E{sub o} so measurement of `t` allows mass spectra to be obtained. It is found that LSNMS is an emerging technique of great sensitivity and flexibility, useful for both applied analysis and to investigate basic sputtering processes. 4 refs., 3 figs.

  11. Ion beam production with sub-milligram samples of material from an ECR source for AMS

    Energy Technology Data Exchange (ETDEWEB)

    Scott, R., E-mail: scott@phy.anl.gov; Palchan-Hazan, T.; Pardo, R.; Vondrasek, R. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Bauder, W. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Nuclear Structure Laboratory, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2016-02-15

    Current accelerator mass spectrometry experiments at the Argonne Tandem Linac Accelerator System facility at Argonne National Laboratory push us to improve the ion source performance with a large number of samples and a need to minimize cross contamination. These experiments can require the creation of ion beams from as little as a few micrograms of material. These low concentration samples push the limit of our current efficiency and stability capabilities of the electron cyclotron resonance ion source. A combination of laser ablation and sputtering techniques coupled with a newly modified multi-sample changer has been used to meet this demand. We will discuss performance, stability, and consumption rates as well as planned improvements.

  12. Geometric considerations in magnetron sputtering

    International Nuclear Information System (INIS)

    Thornton, J.A.

    1982-01-01

    The recent development of high performance magnetron type discharge sources has greatly enhaced the range of coating applications where sputtering is a viable deposition process. Magnetron sources can provide high current densities and sputtering rates, even at low pressures. They have much reduced substrate heating rates and can be scaled to large sizes. Magnetron sputter coating apparatuses can have a variety of geometric and plasma configurations. The target geometry affects the emission directions of both the sputtered atoms and the energetic ions which are neutralized and reflected at the cathode. This fact, coupled with the long mean free particle paths which are prevalent at low pressures, can make the coating properties very dependent on the apparatus geometry. This paper reviews the physics of magnetron operation and discusses the influences of apparatus geometry on the use of magnetrons for rf sputtering and reactive sputtering, as well as on the microstructure and internal stresses in sputtered metallic coatings. (author) [pt

  13. Particle beam source development

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Electron beam research directed toward providing improved in-diode pinched beam sources and establishing the efficiency and feasibility for superposition of many beams progressed in three major areas. Focusing stability has been improved from large effective aspect ratio (radius/gap of emitting surface) diodes. Substantial progress toward establishing the feasibility of combining beams guided along ionized current-carrying channels has been made. Two beams have been transported and overlayed on a target. Theoretical and experimental measurements on channel formation have resulted in specifications for the capacitor bank channel initiation system for a 12-beam combination experiment on Proto II. An additional area of beam research has been the development of a small pulsed X-ray source to yield high quality flash X-radiography of pellets. A source yielding approximately 100-μm resolution of objects has been demonstrated and work continues to improve the convenience and reliability of this source. The effort to extend the capability of higher power conventional pulse power generators to accelerate ions (rather than electrons), and assess the feasibility of this technology variation for target experiments and reactors has progressed. Progress toward development of a multistage accelerator for ions with pulse power technology centered on development of a new laboratory facility and design and procurement of hardware for a five-stage test apparatus for the Pulslac concept

  14. Ion source operating at the Unilac injector

    International Nuclear Information System (INIS)

    Mueller, M.; Jacoby, W.

    1977-01-01

    The Unilac injection velocity (v = 0.005 X c) and the maximum potential difference between ion source and ground (320 kV) limit positive ion acceleration to a specific charge of not less than 0.0336 (corresponding to 238 U 8+ ). Ion sources qualified for the Unilac must be able to produce a charge spectrum with high intensities in the required charge states (1 - 10 particle μA). This requirement is satisfied for all elements by the Dubna type heated cathode penning ion source. Obviously, for isotopes of low natural abundance high beam currents can only be produced by employing enriched isotopes as feeding materials. Presently the injector is equipped with one penning ion source and one duoplasmatron ion source. 90% of the noble gas ions are provided by the duoplasmatron ion source, whereas ion beams of solids are exclusively furnished by the penning source. In particular, this latter source is well suited and highly developped for producing ion beams from solids by means of the sputtering process. In the future, however, we intend to produce metal ions up to a mass of 100 by a sputter version of the duoplasmatron. (orig.) [de

  15. Combined sputtering yield and surface topography development studies on Si

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Lewis, G.W.; Whitton, J.L.

    1981-01-01

    The sputtering yield-incidence angle function has been measured for 8 keV Ar + ions incident on Si by direct scanning electron microscope observation of the depths of sputtered craters on substrate boundaries. This function displays a maximum sputtering yield at an angle thetasub(p) approximately equal to 40 0 to the surface normal. The sequential ion fluence dependence of features developed beneath local surface contaminant was then studied, quasi dynamically, in the same on-line ion source-S.E.M. system. During erosion of the contaminant a steeply elevated pillar of Si forms, which then transforms to a cone, again of high elevation angle >>thetasub(p). This cone is gradually eroded into the surrounding surface with no special significance associated with orientations of angle thetasub(p). Pedal depressions surrounding the pillar-cone system are also noted. The reasons for these observations and their relevance to ion beam surface channel etching are discussed. (Auth.)

  16. Deuterium sputtering of Li and Li-O films

    Science.gov (United States)

    Nelson, Andrew; Buzi, Luxherta; Kaita, Robert; Koel, Bruce

    2017-10-01

    Lithium wall coatings have been shown to enhance the operational plasma performance of many fusion devices, including NSTX and other tokamaks, by reducing the global wall recycling coefficient. However, pure lithium surfaces are extremely difficult to maintain in experimental fusion devices due to both inevitable oxidation and codeposition from sputtering of hot plasma facing components. Sputtering of thin lithium and lithium oxide films on a molybdenum target by energetic deuterium ion bombardment was studied in laboratory experiments conducted in a surface science apparatus. A Colutron ion source was used to produce a monoenergetic, mass-selected ion beam. Measurements were made under ultrahigh vacuum conditions as a function of surface temperature (90-520 K) using x-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and temperature programmed desorption (TPD). Results are compared with computer simulations conducted on a temperature-dependent data-calibrated (TRIM) model.

  17. Ion source techniques for high-speed processing of material surface by ion beams

    International Nuclear Information System (INIS)

    Ishikawa, Junzo

    1990-01-01

    The present paper discusses some key or candidate techniques for future ion source development and such ion sources developed by the author. Several types of microwave ion sources for producing low charge state ions have been developed in Japan. When a microwave plasma cathode developed by the author is adapted to a Kaufman type ion source, the electron emission currents are found to be 2.5 A for argon gas and 0.5-0.9 A for oxygen gas. An alternative ionization method for metal atoms is strongly required for high-speed processing of material surface by metal-ion beams. Detailed discussion is made of collisional ionization of vaporized atoms, and negative-ion production (secondary negative-ion emission by sputtering). An impregnated electrode type liquid-metal ion source developed by the author, which has a porous tip structure, is described. The negative-ion production efficiency is quite high. The report also presents a neutral and ionized alkaline-metal bombardment type heavy negative-ion source, which consists of a cesium plasma ion source, suppressor, target electrode, negative-ion extraction electrode, and einzel lens. (N.K.)

  18. Effect of argon ion beam voltages on the microstructure of aluminum nitride films prepared at room temperature by a dual ion beam sputtering system

    International Nuclear Information System (INIS)

    Chen, H.-Y.; Han Sheng; Cheng, C.-H.; Shih, H.C.

    2004-01-01

    Aluminum nitride (AlN) films were successfully deposited at room temperature onto p-type (1 0 0) silicon wafers by manipulating argon ion beam voltages in a dual ion beam sputtering (DIBS). X-ray diffraction spectra showed that aluminum nitride films could be synthesized above 800 V. The (0 0 2) orientation was dominant at 800 V, above which the orientation was random. The atomic force microscope (AFM) images displayed a relatively smooth surface with the root-mean-square roughness of 2-3 nm, where this roughness decreased with argon ion beam voltage. The Al 2p 3/2 and N 1s spectra indicated that both the aluminum-aluminum bond and aluminum-nitrogen bond appeared at 600 V, above which only the aluminum-nitrogen bond was detected. Moreover, the atomic concentration in aluminum nitride films was concentrated in aluminum-rich phases in all cases. Nevertheless, the aluminum concentration markedly increased with argon ion beam voltages below 1000 V, above which the concentration decreased slightly. The correlation between the microstructure of aluminum nitride films and argon ion beam voltages is also discussed

  19. Simulating discrete models of pattern formation by ion beam sputtering

    International Nuclear Information System (INIS)

    Hartmann, Alexander K; Kree, Reiner; Yasseri, Taha

    2009-01-01

    A class of simple, (2+1)-dimensional, discrete models is reviewed, which allow us to study the evolution of surface patterns on solid substrates during ion beam sputtering (IBS). The models are based on the same assumptions about the erosion process as the existing continuum theories. Several distinct physical mechanisms of surface diffusion are added, which allow us to study the interplay of erosion-driven and diffusion-driven pattern formation. We present results from our own work on evolution scenarios of ripple patterns, especially for longer timescales, where nonlinear effects become important. Furthermore we review kinetic phase diagrams, both with and without sample rotation, which depict the systematic dependence of surface patterns on the shape of energy depositing collision cascades after ion impact. Finally, we discuss some results from more recent work on surface diffusion with Ehrlich-Schwoebel barriers as the driving force for pattern formation during IBS and on Monte Carlo simulations of IBS with codeposition of surfactant atoms.

  20. Determining the sputter yields of molybdenum in low-index crystal planes via electron backscattered diffraction, focused ion beam and atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Huang, H.S., E-mail: 160184@mail.csc.com.tw [New Materials Research and Development Department, China Steel Corporation, 1 Chung Kang Road, Hsiao Kang, Kaohsiung 812, Taiwan, ROC (China); Chiu, C.H.; Hong, I.T.; Tung, H.C. [New Materials Research and Development Department, China Steel Corporation, 1 Chung Kang Road, Hsiao Kang, Kaohsiung 812, Taiwan, ROC (China); Chien, F.S.-S. [Department of Physics, Tunghai University, 1727, Sec. 4, Xitun Dist., Taiwan Boulevard, Taichung 407, Taiwan, ROC (China)

    2013-09-15

    Previous literature has used several monocrystalline sputtering targets with various crystalline planes, respectively, to investigate the variations of the sputter yield of materials in different crystalline orientations. This study presents a method to measure the sputtered yields of Mo for the three low-index planes (100), (110), and (111), through using an easily made polycrystalline target. The procedure was firstly to use electron backscattered diffraction to identify the grain positions of the three crystalline planes, and then use a focused ion beam to perform the micro-milling of each identified grain, and finally the sputter yields were calculated from the removed volumes, which were measured by atomic force microscope. Experimental results showed that the sputter yield of the primary orientations for Mo varied as Y{sub (110)} > Y{sub (100)} > Y{sub (111)}, coincidental with the ranking of their planar atomic packing densities. The concept of transparency of ion in the crystalline substance was applied to elucidate these results. In addition, the result of (110) orientation exhibiting higher sputter yield is helpful for us to develop a Mo target with a higher deposition rate for use in industry. By changing the deformation process from straight rolling to cross rolling, the (110) texture intensity of the Mo target was significantly improved, and thus enhanced the deposition rate. - Highlights: • We used EBSD, FIB and AFM to measure the sputter yields of Mo in low-index planes. • The sputter yield of the primary orientations for Mo varied as Y{sub (110)} > Y{sub (100)} > Y{sub (111)}. • The transparency of ion was used to elucidate the differences in the sputter yield. • We improved the sputter rate of polycrystalline Mo target by adjusting its texture.

  1. Novel magnetic controlled plasma sputtering method

    International Nuclear Information System (INIS)

    Axelevich, A.; Rabinovich, E.; Golan, G.

    1996-01-01

    A novel method to improve thin film vacuum sputtering is presented. This method is capable of controlling the sputtering plasma via an external set of magnets, in a similar fashion to the tetrode sputtering method. The main advantage of the Magnetic Controlled Plasma Sputtering (MCPS) is its ability to independently control all deposition parameters without any interference or cross-talk. Deposition rate, using the MCPS, is found to be almost twice the rate of triode and tetrode sputtering techniques. Experimental results using the MCPS to deposit Ni layers are described. It was demonstrated that using the MCPS method the ion beam intensity at the target is a result of the interaction of a homogeneous external magnetic field and the controlling magnetic fields. The MCPS method was therefore found to be beneficial for the production of pure stoichiometric thin solid films with high reproducibility. This method could be used for the production of compound thin films as well. (authors)

  2. Design and capabilities of an experimental setup based on magnetron sputtering for formation and deposition of size-selected metal clusters on ultra-clean surfaces

    DEFF Research Database (Denmark)

    Hartmann, Hannes; Popok, Vladimir; Barke, Ingo

    2012-01-01

    The design and performance of an experimental setup utilizing a magnetron sputtering source for production of beams of ionized size-selected clusters for deposition in ultra-high vacuum is described. For the case of copper cluster formation the influence of different source parameters is studied...

  3. Sputtering calculations with the discrete ordinated method

    International Nuclear Information System (INIS)

    Hoffman, T.J.; Dodds, H.L. Jr.; Robinson, M.T.; Holmes, D.K.

    1977-01-01

    The purpose of this work is to investigate the applicability of the discrete ordinates (S/sub N/) method to light ion sputtering problems. In particular, the neutral particle discrete ordinates computer code, ANISN, was used to calculate sputtering yields. No modifications to this code were necessary to treat charged particle transport. However, a cross section processing code was written for the generation of multigroup cross sections; these cross sections include a modification to the total macroscopic cross section to account for electronic interactions and small-scattering-angle elastic interactions. The discrete ordinates approach enables calculation of the sputtering yield as functions of incident energy and angle and of many related quantities such as ion reflection coefficients, angular and energy distributions of sputtering particles, the behavior of beams penetrating thin foils, etc. The results of several sputtering problems as calculated with ANISN are presented

  4. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  5. Low-damage high-throughput grazing-angle sputter deposition on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.-T.; Gajek, M.; Raoux, S. [IBM Thomas J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Casu, E. A. [IBM Thomas J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Politecnico di Torino, Turin 10129 (Italy)

    2013-07-15

    Despite the prevalence of sputter deposition in the microelectronics industry, it has seen very limited applications for graphene electronics. In this letter, we report systematic investigation of the sputtering induced damages in graphene and identify the energetic sputtering gas neutrals as the primary cause of graphene disorder. We further demonstrate a grazing-incidence sputtering configuration that strongly suppresses fast neutral bombardment and retains graphene structure integrity, creating considerably lower damage than electron-beam evaporation. Such sputtering technique yields fully covered, smooth thin dielectric films, highlighting its potential for contact metals, gate oxides, and tunnel barriers fabrication in graphene device applications.

  6. Low-damage high-throughput grazing-angle sputter deposition on graphene

    International Nuclear Information System (INIS)

    Chen, C.-T.; Gajek, M.; Raoux, S.; Casu, E. A.

    2013-01-01

    Despite the prevalence of sputter deposition in the microelectronics industry, it has seen very limited applications for graphene electronics. In this letter, we report systematic investigation of the sputtering induced damages in graphene and identify the energetic sputtering gas neutrals as the primary cause of graphene disorder. We further demonstrate a grazing-incidence sputtering configuration that strongly suppresses fast neutral bombardment and retains graphene structure integrity, creating considerably lower damage than electron-beam evaporation. Such sputtering technique yields fully covered, smooth thin dielectric films, highlighting its potential for contact metals, gate oxides, and tunnel barriers fabrication in graphene device applications

  7. Low-damage high-throughput grazing-angle sputter deposition on graphene

    Science.gov (United States)

    Chen, C.-T.; Casu, E. A.; Gajek, M.; Raoux, S.

    2013-07-01

    Despite the prevalence of sputter deposition in the microelectronics industry, it has seen very limited applications for graphene electronics. In this letter, we report systematic investigation of the sputtering induced damages in graphene and identify the energetic sputtering gas neutrals as the primary cause of graphene disorder. We further demonstrate a grazing-incidence sputtering configuration that strongly suppresses fast neutral bombardment and retains graphene structure integrity, creating considerably lower damage than electron-beam evaporation. Such sputtering technique yields fully covered, smooth thin dielectric films, highlighting its potential for contact metals, gate oxides, and tunnel barriers fabrication in graphene device applications.

  8. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  9. Secondary ion formation during electronic and nuclear sputtering of germanium

    Science.gov (United States)

    Breuer, L.; Ernst, P.; Herder, M.; Meinerzhagen, F.; Bender, M.; Severin, D.; Wucher, A.

    2018-06-01

    Using a time-of-flight mass spectrometer attached to the UNILAC beamline located at the GSI Helmholtz Centre for Heavy Ion Research, we investigate the formation of secondary ions sputtered from a germanium surface under irradiation by swift heavy ions (SHI) such as 5 MeV/u Au by simultaneously recording the mass spectra of the ejected secondary ions and their neutral counterparts. In these experiments, the sputtered neutral material is post-ionized via single photon absorption from a pulsed, intensive VUV laser. After post-ionization, the instrument cannot distinguish between secondary ions and post-ionized neutrals, so that both signals can be directly compared in order to investigate the ionization probability of different sputtered species. In order to facilitate an in-situ comparison with typical nuclear sputtering conditions, the system is also equipped with a conventional rare gas ion source delivering a 5 keV argon ion beam. For a dynamically sputter cleaned surface, it is found that the ionization probability of Ge atoms and Gen clusters ejected under electronic sputtering conditions is by more than an order of magnitude higher than that measured for keV sputtered particles. In addition, the mass spectra obtained under SHI irradiation show prominent signals of GenOm clusters, which are predominantly detected as positive or negative secondary ions. From the m-distribution for a given Ge nuclearity n, one can deduce that the sputtered material must originate from a germanium oxide matrix with approximate GeO stoichiometry, probably due to residual native oxide patches even at the dynamically cleaned surface. The results clearly demonstrate a fundamental difference between the ejection and ionization mechanisms in both cases, which is interpreted in terms of corresponding model calculations.

  10. Quartz crystal microbalance-based system for high-sensitivity differential sputter yield measurements

    International Nuclear Information System (INIS)

    Rubin, B.; Topper, J. L.; Farnell, C. C.; Yalin, A. P.

    2009-01-01

    We present a quartz crystal microbalance-based system for high sensitivity differential sputter yield measurements of different target materials due to ion bombardment. The differential sputter yields can be integrated to find total yields. Possible ion beam conditions include ion energies in the range of 30-350 eV and incidence angles of 0 deg. - 70 deg. from normal. A four-grid ion optics system is used to achieve a collimated ion beam at low energy (<100 eV) and a two-grid ion optics is used for higher energies (up to 750 eV). A complementary weight loss approach is also used to measure total sputter yields. Validation experiments are presented that confirm high sensitivity and accuracy of sputter yield measurements.

  11. Thirty-centimeter-diameter ion milling source

    International Nuclear Information System (INIS)

    Robinson, R.S.

    1978-01-01

    A 30 cm beam diameter ion source has been designed and fabricated for micromachining and sputtering applications. An argon ion current density of 1 mA/cm 2 at 500 eV ion energy was selected as a design operating condition. The completed ion source met the design criteria at this operating condition with a uniform and well-collimated beam having an average variation in current density of +- 5% over the center of 20 cm of the beam. This ion source has a multipole magnetic field that employs permanent magnets between permeable pole pieces. Langmuir probe surveys of the source plasma support the design concepts of a multipole field and a circumferential cathode to enhance plasma uniformity

  12. Comparison of the Al back contact deposited by sputtering, e-beam, or thermal evaporation for inverted perovskite solar cells

    Science.gov (United States)

    Wahl, Tina; Hanisch, Jonas; Ahlswede, Erik

    2018-04-01

    In this work, we present inverted perovskite solar cells with Al top electrodes, which were deposited by three different methods. Besides the widely used thermal evaporation of Al, we also used the industrially important high deposition rate processes sputtering and electron beam evaporation for aluminium electrodes and examined the influence of the deposition method on the solar cell performance. The current-voltage characteristics of as grown solar cells with sputtered and e-beam Al electrode show an s-shape due to damage done to the organic electronic transport layers (ETL) during Al deposition. It can be cured by a short annealing step at a moderate temperature so that fill factors  >60% and power conversion efficiencies of almost 12% with negligible hysteresis can be achieved. While solar cells with thermally evaporated Al electrode do not show an s-shape, they also exhibit a clear improvement after a short annealing step. In addition, we varied the thickness of the ETL consisting of a double layer ([6,6]-Phenyl-C61-butyric acid methyl ester and bathocuproine) and investigated the influence on the solar cell parameters for the three different Al deposition methods, which showed distinct dependencies on ETL thickness.

  13. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  14. Focused particle beam nano-machining: the next evolution step towards simulation aided process prediction

    International Nuclear Information System (INIS)

    Plank, Harald

    2015-01-01

    During the last decade, focused ion beam processing has been developed from traditionally used Ga + liquid ion sources towards higher resolution gas field ion sources (He + and Ne + ). Process simulations not only improve the fundamental understanding of the relevant ion–matter interactions, but also enable a certain predictive power to accelerate advances. The historic ‘gold’ standard in ion–solid simulations is the SRIM/TRIM Monte Carlo package released by Ziegler, Ziegler and Biersack 2010 Nucl. Instrum. Methods B 268 1818–23. While SRIM/TRIM is very useful for a myriad of applications, it is not applicable for the understanding of the nanoscale evolution associated with ion beam nano-machining as the substrate does not evolve with the sputtering process. As a solution for this problem, a new, adapted simulation code is briefly overviewed and finally addresses these contributions. By that, experimentally observed Ne + beam sputter profiles can be explained from a fundamental point of view. Due to their very good agreement, these simulations contain the potential for computer aided optimization towards predictable sputter processes for different nanotechnology applications. With these benefits in mind, the discussed simulation approach represents an enormous step towards a computer based master tool for adaptable ion beam applications in the context of industrial applications. (viewpoint)

  15. Study of Sb/SnO{sub 2} bi-layer films prepared by ion beam sputtering deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chun-Min [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Chun-Chieh [Department of Electrical Engineering, Cheng Shiu University, No. 840, Chengcing Road, Niaosong Township, Kaohsiung 833, Taiwan, ROC (China); Kuo, Jui-Chao [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Jow-Lay, E-mail: jlh888@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    In the present work, bi-layer thin films of Sb/SnO{sub 2} were produced on unheated glass substrates using ion beam sputtering (IBS) technique without post annealing treatment. The thickness of Sb layers was varied from 2 to 10 nm and the Sb layers were deposited on SnO{sub 2} layers having thicknesses of 40 nm to 115 nm. The effect of thickness was studied on the morphological, electrical and optical properties. The Sb/SnO{sub 2} bi-layer resulted in lowering the electrical resistivity as well as reducing the optical transmittance. However, the optical and electrical properties of the bi-layer films were mainly influenced by the thickness of Sb layers due to progressive transfer in structures from aggregate to continuous films. The bi-layer films show the electrical resistivity of 1.4 × 10{sup −3} Ω cm and an optical transmittance of 26% for Sb film having 10 nm thickness. - Highlights: • Bi-layer Sb/SnO{sub 2} structures were synthesized by ion beam sputtering (IBS) technique. • The 6 nm-thick Sb film is a transition region in this study. • The conductivity of the bi-layer films is increased as Sb thickness increases. • The transmittance of the bi-layer films is decreased as Sb thickness increases.

  16. CH2 molecular beam source

    International Nuclear Information System (INIS)

    Porter, R.A.R.; Grosser, A.E.

    1980-01-01

    A molecular beam source of CH 2 is described. Coaxial beams of methylene halide and alkali metal react and the mixture is formed into a molecular beam. Passage through a mechanical velocity selector rotating at a suitably high speed purifies the beam, separating light, fast CH 2 from heavier, slower contaminating species

  17. Nickel oxide films by thermal annealing of ion-beam-sputtered Ni: Structure and electro-optical properties

    Czech Academy of Sciences Publication Activity Database

    Horák, Pavel; Remeš, Zdeněk; Bejšovec, Václav; Vacík, Jiří; Daniš, S.; Kormunda, M.

    2017-01-01

    Roč. 640, č. 10 (2017), s. 52-59 ISSN 0040-6090 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR(CZ) GA14-05053S; GA MŠk LM2015056 Institutional support: RVO:61389005 ; RVO:68378271 Keywords : NiO * ion beam sputtering * thermal annealing * nuclear analytical methods * optical properties Subject RIV: JK - Corrosion ; Surface Treatment of Materials; BM - Solid Matter Physics ; Magnetism (FZU-D) OBOR OECD: Coating and films; Condensed matter physics (including formerly solid state physics, supercond.) (FZU-D) Impact factor: 1.879, year: 2016

  18. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  19. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  20. XPS investigation of monatomic and cluster argon ion sputtering of tantalum pentoxide

    Energy Technology Data Exchange (ETDEWEB)

    Simpson, Robin, E-mail: r.simpson@surrey.ac.uk [The Surface Analysis Laboratory, Department of Mechanical Engineering Sciences, University of Surrey (United Kingdom); Thermo Scientific, East Grinstead (United Kingdom); White, Richard G. [Thermo Scientific, East Grinstead (United Kingdom); Watts, John F.; Baker, Mark A. [The Surface Analysis Laboratory, Department of Mechanical Engineering Sciences, University of Surrey (United Kingdom)

    2017-05-31

    Highlights: • Ion beam induced oxide reduction from monatomic and gas cluster ion beam exposure are compared. • Lower relative level of preferential sputtering is shown in gas cluster ion beam depth profiling. • A lack of “steady state” is observed in gas cluster ion beam depth profiles of tantalum pentoxide. • Possible mechanisms behind the observed results, including temperature effects are proposed. - Abstract: In recent years, gas cluster ion beams (GCIB) have become the cutting edge of ion beam technology to sputter etch organic materials in surface analysis. However, little is currently known on the ability of argon cluster ions (Ar{sub n}{sup +}) to etch metal oxides and other technologically important inorganic compounds and no depth profiles have previously been reported. In this work, XPS depth profiles through a certified (European standard BCR-261T) 30 nm thick Ta{sub 2}O{sub 5} layer grown on Ta foil using monatomic Ar{sup +} and Ar{sub 1000}{sup +} cluster ions have been performed at different incident energies. The preferential sputtering of oxygen induced using 6 keV Ar{sub 1000}{sup +} ions is lower relative to 3 keV and 500 eV Ar{sup +} ions. Ar{sup +} ions exhibit a steady state O/Ta ratio through the bulk oxide but Ar{sub 1000}{sup +} ions show a gradual decrease in the O/Ta ratio as a function of depth. The depth resolution and etch rate is substantially better for the monatomic beam compared to the cluster beam. Higher O concentrations are observed when the underlying Ta bulk metal is sputtered for the Ar{sub 1000}{sup +} profiles compared to the Ar{sup +} profiles.

  1. Multicharged and intense heavy ion beam sources

    International Nuclear Information System (INIS)

    Kutner, V.B.

    1981-01-01

    The cyclotron plasma-are source (PIG), duoplasmatron (DP), laser source (LS), electron beam ion source (EBIS) and electron cyclotron resonance source (ECRS) from the viewpoint of generating intense and high charge state beams are considered. It is pointed out that for the last years three types of multicharged ion sources-EBIS, ECR and LS have been essentially developed. In the EBIS source the Xe 48+ ions are produced. The present day level of the development of the electron-beam ionization technique shows that by means of this technique intensive uranium nuclei beams production becomes a reality. On the ECR source Xe 26+ approximately 4x10 10 h/s, Asub(r)sup(12+) approximately 10 12 h/s intensive ion beams are produced. In the laser source a full number of C 6+ ions during one laser pulse constitutes not less than 10 10 from the 5x10mm 2 emission slit. At the present time important results are obtained pointing to the possibility to separate the ion component of laser plasma in the cyclotron central region. On the PIG source the Xe 15+ ion current up to 10μA per pulse is produced. In the duoplasmatron the 11-charge state of xenon ion beams is reached [ru

  2. Tool steel ion beam assisted nitrocarburization

    International Nuclear Information System (INIS)

    Zagonel, L.F.; Alvarez, F.

    2007-01-01

    The nitrocarburization of the AISI-H13 tool steel by ion beam assisted deposition is reported. In this technique, a carbon film is continuously deposited over the sample by the ion beam sputtering of a carbon target while a second ion source is used to bombard the sample with low energy nitrogen ions. The results show that the presence of carbon has an important impact on the crystalline and microstructural properties of the material without modification of the case depth

  3. Fundamental properties of secondary negative ion emission by sputtering

    International Nuclear Information System (INIS)

    Shimizu, Toshiki; Tsuji, Hiroshi; Ishikawa, Junzo

    1989-01-01

    The report describes some results obtained from preliminary experiments on secondary negative ion emission from a cesiated surface by Xe-ion beam sputtering, which give the production probability. A measuring system is constructed for secondary negative ion emission. The system consists of a microwave ion source with a lens, a sputtering target holder with a heater, a cesium oven, a limiting aperture with a substrate for deposition, a negative-ion extractor and lens, and a ExB type mass separator. Observations are made on the dependence of negative ion current on cesium supply, dependence of negative ion current on target temperature, and negative ion production probability. The cesium supply and the target temperature are found to strongly influence the negative ion emission. By controlling these factors, the optimum condition for secondary negative ion emission is achieved with a minimum surface work function. The production probability of the negative ion is found to be very high, about 20% for carbon. Therefore, the secondary negative ion emission is considered a useful and highly efficient method to obtain high current ion beams. The constant in the Rasser's theoretical equation is experimentally determined to be 4.1 x 10 -4 eV sec/m. (N.K.)

  4. Pantechnik new superconducting ion source: PantechniK Indian Superconducting Ion Source

    International Nuclear Information System (INIS)

    Gaubert, G.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Leroy, R.; Sineau, A.; Vallerand, C.; Villari, A. C. C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PantechniK Indian Superconducting Ion Source (PKISIS) was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration, while the radial magnetic field is done with the multi-layer permanent magnets. Special care was devoted to the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using low temperature superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability and easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 deg. C) installed with an angle of 5 deg. with respect to the source axis or a sputtering system, mounted on the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PKISIS magnetic fields are 2.1 T axial B inj and 1.32 T radial field in the wall, variable B min with an independent coil and a large and opened extraction region. Moreover, PKISIS integrates modern design concepts, like RF direct injection (2 kW availability), dc-bias moving disk, out-of-axis oven and axial sputtering facility for metal beams. Finally, PKISIS is also conceived in order to operate in a high-voltage platform with minor power consumption.

  5. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  6. Ion-beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R. [Argonne National Lab., IL (United States)

    1993-01-01

    This compilation of figures and diagrams reviews processes for depositing diamond/diamond-like carbon films. Processes addressed are chemical vapor deposition (HFCVD, PACVD, etc.), plasma vapor deposition (plasma sputtering, ion beam sputtering, evaporation, etc.), low-energy ion implantation, and hybrid processes (biased sputtering, IBAD, biased HFCVD, etc.). The tribological performance of coatings produced by different means is discussed.

  7. Data compilation of angular distributions of sputtered atoms

    International Nuclear Information System (INIS)

    Yamamura, Yasunori; Takiguchi, Takashi; Tawara, Hiro.

    1990-01-01

    Sputtering on a surface is generally caused by the collision cascade developed near the surface. The process is in principle the same as that causing radiation damage in the bulk of solids. Sputtering has long been regarded as an undesirable dirty effect which destroys the cathodes and grids in gas discharge tubes or ion sources and contaminates plasma and the surrounding walls. However, sputtering is used today for many applications such as sputter ion sources, mass spectrometers and the deposition of thin films. Plasma contamination and the surface erosion of first walls due to sputtering are still the major problems in fusion research. The angular distribution of the particles sputtered from solid surfaces can possibly provide the detailed information on the collision cascade in the interior of targets. This report presents a compilation of the angular distribution of sputtered atoms at normal incidence and oblique incidence in the various combinations of incident ions and target atoms. The angular distribution of sputtered atoms from monatomic solids at normal incidence and oblique incidence, and the compilation of the data on the angular distribution of sputtered atoms are reported. (K.I.)

  8. Colliding-beams polarized ion source

    International Nuclear Information System (INIS)

    Trainor, T.A.; Douglas, J.G.; Badt, D.; Christiensen, C.; Herron, A.; Leach, D.; Olsen, J.; Osborne, J.L.; Zeps, V.

    1985-01-01

    This ion source was to be purchased from ANAC, Inc., a New Zealand-based supplier of beam optics hardware and atomic beam polarized ion sources in December 1982. Shortly before scheduled delivery ANAC went into receivership. During 1983 little work was done on the project as various steps were taken by us, first to get the ion source completed at ANAC, and then, failing that, to obtain the existing parts. In early 1984 we began work to finish the ion source in Seattle. The project is nearly complete, and this article presents progress to date. 2 refs

  9. Sputtering induced surface composition changes in copper-palladium alloys

    International Nuclear Information System (INIS)

    Sundararaman, M.; Sharma, S.K.; Kumar, L.; Krishnan, R.

    1981-01-01

    It has been observed that, in general, surface composition is different from bulk composition in multicomponent materials as a result of ion beam sputtering. This compositional difference arises from factors like preferential sputtering, radiation induced concentration gradients and the knock-in effect. In the present work, changes in the surface composition of copper-palladium alloys, brought about by argon ion sputtering, have been studied using Auger electron spectroscopy. Argon ion energy has been varied from 500 eV to 5 keV. Enrichment of palladium has been observed in the sputter-altered layer. The palladium enrichment at the surface has been found to be higher for 500 eV argon ion sputtering compared with argon ion sputtering at higher energies. Above 500 eV, the surface composition has been observed to remain the same irrespective of the sputter ion energy for each alloy composition. The bulk composition ratio of palladium to copper has been found to be linearly related to the sputter altered surface composition ratio of palladium to copper. These results are discussed on the basis of recent theories of alloy sputtering. (orig.)

  10. Low temperature growth of Co{sub 2}MnSi films on diamond semiconductors by ion-beam assisted sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-05-07

    High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.

  11. Beam emittance measurements on multicusp ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Sarstedt, M.; Lee, Y.; Leung, K.N. [and others

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 {mu}m patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma.

  12. Beam emittance measurements on multicusp ion sources

    International Nuclear Information System (INIS)

    Sarstedt, M.; Lee, Y.; Leung, K.N.

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 μm patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma

  13. Formation of large clusters during sputtering of silver

    International Nuclear Information System (INIS)

    Staudt, C.; Heinrich, R.; Wucher, A.

    2000-01-01

    We have studied the formation of polyatomic clusters during sputtering of metal surfaces by keV ion bombardment. Both positively charged (secondary cluster ions) and neutral clusters have been detected in a time-of-flight mass spectrometer under otherwise identical experimental conditions, the sputtered neutrals being post-ionized by single photon absorption using a pulsed 157 nm VUV laser beam. Due to the high achievable laser intensity, the photoionization of all clusters could be saturated, thus enabling a quantitative determination of the respective partial sputtering yields. We find that the relative yield distributions of sputtered clusters are strongly correlated with the total sputtering yield in a way that higher yields lead to higher abundances of large clusters. By using heavy projectile ions (Xe + ) in connection with bombarding energies up to 15 keV, we have been able to detect sputtered neutral silver clusters containing up to about 60 atoms. For cluster sizes above 40 atoms, doubly charged species are shown to be produced in the photoionization process with non-negligible efficiency. From a direct comparison of secondary neutral and ion yields, the ionization probability of sputtered clusters is determined as a function of the cluster size. It is demonstrated that even the largest silver clusters are still predominantly sputtered as neutrals

  14. Development of an inductively coupled impulse sputtering source for coating deposition

    Science.gov (United States)

    Loch, Daniel Alexander Llewellyn

    In recent years, highly ionised pulsed plasma processes have had a great impact on improving the coating performance of various applications, such as for cutting tools and ITO coatings, allowing for a longer service life and improved defect densities. These improvements stem from the higher ionisation degree of the sputtered material in these processes and with this the possibility of controlling the flux of sputtered material, allowing the regulation of the hardness and density of coatings and the ability to sputter onto complex contoured substrates. The development of Inductively Coupled Impulse Sputtering (ICIS) is aimed at the potential of utilising the advantages of highly ionised plasma for the sputtering of ferromagnetic material. In traditional magnetron based sputter processes ferromagnetic materials would shunt the magnetic field of the magnetron, thus reducing the sputter yield and ionisation efficiency. By generating the plasma within a high power pulsed radio frequency (RF) driven coil in front of the cathode, it is possible to remove the need for a magnetron by applying a high voltage pulsed direct current to the cathode attracting argon ions from the plasma to initiate sputtering. This is the first time that ICIS technology has been deployed in a sputter coating system. To study the characteristics of ICIS, current and voltage waveforms have been measured to examine the effect of increasing RF-power. Plasma analysis has been conducted by optical emission spectroscopy to investigate the excitation mechanisms and the emission intensity. These are correlated to the set RF-power by modelling assumptions based on electron collisions. Mass spectroscopy is used to measure the plasma potential and ion energy distribution function. Pure copper, titanium and nickel coatings have been deposited on silicon with high aspect ratio via to measure the deposition rate and characterise the microstructure. For titanium and nickel the emission modelling results are in

  15. Developments of saddle field ion sources and their applications

    International Nuclear Information System (INIS)

    Abdelrahman, M.M.; Helal, A.G.

    2009-01-01

    Ion sources should have different performance parameters according to the various applications for which they are used, ranging from ion beam production to high energy ion implanters. There are many kinds of ion sources, which produce different ion beams with different characteristics. This paper deals with the developments and applications of some saddle field ion sources which were designed and constructed in our lab. Theory of operation and types of saddle field ion sources are discussed in details. Some experimental results are given. The saddle field ion sources operate at low gas pressure and require neither magnetic field nor filament. This type of ion sources is used for many different applications as ion beam machining, sputtering, cleaning and profiling for surface analysis etc

  16. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  17. Alkali metal adsorbate sputtering by molecular impact

    International Nuclear Information System (INIS)

    Moran, J.P.; Wachman, H.Y.; Trilling, L.

    1974-01-01

    An exploratory study of the sputtering by a krypton molecular beam of rubidium adsorbed at low coverage on a tungsten substrate has been described in a previous paper. An extension of this work is reported now

  18. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  19. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  20. Production of a radioactive 18F ion beam for nuclear reaction studies

    Science.gov (United States)

    Roberts, A. D.; Nickles, R. J.; Paul, M.; Rehm, K. E.; Jiang, C. L.; Blumenthal, D. J.; Gehring, J.; Henderson, D.; Nolen, J.; Pardo, R. C.; Schiffer, J. P.; Segel, R. E.

    1995-12-01

    A two-stage method for generating a radioactive 18F ion beam has been developed. 18F is produced with a medical cyclotron by 11 MeV proton activation of [ 18O]water, then chemically processed off-line for use in a tandem accelerator ion source. Azeotropic distillation reduces the 18O component by 10 5, with a resulting 18O to 18F beam ratio of about 10 3. The average 18F - beam intensity per synthesis is 1 ppA over 120 min from a cesium vapor, sputter negative ion source (SNICS), with a peak intensity of 4.5 ppA.

  1. Manufacturing of the full size prototype of the ion source for the ITER neutral beam injector – The SPIDER beam source

    Energy Technology Data Exchange (ETDEWEB)

    Pavei, Mauro, E-mail: mauro.pavei@igi.cnr.it [Consorzio RFX, C.so Stati Uniti 4, I-35127, Padova (Italy); Boilson, Deirdre [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Bonicelli, Tullio [Fusion for Energy, C/Joseph Pla 2, 08019 Barcelona (Spain); Boury, Jacques [Thales Electron Devices, Velizy Villacoublay (France); Bush, Michael [Galvano-T GmbH, T, Raiffeisenstraße 8, 51570 Windeck (Germany); Ceracchi, Andrea; Faso, Diego [CECOM S.r.l., Via Tiburtina – Guidonia Montecelio, Roma (Italy); Graceffa, Joseph [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Heinemann, Bernd [Max-Planck-Institut für Plasmaphysik, D-85740 Garching (Germany); Hemsworth, Ronald [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Lievin, Christophe [Thales Electron Devices, Velizy Villacoublay (France); Marcuzzi, Diego [Consorzio RFX, C.so Stati Uniti 4, I-35127, Padova (Italy); Masiello, Antonio [Fusion for Energy, C/Joseph Pla 2, 08019 Barcelona (Spain); Sczepaniak, Bernd [Galvano-T GmbH, T, Raiffeisenstraße 8, 51570 Windeck (Germany); Singh, Mahendrajit [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Toigo, Vanni; Zaccaria, Pierluigi [Consorzio RFX, C.so Stati Uniti 4, I-35127, Padova (Italy)

    2015-10-15

    Highlights: • Negative ion sources are key components of neutral beam injectors for nuclear fusion. • The SPIDER experiment aims to optimize the negative ion source of MITICA and HNB. • The SPIDER Beam Source manufacturing is currently on-going. • Manufacturing and assembling technological issues encountered are presented. - Abstract: In ITER, each heating neutral beam injector (HNB) will deliver about 16.5 MW heating power by accelerating a 40 A deuterium negative ion beam up to the energy of 1 MeV. The ions are generated inside a caesiated negative ion source, where the injected H{sub 2}/D{sub 2} is ionized by a radio frequency electromagnetic field. The SPIDER test bed, currently being manufactured, is going to be the ion source test facility for the full size ion source of the HNBs and of the diagnostic neutral beam injector of ITER. The SPIDER beam source comprises an ion source with 8 radio-frequency drivers and a three-grid system, providing an overall acceleration up to energies of about 100 keV [1]. SPIDER represents a substantial step forward between the half ITER size ion source, which is currently being tested at the ELISE test bed in IPP-Garching, and the negative ion sources to be used on ITER, in terms of layout, dimensions and operating parameters. The SPIDER beam source will be housed inside a vacuum vessel which will be equipped with a beam dump and a graphite diagnostic calorimeter. The manufacturing design of the main parts of the SPIDER beam source has been completed and many of the tests on the prototypes have been successfully passed. The most complex parts, from the manufacturing point of view, of the ion source and the accelerator, developed by galvanic deposition of copper are being manufactured. The manufacturing phase will be completed within 2015, when the assembly of the device will start at the PRIMA site, in Padova (I). The paper describes the status of the procurement, the adaptations operated on the design of the beam

  2. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    International Nuclear Information System (INIS)

    Nagata, T.; Haemori, M.; Chikyow, T.

    2009-01-01

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  3. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    Energy Technology Data Exchange (ETDEWEB)

    Nagata, T.; Haemori, M.; Chikyow, T. [Advanced Electric Materials Center, National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2009-05-15

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  4. Beam monitoring system for intense neutron source

    International Nuclear Information System (INIS)

    Tron, A.M.

    2001-01-01

    Monitoring system realizing novel principle of operation and allowing to register a two-dimensional beam current distribution within entire aperture (100...200 mm) of ion pipe for a time in nanosecond range has been designed and accomplished for beam control of the INR intense neutron source, for preventing thermo-mechanical damage of its first wall. Key unit of the system is monitor of two-dimensional beam current distribution, elements of which are high resistant to heating by the beam and to radiation off the source. The description of the system and monitor are presented. Implementation of the system for the future sources with more high intensities are discussed. (author)

  5. Control of surface ripple amplitude in ion beam sputtered polycrystalline cobalt films

    Energy Technology Data Exchange (ETDEWEB)

    Colino, Jose M., E-mail: josemiguel.colino@uclm.es [Institute of Nanoscience, Nanotechnology and Molecular Materials, University of Castilla-La Mancha, Campus de la Fabrica de Armas, Toledo 45071 (Spain); Arranz, Miguel A. [Facultad de Ciencias Quimicas, University of Castilla-La Mancha, Ciudad Real 13071 (Spain)

    2011-02-15

    We have grown both polycrystalline and partially textured cobalt films by magnetron sputter deposition in the range of thickness (50-200 nm). Kinetic roughening of the growing film leads to a controlled rms surface roughness values (1-6 nm) increasing with the as-grown film thickness. Ion erosion of a low energy 1 keV Ar+ beam at glancing incidence (80{sup o}) on the cobalt film changes the surface morphology to a ripple pattern of nanometric wavelength. The wavelength evolution at relatively low fluency is strongly dependent on the initial surface topography (a wavelength selection mechanism hereby confirmed in polycrystalline rough surfaces and based on the shadowing instability). At sufficiently large fluency, the ripple wavelength steadily increases on a coarsening regime and does not recall the virgin surface morphology. Remarkably, the use of a rough virgin surface makes the ripple amplitude in the final pattern can be controllably increased without affecting the ripple wavelength.

  6. Advanced Light Source beam diagnostics systems

    International Nuclear Information System (INIS)

    Hinkson, J.

    1993-10-01

    The Advanced Light Source (ALS), a third-generation synchrotron light source, has been recently commissioned. Beam diagnostics were very important to the success of the operation. Each diagnostic system is described in this paper along with detailed discussion of its performance. Some of the systems have been in operation for two years. Others, in the storage ring, have not yet been fully commissioned. These systems were, however, working well enough to provide the essential information needed to store beam. The devices described in this paper include wall current monitors, a beam charge monitor, a 50 ohm Faraday cup, DC current transformers, broad-hand striplines, fluorescence screens, beam collimators and scrapers, and beam position monitors. Also, the means by which waveforms are digitized and displayed in the control room is discussed

  7. The effect of FR enhancement in reactive ion beam sputtered Bi, Gd, Al-substituted iron- garnets: Bi2O3 nanocomposite films

    OpenAIRE

    Berzhansky, V.; Shaposhnikov, A.; Karavainikov, A.; Prokopov, A.; Mikhailova, T.; Lukienko, I.; Kharchenko, Yu.; Miloslavskaya, O.; Kharchenko, N.

    2012-01-01

    The effect of considerable Faraday rotation (FR) and figure of merit (Q) enhancement in Bi, Gd, Al-substituted iron garnets: Bi2O3 nano-composite films produced by separate reactive ion beam sputtered Bi:YIG and Bi2O3 films was found. It reached threefold enhancement of the FR and twofold of the Q one on GGG substrates.

  8. A directly heated electron beam line source

    International Nuclear Information System (INIS)

    Iqbal, M.; Masood, K.; Rafiq, M.; Chaudhry, M.A.

    2002-05-01

    A 140-mm cathode length, Electron Beam Line Source with a high degree of focusing of the beam is constructed. The design principles and basic characteristic considerations for electron beam line source consists of parallel plate electrode geometric array as well as a beam power of 35kW are worked out. The dimensions of the beam at the work site are 1.25xl00mm. The gun is designed basically for the study of evaporation and deposition characteristic of refractory metals for laboratory use. However, it may be equally used for melting and casting of these metals. (author)

  9. Low-energy beam transport studies supporting the spallation neutron source 1-MW beam operation.

    Science.gov (United States)

    Han, B X; Kalvas, T; Tarvainen, O; Welton, R F; Murray, S N; Pennisi, T R; Santana, M; Stockli, M P

    2012-02-01

    The H(-) injector consisting of a cesium enhanced RF-driven ion source and a 2-lens electrostatic low-energy beam transport (LEBT) system supports the spallation neutron source 1 MW beam operation with ∼38 mA beam current in the linac at 60 Hz with a pulse length of up to ∼1.0 ms. In this work, two important issues associated with the low-energy beam transport are discussed: (1) inconsistent dependence of the post-radio frequency quadrupole accelerator beam current on the ion source tilt angle and (2) high power beam losses on the LEBT electrodes under some off-nominal conditions compromising their reliability.

  10. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  11. Ion beam source construction and applications

    International Nuclear Information System (INIS)

    Torab, S.I.R.

    2011-01-01

    The aim of this thesis is to improve the performance of a new shape cold cathode Penning ion source to be suitable for some applications. In this work, many trials have been made to reach the optimum dimensions of the new shape of cold Molybdenum cathode Penning ion source with radial extraction. The high output ion beam can be extracted in a direction transverse to the discharge region. The new shape cold cathode Penning ion source consists of Copper cylindrical hollow anode of 40 mm length, 12 mm diameter and has two similar cone ends of 15 mm length, 22 mm upper cone diameter and 12 mm bottom cone diameter. The two movable Molybdenum cathodes are fixed in Perspex insulator and placed symmetrically at two ends of the anode. The Copper emission disc of 2 mm thickness and has central aperture of different diameters is placed at the middle of the anode for ion beam exit. The inner surface of the emission disc is isolated from the anode by Perspex insulator except an area of diameter 5 mm to confine the electrical discharge in this area. A movable Faraday cup is placed at different distances from the emission electrode aperture and used to collect the output ion beam from the ion source. The working gases are admitted to the ion source through a hole in the anode via a needle valve which placed between the gas cylinder and the ion source. The optimum anode- cathode distance, the uncovered area diameter of the emission disc, the central aperture diameter of the emission electrode, the distance between emission electrode and Faraday cup have been determined using Argon gas. The optimum distances of the ion source were found to be equal to 6 mm, 5 mm, 2.5 mm, and 3 cm respectively where stable discharge current and maximum output ion beam current at low discharge current can be obtained. The discharge characteristics, ion beam characteristics, and the efficiency of the ion source have been measured at different operating conditions and different gas pressures using

  12. Sputtering in a glow discharge ion source - pressure dependence: theory and experiment

    International Nuclear Information System (INIS)

    Mason, R.S.; Pichilingi, Melanie

    1994-01-01

    A simplified theoretical expression has been developed for a glow discharge to show how the average cathode erosion rate (expressed as the number of atoms per ion of the total bombarding flux) varies with primary sputter yield, pressure, 'diffusion length' and sputtered atom 'stopping' cross section. An inverse pressure dependence is predicted which correlates well with experiment in the 2 and He, tend to converge. It is suggested that this could be due to a change in the mechanism to self-sputtering. Under constant conditions, the erosion rates of different cathode materials still correlate quite well with the differences in their primary sputter yields. (author)

  13. Setup for in situ X-ray diffraction studies of thin film growth by magnetron sputtering

    CERN Document Server

    Ellmer, K; Weiss, V; Rossner, H

    2001-01-01

    A novel method is described for the in situ-investigation of nucleation and growth of thin films during magnetron sputtering. Energy dispersive X-ray diffraction with synchrotron light is used for the structural analysis during film growth. An in situ-magnetron sputtering chamber was constructed and installed at a synchrotron radiation beam line with a bending magnet. The white synchrotron light (1-70 keV) passes the sputtering chamber through Kapton windows and hits one of the substrates on a four-fold sample holder. The diffracted beam, observed under a fixed diffraction angle between 3 deg. and 10 deg., is energy analyzed by a high purity Ge-detector. The in situ-EDXRD setup is demonstrated for the growth of tin-doped indium oxide (ITO) films prepared by reactive magnetron sputtering from a metallic target.

  14. Microwave Ion Source and Beam Injection for an Accelerator-driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm2 and with atomic fraction >90 percent was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D+ beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. We observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  15. Microwave Ion Source and Beam Injection for an Accelerator-Driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm 2 and with atomic fraction > 90% was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D + beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. They observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  16. Development of negative heavy ion sources for plasma potential measurement

    International Nuclear Information System (INIS)

    Sasao, M.; Okabe, Y.; Fujisawa, A.; Iguchi, H.; Fujita, J.; Yamaoka, H.; Wada, M.

    1991-10-01

    A plasma sputter negative ion source was studied for its applicability to the potential measurement of a fusion plasma. Both the beam current density and the beam energy spread are key issues. Energy spectra of a self extracted Au - beam from the source were measured under the condition of a constant work function of the production surface. The full width of half maximum (FWHM) increases from 3 eV to 9 eV monotonically as the target voltage increases from 50 V to 300 V, independently from the target surface work function of 2.2 - 3 eV. (author)

  17. Stable atomic hydrogen: Polarized atomic beam source

    International Nuclear Information System (INIS)

    Niinikoski, T.O.; Penttilae, S.; Rieubland, J.M.; Rijllart, A.

    1984-01-01

    We have carried out experiments with stable atomic hydrogen with a view to possible applications in polarized targets or polarized atomic beam sources. Recent results from the stabilization apparatus are described. The first stable atomic hydrogen beam source based on the microwave extraction method (which is being tested ) is presented. The effect of the stabilized hydrogen gas density on the properties of the source is discussed. (orig.)

  18. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    International Nuclear Information System (INIS)

    Toader, D.; Craciun, G.; Manaila, E.; Oproiu, C.; Marghitu, S.

    2009-01-01

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES L V) with a plasma electron source (PES L V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP L V source.

  19. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  20. A current induced diffusion model of gas sputtering

    International Nuclear Information System (INIS)

    Hotston, E.S.

    1980-01-01

    A model is proposed to explain the experimental results on deuteron trapping in stainless steel targets at low temperatures carried out at Garching and Culham. The model proposes that the ions are trapped in two kinds of sites: Deep sites with high activation energy and shallow sites of low activation energy. Trapped deuterons reach the surface of the target by being expelled from shallow sites by the action of the ion beam and migrate to nearby sites in a random way, thus moving by a bombardment induced diffusion. Ions diffusing to the target surface and being released are said to be sputtered from the target. It has been necessary to assume numerical values for sizes of some of the processes which occur. With a suitable choice of values the model successfully predicts the numbers of deuterons trapped per unit area of the target, the obserbed density profile of the trapped ions and the threshold at which sputtering starts. The model also successfully describes the replacement of the trapped deuterons by protons, when the deuteron beam is replaced by a proton beam. The collision cross-section for beam ions and ions trapped in shallow sites is too large, 4 x 10 -13 cm 2 , for a binary collision and it is tentatively suggested that the ions in the shallow sites may be in small voids in the target which may be connected with blister formation. Comparison of the present model with one being developed to describe the trapping of deuterons in carbon suggests that it may be possible to describe all gas sputtering experiments in terms of diffusion processes. (orig.)

  1. Collision cascades and sputtering induced by larger cluster ions

    International Nuclear Information System (INIS)

    Sigmund, P.

    1988-01-01

    Recent experimental work on larger cluster impact on solid surfaces suggests large deviations from the standard case of additive sputter yields both in the nuclear and electronic stopping regime. The paper concentrates on elastic collision cascades. In addition to very pronounced spike effects, two phenomena are pointed out that are specific to cluster bombardment. Multiple hits of cluster atoms on one and the same target atom may result in recoil atoms that move faster than the maximum recoil speed for monomer bombardment at the same projectile speed. This effect is important when the atomic mass of a beam atom is less than that of a target atom, M 1 2 . In the opposite case, M 1 >> M 2 , collisions between beam particles may accelerate some beam particles and slow down others. Some consequences are mentioned. Remarks on the nuclear stopping power of larger clusters and on electronic sputtering by cluster bombardment conclude the paper. 38 refs., 2 figs

  2. Deposition and properties of Al-containing diamond-like carbon films by a hybrid ion beam sources

    International Nuclear Information System (INIS)

    Dai Wei; Wang Aiying

    2011-01-01

    Research highlights: → Weak carbide former, Al element, was incorporated into DLC films using a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. → The structure disorder of the films tended to decrease with Al atoms doping, which resulted in the distinct reduction of the film internal stress and hardness, but the internal stress dropped faster than the hardness. → The DLC films with low internal stress and high hardness can be acquired by Al incorporation. - Abstract: Metal incorporation is one of the most effective methods for relaxing internal stress in diamond-like carbon (DLC) films. It was reported that the chemical state of the incorporated metal atoms has a significant influence on the film internal stress. The doped atoms embedding in the DLC matrix without bonding with C atoms can reduce the structure disorder of the DLC films through bond angle distortion and thus relax the internal stress of the films. In present paper, Al atoms, which are inert to carbon, were incorporated into the DLC films deposited by a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. The film composition, microstructure and atomic bond structure were characterized using X-ray photoelectron spectroscopy, transmission electron microscopy and Raman spectroscopy. The internal stress, mechanical properties and tribogoical behavior were studied as a function of Al concentration using a stress-tester, nanoindentation and ball-on-disc tribo-tester, respectively. The results indicated that the incorporated Al atoms were dissolved in the DLC matrix without bonding with C atoms and the films exhibited the feature of amorphous carbon. The structure disorder of the films tended to decrease with Al atoms incorporation. This resulted in the distinct reduction of the internal stress in the films. All Al-DLC films exhibited a lower friction coefficient compared with pure DLC film. The formation of the

  3. Second order nonlinear optical properties of zinc oxide films deposited by low temperature dual ion beam sputtering

    International Nuclear Information System (INIS)

    Larciprete, M.C.; Passeri, D.; Michelotti, F.; Paoloni, S.; Sibilia, C.; Bertolotti, M.; Belardini, A.; Sarto, F.; Somma, F.; Lo Mastro, S.

    2005-01-01

    We investigated second order optical nonlinearity of zinc oxide thin films, grown on glass substrates by the dual ion beam sputtering technique under different deposition conditions. Linear optical characterization of the films was carried out by spectrophotometric optical transmittance and reflectance measurements, giving the complex refractive index dispersion. Resistivity of the films was determined using the four-point probe sheet resistance method. Second harmonic generation measurements were performed by means of the Maker fringes technique where the fundamental beam was originated by nanosecond laser at λ=1064 nm. We found a relatively high nonlinear optical response, and evidence of a dependence of the nonlinear coefficient on the deposition parameters for each sample. Moreover, the crystalline properties of the films were investigated by x-ray diffraction measurements and correlation with second order nonlinearity were analyzed. Finally, we investigated the influence of the oxygen flow rate during the deposition process on both the second order nonlinearity and the structural properties of the samples

  4. A double-stage pulsed discharge fluorine atom beam source

    International Nuclear Information System (INIS)

    Ren Zefeng; Qiu Minghui; Che Li; Dai Dongxu; Wang Xiuyan; Yang Xueming

    2006-01-01

    Molecular-beam intensity and speed ratio are two major limiting factors in many molecular-beam experiments. This article reports a high-intensity, high-speed-ratio, pulsed supersonic fluorine atom beam source using a double-stage discharge beam source. Its performance is indicated by the high-resolution time-of-flight spectrum in the crossed beam experiment of F( 2 P)+para-H 2

  5. Investigation of the depth profile of ion beam induced nanopatterns on Si with simultaneous metal incorporation

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, Behnam; Arezki, Bahia; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Siegen (Germany); Cornejo, Marina; Frost, Frank [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2011-07-01

    Ion beam sputtering of semiconductor surfaces can modify the surface and produce a diversity of surface topographies such as periodic ripples or dot structures depended on sputtering parameters. Well ordered nanostructured surfaces have widely technological applications. Recent experiments have shown that the incorporation of metallic impurity atoms during the sputtering process plays a crucial role in pattern formation on the surfaces. These findings offer a new degree of freedom to control pattern formation. In this contribution we report on surface patterning due to Kr ion beam erosion on silicon surfaces with simultaneous Fe and Cr incorporation. We used X-ray reflectivity (XRR) to determine the depth profiles of metal ions as function of ion beam divergence angles and the mean incidence angle of the ions with respect to the surface normal. Depth profiles are correlated with degree of pattern formation determined by AFM. We show that the mean penetration depth and concentration of metal ions depends on the divergence angle of Kr beam provided by Kaufman source which supports the assumption that metal ions are created due to parasitic interaction of the Kr beam with the steel plate lining. The evaluated depth profile by XRR is in good agreement with SIMS and RBS results.

  6. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    Energy Technology Data Exchange (ETDEWEB)

    Toader, D; Craciun, G; Manaila, E; Oproiu, C [National Institute of Research for Laser, Plasma and Radiation Physics Bucuresti (Romania); Marghitu, S [ICPE Electrostatica S.A - Bucuresti (Romania)

    2009-11-15

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES{sub L}V) with a plasma electron source (PES{sub L}V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP{sub L}V source.

  7. Advanced capabilities and applications of a sputter-RBS system

    International Nuclear Information System (INIS)

    Brijs, B.; Deleu, J.; Beyer, G.; Vandervorst, W.

    1999-01-01

    In previous experiments, sputter-RBS 1 has proven to be an ideal tool to study the interaction of low energy ions. This contribution employs the same methodology to identify surface contamination induced during sputtering and to the determine absolute sputter yields. In the first experiment ERDA analysis was used to study the evolution of Hydrogen contamination during sputter-RBS experiments. Since the determination of Hydrogen concentration in very thin near surface layers is frequently limited by the presence of a strong surface peak of Hydrogen originating from adsorbed contamination of the residual vacuum, removal of this contamination would increase the sensitivity for Hydrogen detection in the near sub surface drastically. Therefore low energy (12 keV) Argon sputtering was used to remove the Hydrogen surface peak. However enhanced Hydrogen adsorption was observed related to the Ar dose. This experiment shows that severe vacuum conditions and the use of high current densities/sputter yields are a prerequisite for an efficient detection of Hydrogen in the near surface layers. In the second experiment, an attempt was made to determine the sputter yield of Cu during low energy (12 keV) Oxygen bombardment. In order to determine the accumulated dose of the low energy ion beam, a separate Faraday cup in combination with a remote controlled current have been added to the existing sputter-RBS set-up. Alternating sputtering and RBS analysis seem to be an adequate tool for the determination of the absolute sputter yield of Cu and this as well in the as under steady state conditions

  8. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  9. Sputtering and reflection of self-bombardment of tungsten material

    International Nuclear Information System (INIS)

    Niu, Guo-jian; Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi; Luo, Guang-nan

    2015-01-01

    In present research, the sputtering and reflection yield of self-bombardment of tungsten are investigated with the aid of molecular dynamics simulations. The source of sputtered and reflected atoms is detected by traced the original locations of sputtered and reflected atoms. Results show that for the reflected atoms no specific region exists which means cluster atoms are randomly reflected. But almost all of sputtered atoms are from a conical region under the landing point of cluster. So we can determine the sputtering yield by study the dimension of the sputtering region. Molecular dynamics shows the depth and radius of the conical are power functions of impacting energy. The effects of cluster size and temperature of target on sputtering and reflection rate are also preformed in present study. Both sputtering and reflection yield are proportion to cluster size in present cluster size, i.e. 66–2647 atoms. Higher target temperature can increase sputtering yield and deduce sputtering threshold energy, but little effect on reflection rate

  10. Sputtering and reflection of self-bombardment of tungsten material

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Guo-jian [University of Science and Technology of China, Hefei (China); Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Li, Xiao-chun; Xu, Qian; Yang, Zhong-shi [Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Luo, Guang-nan, E-mail: gnluo@ipp.ac.cn [University of Science and Technology of China, Hefei (China); Institute of Plasma Physics Chinese Academy of Sciences, Hefei (China); Hefei Center for Physical Science and Technology, Hefei (China); Hefei Science Center of CAS, Hefei (China)

    2015-04-15

    In present research, the sputtering and reflection yield of self-bombardment of tungsten are investigated with the aid of molecular dynamics simulations. The source of sputtered and reflected atoms is detected by traced the original locations of sputtered and reflected atoms. Results show that for the reflected atoms no specific region exists which means cluster atoms are randomly reflected. But almost all of sputtered atoms are from a conical region under the landing point of cluster. So we can determine the sputtering yield by study the dimension of the sputtering region. Molecular dynamics shows the depth and radius of the conical are power functions of impacting energy. The effects of cluster size and temperature of target on sputtering and reflection rate are also preformed in present study. Both sputtering and reflection yield are proportion to cluster size in present cluster size, i.e. 66–2647 atoms. Higher target temperature can increase sputtering yield and deduce sputtering threshold energy, but little effect on reflection rate.

  11. Part 1: characterization of beam synthesized catalytic materials. Part 2: further development of molecular SIMS

    International Nuclear Information System (INIS)

    Scheifers, S.M.

    1985-01-01

    Part I of this thesis concerns characterization of catalyst materials prepared by an ion beam implanter and by a multiple expansion cluster source. Ion beam synthesis was carried out on a 250-kev ion implanter. After assembling a special reaction chamber, zeolites were implanted with phosphorous and iron. This work contributed to development of a sputter reactor for ion beam synthesis. Silver catalysts were examined in a reactor designed, built and evaluated for catalysts produced by the sputter reactor and by a multiple expansion cluster source. Small surface area silver foil catalysts and silver cluster catalysts showed kinetic activity for epoxidation of ethylene. Positive results for the small surface area silver cluster catalyst demonstrate the feasibility of studying these catalysts with the special reactor. Part 2 concerns fundamentals and applications of secondary ion mass spectrometry. A data system was implemented for a secondary ion mass spectrometer that involved design and construction of a computer interface. Software routines for the interface were written in assembly language for increased operation efficiency

  12. Optical and tribomechanical stability of optically variable interference security devices prepared by dual ion beam sputtering.

    Science.gov (United States)

    Çetinörgü-Goldenberg, Eda; Baloukas, Bill; Zabeida, Oleg; Klemberg-Sapieha, Jolanta; Martinu, Ludvik

    2011-07-01

    Optical security devices applied to banknotes and other documents are exposed to different types of harsh environments involving the cycling of temperature, humidity, chemical agents, and tribomechanical intrusion. In the present work, we study the stability of optically variable devices, namely metameric interference filters, prepared by dual ion beam sputtering onto polycarbonate and glass substrates. Specifically, we assess the color difference as well as the changes in the mechanical properties and integrity of all-dielectric and metal-dielectric systems due to exposure to bleach, detergent and acetone agents, and heat and humidity. The results underline a significant role of the substrate material, of the interfaces, and of the nature and microstructure of the deposited films in long term stability under everyday application conditions.

  13. Structural and corrosion characterization of hydroxyapatite/zirconium nitride-coated AZ91 magnesium alloy by ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kiahosseini, Seyed Rahim, E-mail: rkiahoseyni@yahoo.com [Young Researchers and Elite Club, Damghan Branch, Islamic Azad University, Damghan (Iran, Islamic Republic of); Afshar, Abdollah [Department of Material Science and Engineering, Sharif University of Technology, Tehran (Iran, Islamic Republic of); Mojtahedzadeh Larijani, Majid [Radiation Applications Research School, Nuclear Science and Technology Research Institute, Tehran (Iran, Islamic Republic of); Yousefpour, Mardali [Faculty of Materials and Metallurgical Engineering, Semnan University, Semnan, 35131-19111 (Iran, Islamic Republic of)

    2017-04-15

    Highlights: • The thickness of HA coatings increase by ion beam sputtering time. • The residual strain in HA structure decrease by deposition time increment. • Crystallite size of HA coatings increase by deposition time increment. • The best corrosion resistance occurs at intermediate deposition time. - Abstract: The adhesion of hydroxyapatite (HA) as a coating for the AZ91 magnesium alloy substrate can be improved by using the sputtering method and an intermediate layer, such as ZrN. In this study, HA coatings were applied on ZrN intermediate layers at a temperature of 300 °C for 180, 240, 300, 360, and 420 min by ion beam sputtering. A profilometer device was used to study the HA coating thickness, which changed from 2 μm for the 180-min deposition to 4.7 μm for 420-min deposition. The grazing incidence X-ray diffraction analysis method and the Williamson–Hall analysis were used for structural investigation. As the deposition time increased, the crystalline size increased from 50 nm to 690 nm. However, given sufficient time for stress relief on the coating structure, the lattice strain values were close to zero. Energy-dispersive X-ray spectroscopy results showed that the Ca/P ratio ranged from 1.73 to 1.81. The external indentation method was used to evaluate the coating adhesion to the substrate. The slope of curve for applied force changes versus the radius of cracks in the coating (dP/dr) varied in the range of 0.2–0.07 by the deposition time, indicating that the adhesion increased with the increase in coating thickness. The potentiodynamic polarization technique was used to study the corrosion behavior. With increasing deposition time, the corrosion potential of samples did not show a significant change, and the corrosion potential of all samples (coated and uncoated substrates) was more positive than approximately 55 mV. When the deposition time increased to 360 min, the corrosion current density decreased from 5.5 μA/cm{sup 2} to 0.33

  14. Ion beam profiling from the interaction with a freestanding 2D layer

    Directory of Open Access Journals (Sweden)

    Ivan Shorubalko

    2017-03-01

    Full Text Available Recent years have seen a great potential of the focused ion beam (FIB technology for the nanometer-scale patterning of a freestanding two-dimensional (2D layer. Experimentally determined sputtering yields of the perforation process can be quantitatively explained using the binary collision theory. The main peculiarity of the interaction between the ion beams and the suspended 2D material lies in the absence of collision cascades, featured by no interaction volume. Thus, the patterning resolution is directly set by the beam diameters. Here, we demonstrate pattern resolution beyond the beam size and precise profiling of the focused ion beams. We find out that FIB exposure time of individual pixels can influence the resultant pore diameter. In return, the pore dimension as a function of the exposure dose brings out the ion beam profiles. Using this method of determining an ion-beam point spread function, we verify a Gaussian profile of focused gallium ion beams. Graphene sputtering yield is extracted from the normalization of the measured Gaussian profiles, given a total beam current. Interestingly, profiling of unbeknown helium ion beams in this way results in asymmetry of the profile. Even triangular beam shapes are observed at certain helium FIB conditions, possibly attributable to the trimer nature of the beam source. Our method of profiling ion beams with 2D-layer perforation provides more information on ion beam profiles than the conventional sharp-edge scan method does.

  15. Multi-jump magnetic switching in ion-beam sputtered amorphous Co20Fe60B20 thin films

    International Nuclear Information System (INIS)

    Raju, M.; Chaudhary, Sujeet; Pandya, D. K.

    2013-01-01

    Unconventional multi-jump magnetization reversal and significant in-plane uniaxial magnetic anisotropy (UMA) in the ion-beam sputtered amorphous Co 20 Fe 60 B 20 (5–75 nm) thin films grown on Si/amorphous SiO 2 are reported. While such multi-jump behavior is observed in CoFeB(10 nm) film when the magnetic field is applied at 10°–20° away from the easy-axis, the same is observed in CoFeB(12.5 nm) film when the magnetic field is 45°–55° away from easy-axis. Unlike the previous reports of multi-jump switching in epitaxial films, their observance in the present case of amorphous CoFeB is remarkable. This multi-jump switching is found to disappear when the films are crystallized by annealing at 420 °C. The deposition geometry and the energy of the sputtered species appear to intrinsically induce a kind of bond orientation anisotropy in the films, which leads to the UMA in the as-grown amorphous CoFeB films. Exploitation of such multi-jump switching in amorphous CoFeB thin films could be of technological significance because of their applications in spintronic devices

  16. The influence of sequence of precursor films on CZTSe thin films prepared by ion-beam sputtering deposition

    Science.gov (United States)

    Zhao, Jun; Liang, Guangxing; Zeng, Yang; Fan, Ping; Hu, Juguang; Luo, Jingting; Zhang, Dongping

    2017-02-01

    The CuZnSn (CZT) precursor thin films are grown by ion-beam sputtering Cu, Zn, Sn targets with different orders and then sputtering Se target to fabricate Cu2ZnSnSe4 (CZTSe) absorber thin films on molybdenum substrates. They are annealed in the same vacuum chamber at 400 °C. The characterization methods of CZTSe thin films include X-ray diffraction (XRD), energy dispersive spectroscopy (EDS), scanning electron microscopy (SEM), and X-ray photoelectron spectra (XPS) in order to study the crystallographic properties, composition, surface morphology, electrical properties and so on. The results display that the CZTSe thin films got the strongest diffraction peak intensity and were with good crystalline quality and its morphology appeared smooth and compact with a sequence of Cu/Zn/Sn/Se, which reveals that the expected states for CZTSe are Cu1+, Zn2+, Sn4+, Se2+. With the good crystalline quality and close to ideal stoichiometric ratio the resistivity of the CZTSe film with the sequence of Cu/Zn/Sn/Se is lower, whose optical band gap is about 1.50 eV. Project supported by the National Natural Science Foundation of China (No. 61404086), the Basical Research Program of Shenzhen (Nos. JCYJ20150324140036866, JCYJ20150324141711581), and the Natural Science Foundation of SZU (No. 2014017).

  17. A high charge state heavy ion beam source for HIF

    International Nuclear Information System (INIS)

    Eylon, S.; Henestroza, E.

    1995-04-01

    A high current low emittance high charge state heavy ion beam source is being developed. This is designed to deliver HIF (heavy ion fusion) driver accelerator scale beam. Using high-charge-state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system which consists of the gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 2D beam envelope simulations and experimental feasibility studies' results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector

  18. Topography of InP surface bombarded by O2+ ion beam

    International Nuclear Information System (INIS)

    Sun Zhaoqi

    1997-01-01

    The topography of InP surface bombarded by O 2 + ion beam was investigated. Rippled topographies were observed for bombarded samples, and the data show that the ripple formation starts from a sputtering depth of about 0.4 μm. The wavelength and the disorder of the ripples both increase as the sputtering depth increases. The wavelength of the ripples appears to be sputtering depth dependent rather than sputtering rate dependent. It is confirmed that the ion-beam-induced surface rippling can be effectively suppressed by sample rotation during bombardment

  19. Co-deposition of silver nanoclusters and sputtered alumina for sensor devices

    International Nuclear Information System (INIS)

    Schultes, Guenter; Schmidt, Michael; Truar, Marcel; Goettel, Dirk; Freitag-Weber, Olivia; Werner, Ulf

    2007-01-01

    Heterogeneous thin films may be beneficial for sensoring devices. The electrical conductivity of nanoscale metallic particles being embedded in a matrix of non conducting material should exhibit higher sensitivity to mechanical stress and strain compared to homogeneous films. The production of heterogeneous films may follow different routes. This paper describes the attempt to embed Ag nanoclusters emitted from a gas aggregation cluster source into a growing matrix of alumina originating from sputter sources. The characteristics of the cluster source are first resumed, with their mean masses ranging from approx. 1000 to 100,000 atoms per cluster. The expelled and soft landed clusters are extensively examined by transmission electron microscopy verifying their crystalline form. Yet the use of a radio frequency driven sputter source for the embed material destroys and annihilates the Ag clusters even at very low sputter power. If a reactive direct current sputter process is performed within an oxidising sputter gas instead, the Ag clusters are oxidised to different oxides, but they survive as crystalline entities as verified by X-ray diffraction investigations. A simple subsequent heat treatment reduces the Ag oxides to metallic Ag clusters

  20. Post-excitation of sputtered neutral atoms and application to the surface microanalysis by ionoluminescence

    International Nuclear Information System (INIS)

    Bourdilot, M.; Paletto, S.; Goutte, R.; Guillaud, C.

    1975-01-01

    During the bombardment of a solid target by a positive ion beam, an emission of light proceeding of the deexcitation of the neutral atoms which are sputtered in an excited state, is observed. This phenomenon is used in ionoluminescence analysis. By exciting the neutral atoms sputtered with an auxiliary discharge it is seen that: it is possible to increase, under certain experimental conditions, the sensibility of the ionoluminescence method. This post-excitation is particularly efficient with targets having an high sputtering coefficient [fr

  1. Energy sharing and sputtering in low-energy collision cascades

    International Nuclear Information System (INIS)

    Weller, R.A.; Weller, M.R.

    1982-01-01

    Using a non-linear transport equation to describe the energy-sharing process in an isotropic collision cascade, we have numerically calculated sputtered particle velocity spectra for several very low energy (=< 10 eV) primary recoil distributions. Our formulation of the sputtering process is essentially that used in the linear model and our equations yield the familiar linear model results in the appropriate limit. Discrepancies between our calculations and the linear model results in other cases may be understood by considering the effects of the linear model assumptions on the sputtering yield at very low energies. Our calculations are also compared with recent experimental results investigating ion-explosion sputtering. The results of this comparison support the conclusion that in insulators sputtering is initiated by very low energy recoil atoms when the energy of the incident beam is high enough that the stopping power is dominated by the electronic contribution. The calculations also suggest that energy spectra similar to those for evaporation may result from non-equilibrium processes but that the apparent temperatures of evaporation are not related in a simple way to any real temperature within the target. (author)

  2. Manufacturing of neutral beam sources at Lawrence Livermore Laboratory

    International Nuclear Information System (INIS)

    Baird, E.D.; Duffy, T.J.; Harter, G.A.; Holland, E.D.; Kloos, W.A.; Pastrone, J.A.

    1979-01-01

    Over 50 neutral beam sources (NBS) of the joint Lawrence Berkeley Laboratory (LBL)/Lawrence Livermore Laboratory (LLL) design have been manufactured, since 1973, in the LLL Neutral Beam Source Facility. These sources have been used to provide start-up and sustaining neutral beams for LLL mirror fusion experiments, including 2XIIB, TMX, and Beta II. Experimental prototype 20-kV and 80-kV NBS have also been designed, built, and tested for the Mirror Fusion Test Facility (MFTF)

  3. Large area solid target neutron source

    International Nuclear Information System (INIS)

    Crawford, J.C.; Bauer, W.

    1974-01-01

    A potentially useful neutron source may result from the combination of a solid deuterium-tritium loaded target with the large area, high energy ion beams from ion sources being developed for neutral beam injection. The resulting neutron source would have a large radiating area and thus produce the sizable experimental volume necessary for future studies of bulk and synergistic surface radiation effects as well as experiments on engineering samples and small components. With a 200 keV D + T + beam and 40 kW/cm 2 power dissipation on a 200 cm 2 target spot, a total neutron yield of about 4 x 10 15 n/sec may be achieved. Although the useable neutron flux from this source is limited to 1 to 2 x 10 13 n/cm 2 /sec, this flux can be produced 3 cm in front of the target and over about 300 cm 3 of experimental volume. Problems of total power dissipation, sputtering, isotopic flushing and thermal dissociation are reviewed. Neutron flux profiles and potential experimental configurations are presented and compared to other neutron source concepts. (U.S.)

  4. Faraday screen sputtering on TPX

    International Nuclear Information System (INIS)

    Ehst, D.A.

    1994-12-01

    The TPX design stipulates that the ion-cyclotron resonance frequency (ICRF) antenna must have a Faraday screen (FS). The author considers here possible low Z coatings for the screen, as well as sputtering behavior of the Ni and Ti substrates. The theory of rf-induced sputtering has been developed, and he follows those theoretical approaches. The author's emphasis will be on both impurity generation as a possible source of increased Z eff , and also on actual erosion-lifetime of the materials under worst case conditions

  5. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  6. Microwave and particle beam sources and directed energy concepts

    International Nuclear Information System (INIS)

    Brandt, H.E.

    1989-01-01

    This book containing the proceedings of the SPIE on microwave and particle beam sources and directed energy concepts. Topics covered include: High power microwave sources, Direct energy concepts, Advanced accelerators, and Particle beams

  7. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    Science.gov (United States)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  8. Beam emittance of the Stony Brook Tandem-LINAC booster

    International Nuclear Information System (INIS)

    Scholldorf, A.H.

    1984-01-01

    This dissertation is primarily a study of the longitudinal and transverse beam emittance of the Stony Brook Heavy Ion Tandem LINAC Accelerator Facility, with a secondary emphasis on the beam dynamical design of two key elements of the system: a low energy double-drift buncher, and an achromatic double-90 0 LINAC injection system. A transverse emittance measuring system consisting of two translation stages controlled by stepper motors is described. Each stage carried a pair of beam defining slits mounted so that both horizontal and vertical emittances could be measured with only linear motion of the stage assembly. Beam currents were measured directly by a low-noise, high-sensitivity electrometer circuit integrated with the second slit-stage assembly. A mini-computer controlled the motors and acquired and displayed the data. Transverse emittance areas of beams of 12 C, 16 O, 32 S, and 58 Ni were measured at ion source extraction potential, after ion source acceleration, after tandem acceleration, and after LINAC acceleration. The results were analyzed in terms of source sputter-cone geometry, angle straggling in gas and foil strippers, and a variety of other factors

  9. Gas and metal ion sources

    International Nuclear Information System (INIS)

    Oaks, E.; Yushkov, G.

    1996-01-01

    The positive ion sources are now of interest owing to both their conventional use, e.g., as injectors in charged-particle accelerators and the promising capabilities of intense ion beams in the processes related to the action of ions on various solid surfaces. For industrial use, the sources of intense ion beams and their power supplies should meet the specific requirements as follows: They should be simple, technologically effective, reliable, and relatively low-cost. Since the scanning of an intense ion beam is a complicated problem, broad ion beams hold the greatest promise. For the best use of such beams it is desirable that the ion current density be uniformly distributed over the beam cross section. The ion beam current density should be high enough for the treatment process be accomplished for an acceptable time. Thus, the ion sources used for high-current, high-dose metallurgical implantation should provide for gaining an exposure dose of ∼ 10 17 cm -2 in some tens of minutes. So the average ion current density at the surface under treatment should be over 10 -5 A/cm 2 . The upper limit of the current density depends on the admissible heating of the surface under treatment. The accelerating voltage of an ion source is dictated by its specific use; it seems to lie in the range from ∼1 kV (for the ion source used for surface sputtering) to ∼100 kV and over (for the ion sources used for high-current, high-dose metallurgical implantation)

  10. Source reconstruction using phase space beam summation technique

    International Nuclear Information System (INIS)

    Graubart, Gideon.

    1990-10-01

    In this work, the phase-space beam summation technique (PSBS), is applied to back propagation and inverse source problems. The PSBS expresses the field as a superposition of shifted and tilted beams. This phase space spectrum of beams is matched to the source distribution via an amplitude function which expresses the local spectrum of the source function in terms of a local Fourier transform. In this work, the emphasis is on the phase space processing of the data, on the information content of this data and on the back propagation scheme. More work is still required to combine this back propagation approach in a full, multi experiment inverse scattering scheme. It is shown that the phase space distribution of the data, computed via the local spectrum transform, is localized along lines that define the local arrival direction of the wave data. We explore how the choice of the beam width affects the compactification of this distribution, and derive criteria for choosing a window that optimizes this distribution. It should be emphasized that compact distribution implies fewer beams in the back propagation scheme and therefore higher numerical efficiency and better physical insight. Furthermore it is shown how the local information property of the phase space representation can be used to improve the performance of this simple back propagation problem, in particular with regard to axial resolution; the distance to the source can be determined by back propagating only the large angle phase space beams that focus on the source. The information concerning transverse distribution of the source, on the other hand, is contained in the axial phase space region and can therefore be determined by the corresponding back propagating beams. Because of the global nature of the plane waves propagators the conventional plane wave back propagation scheme does not have the same 'focusing' property, and therefore suffers from lack of information localization and axial resolution. The

  11. A Compact, High-Flux Cold Atom Beam Source

    Science.gov (United States)

    Kellogg, James R.; Kohel, James M.; Thompson, Robert J.; Aveline, David C.; Yu, Nan; Schlippert, Dennis

    2012-01-01

    The performance of cold atom experiments relying on three-dimensional magneto-optical trap techniques can be greatly enhanced by employing a highflux cold atom beam to obtain high atom loading rates while maintaining low background pressures in the UHV MOT (ultra-high vacuum magneto-optical trap) regions. Several techniques exist for generating slow beams of cold atoms. However, one of the technically simplest approaches is a two-dimensional (2D) MOT. Such an atom source typically employs at least two orthogonal trapping beams, plus an additional longitudinal "push" beam to yield maximum atomic flux. A 2D atom source was created with angled trapping collimators that not only traps atoms in two orthogonal directions, but also provides a longitudinal pushing component that eliminates the need for an additional push beam. This development reduces the overall package size, which in turn, makes the 2D trap simpler, and requires less total optical power. The atom source is more compact than a previously published effort, and has greater than an order of magnitude improved loading performance.

  12. Beam Collimation Studies for the ILC Positron Source

    Energy Technology Data Exchange (ETDEWEB)

    Drozhdin, A.; /Fermilab; Nosochkov, Y.; Zhou, F.; /SLAC

    2008-06-26

    Results of the collimation studies for the ILC positron source beam line are presented. The calculations of primary positron beam loss are done using the ELEGANT code. The secondary positron and electron beam loss, the synchrotron radiation along the beam line and the bremsstrahlung radiation in the collimators are simulated using the STRUCT code. The first part of the collimation system, located right after the positron source target (0.125 GeV), is used for protection of the RF Linac sections from heating and radiation. The second part of the system is used for final collimation before the beam injection into the Damping Ring at 5 GeV. The calculated power loss in the collimation region is within 100 W/m, with the loss in the collimators of 0.2-5 kW. The beam transfer efficiency from the target to the Damping Ring is 13.5%.

  13. Optimal conditions for high current proton irradiations at the university of Wisconsin's ion beam laboratory

    International Nuclear Information System (INIS)

    Wetteland, C. J.; Field, K. G.; Gerczak, T. J.; Eiden, T. J.; Maier, B. R.; Albakri, O.; Sridharan, K.; Allen, T. R.

    2013-01-01

    The National Electrostatics Corporation's (NEC) Toroidal Volume Ion Source (TORVIS) source is known for exceptionally high proton currents with minimal service downtime as compared to traditional sputter sources. It has been possible to obtain over 150μA of proton current from the source, with over 70μA on the target stage. However, beam fluxes above ∼1×10 17 /m2-s may have many undesirable effects, especially for insulators. This may include high temperature gradients at the surface, sputtering, surface discharge, cracking or even disintegration of the sample. A series of experiments were conducted to examine the role of high current fluxes in a suite of ceramics and insulating materials. Results will show the optimal proton irradiation conditions and target mounting strategies needed to minimize unwanted macro-scale damage, while developing a procedure for conducting preliminary radiation experiments.

  14. Properties of H- and D- beams from magnetron and Penning sources

    International Nuclear Information System (INIS)

    Sluyters, T.; Kovarik, V.

    1979-01-01

    The quality of negative hydrogen isotope beams are evaluated after extraction from magnetron and Penning sources. The general conclusions of these measurements are that: (a) the beam quality from these plasma sources are adequate for the transport of high current negative ion beams in bending magnets; (b) there is evidence of practically complete space charge neutralization in the drift space beyond the extractor; (c) the beam performance from the Penning source appears to be better compared with the magnetron source; and (d) it is likely that the high electric field gradient and a concave ion emission boundary are responsible for a beam cross-over near the anode aperture, which causes beam divergence practically independent of the extraction geometry

  15. Beam optics study of a negative ion source for neutral beam injection application at ASIPP

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiang-Long; Liang, Li-Zhen [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Jiang, Cai-Chao [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Graduate school, University of Science and Technology of China, Hefei 230026 (China); Xie, Ya-Hong, E-mail: xieyh@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Hu, Chun-Dong; Li, Jun; Gu, Yu-Ming; Chen, Yu-Qian [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Li, Jing-Yong; Wu, Ming-Shan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Graduate school, University of Science and Technology of China, Hefei 230026 (China)

    2017-04-15

    In order to study the generation and extraction of negative ions for neutral beam injection application, a negative ion source is being designed and constructed at Institute of Plasma Physics, Chinese Academy of Sciences (ASIPP). Through a four electrode grids system inside the accelerator, a negative ion beam will be extracted and accelerated up to −60 kV on a reduced scale extraction area of 12 × 50 cm{sup 2} (the area of PG apertures is 185 cm{sup 2}). The beam optics is a key issue for the accelerator design, and greatly determine the source experimental performance in term of beam current, heat load on the grid, beam divergence, and so on. In this paper, the trajectories of electrons and negative ions were simulated in the electrode grids of the negative ion source. The filter capability of electron deflection magnet on the co-extracted electrons is evaluated and confirmed. The negative ion beam optics was designed according to the calculated results of beam divergence and beam radius along the beamlet in different acceleration voltages. The deflection effect of the electron deflection magnet on the negative ion beam was investigated in the single beamlet case and multi-beamlets case.

  16. The use of an ion-beam source to alter the surface morphology of biological implant materials

    Science.gov (United States)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  17. Installation and Characterization of Charged Particle Sources for Space Environmental Effects Testing

    Science.gov (United States)

    Skevington, Jennifer L.

    2010-01-01

    Charged particle sources are integral devices used by Marshall Space Flight Center s Environmental Effects Branch (EM50) in order to simulate space environments for accurate testing of materials and systems. By using these sources inside custom vacuum systems, materials can be tested to determine charging and discharging properties as well as resistance to sputter damage. This knowledge can enable scientists and engineers to choose proper materials that will not fail in harsh space environments. This paper combines the steps utilized to build a low energy electron gun (The "Skevington 3000") as well as the methods used to characterize the output of both the Skevington 3000 and a manufactured Xenon ion source. Such characterizations include beam flux, beam uniformity, and beam energy. Both sources were deemed suitable for simulating environments in future testing.

  18. Conceptual design of the beam source for the DEMO Neutral Beam Injectors

    Science.gov (United States)

    Sonato, P.; Agostinetti, P.; Fantz, U.; Franke, T.; Furno, I.; Simonin, A.; Tran, M. Q.

    2016-12-01

    DEMO (DEMOnstration Fusion Power Plant) is a proposed nuclear fusion power plant that is intended to follow the ITER experimental reactor. The main goal of DEMO will be to demonstrate the possibility to produce electric energy from the fusion reaction. The injection of high energy neutral beams is one of the main tools to heat the plasma up to fusion conditions. A conceptual design of the Neutral Beam Injector (NBI) for the DEMO fusion reactor, is currently being developed by Consorzio RFX in collaboration with other European research institutes. High efficiency and low recirculating power, which are fundamental requirements for the success of DEMO, have been taken into special consideration for the DEMO NBI. Moreover, particular attention has been paid to the issues related to reliability, availability, maintainability and inspectability. A conceptual design of the beam source for the DEMO NBI is here presented featuring 20 sub-sources (two adjacent columns of 10 sub-sources each), following a modular design concept, with each sub-source featuring its radio frequency driver, capable of increasing the reliability and availability of the DEMO NBI. Copper grids with increasing size of the apertures have been adopted in the accelerator, with three main layouts of the apertures (circular apertures, slotted apertures and frame-like apertures for each sub-source). This design, permitting to significantly decrease the stripping losses in the accelerator without spoiling the beam optics, has been investigated with a self-consistent model able to study at the same time the magnetic field, the electrostatic field and the trajectory of the negative ions. Moreover, the status on the R&D carried out in Europe on the ion sources is presented.

  19. Prototype ion source for JT-60 neutral beam injectors

    International Nuclear Information System (INIS)

    Akiba, M.

    1981-01-01

    A prototype ion source for JT-60 neutral beam injectors has been fabricated and tested. Here, we review the construction of the prototype ion source and report the experimental results about the source characteristics that has been obtained at this time. The prototype ion source is now installed at the prototype unit of JT-60 neutral beam injection units and the demonstration of the performances of the ion source and the prototype unit has just started

  20. Directed ion beam sputter etching of polytetrafluorethylene (teflon) using an argon ion source

    Energy Technology Data Exchange (ETDEWEB)

    Garner, C E; Gabriel, S B; Kuo, Y S

    1982-09-24

    Polytetrafluoroethylene (Teflon) tubes of outside diameter 375-625 ..mu..m were perforated by bombarding the tubes with an argon ion beam. Holes of diameter 18 ..mu..m and 40 ..mu..m on a side and open-are ratios of 55% and 65% respectively were formed using electroformed nickel mesh masks. Scanning electron micrographs of the hole walls reveal that they are relatively smooth and that the holes go completely through the tubing walls. Holes with the smoothest walls and the sharpest definition were obtained by using low beam power densities and a tubing target temperature of less than 50/sup 0/C. Volumetric flow rate measurements show that the flow rate through the perforated tubules is 0.2-0.5 cm/sup 3/ min/sup -1/ for a pressure drop across the tubes of 2.2 Torr. The perforated microtubules have an important application in medicine for sufferers of hydrocephalus, a malady which results in the build-up of cerebrospinal fluid in the brain. The perforated tubing is inserted into the ventricle and serves as a shunt by draining off the excess cerebrospinal fluid into another part of the brain, where the fluid is absorbed by normal processes.

  1. Improved design of proton source and low energy beam transport line for European Spallation Source

    Energy Technology Data Exchange (ETDEWEB)

    Neri, L., E-mail: neri@lns.infn.it; Celona, L.; Gammino, S.; Mascali, D.; Castro, G.; Ciavola, G. [Laboratori Nazionali del Sud, Istituto Nazionale di Fisica Nucleare, Via S. Sofia 62, 95123 Catania (Italy); Torrisi, G. [Laboratori Nazionali del Sud, Istituto Nazionale di Fisica Nucleare, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Ingegneria dell’Informazione, delle Infrastrutture e dell’Energia Sostenibile, Università Mediterranea di Reggio Calabria, Via Graziella, 89122 Reggio Calabria (Italy); Cheymol, B.; Ponton, A. [European Spallation Source ESS AB, Lund (Sweden); Galatà, A. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell' università 2, 35020 Legnaro (Italy); Patti, G. [Laboratori Nazionali del Sud, Istituto Nazionale di Fisica Nucleare, Via S. Sofia 62, 95123 Catania (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell' università 2, 35020 Legnaro (Italy); Gozzo, A.; Lega, L. [Laboratori Nazionali del Sud, Istituto Nazionale di Fisica Nucleare, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Ingegneria Informatica e delle Telecomunicazioni, Università degli Studi di Catania, Viale Andrea Doria 6, 95123 Catania (Italy)

    2014-02-15

    The design update of the European Spallation Source (ESS) accelerator is almost complete and the construction of the prototype of the microwave discharge ion source able to provide a proton beam current larger than 70 mA to the 3.6 MeV Radio Frequency Quadrupole (RFQ) started. The source named PS-ESS (Proton Source for ESS) was designed with a flexible magnetic system and an extraction system able to merge conservative solutions with significant advances. The ESS injector has taken advantage of recent theoretical updates and new plasma diagnostics tools developed at INFN-LNS (Laboratori Nazionali del Sud, Istituto Nazionale di Fisica Nucleare). The design strategy considers the PS-ESS and the low energy beam transport line as a whole, where the proton beam behaves like an almost neutralized non-thermalized plasma. Innovative solutions have been used as hereinafter described. Thermo-mechanical optimization has been performed to withstand the chopped beam and the misaligned focused beam over the RFQ input collimator; the results are reported here.

  2. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  3. Highly ordered nanopatterns on Ge and Si surfaces by ion beam sputtering

    International Nuclear Information System (INIS)

    Ziberi, B; Cornejo, M; Frost, F; Rauschenbach, B

    2009-01-01

    The bombardment of surfaces with low-energy ion beams leads to material erosion and can be accompanied by changes in the topography. Under certain conditions this surface erosion can result in well-ordered nanostructures. Here an overview of the pattern formation on Si and Ge surfaces under low-energy ion beam erosion at room temperature will be given. In particular, the formation of ripple and dot patterns, and the influence of different process parameters on their formation, ordering, shape and type will be discussed. Furthermore, the internal ion beam parameters inherent to broad beam ion sources are considered as an additional degree of freedom for controlling the pattern formation process. In this context: (i) formation of ripples at near-normal ion incidence, (ii) formation of dots at oblique ion incidence without sample rotation, (iii) transition between patterns, (iv) formation of ripples with different orientations and (v) long range ordered dot patterns will be presented and discussed.

  4. Advanced Light Source beam position monitor

    International Nuclear Information System (INIS)

    Hinkson, J.

    1991-01-01

    The Advanced Light Source (ALS) is a synchrotron radiation facility nearing completion at LBL. As a third-generation machine, the ALS is designed to produce intense light from bend magnets, wigglers, and undulators (insertion devices). The facility will include a 50 MeV electron linear accelerator, a 1.5 GeV booster synchrotron, beam transport lines, a 1--2 GeV storage ring, insertion devices, and photon beam lines. Currently, the beam injection systems are being commissioned, and the storage ring is being installed. Electron beam position monitors (BPM) are installed throughout the accelerator and constitute the major part of accelerator beam diagnostics. The design of the BPM instruments is complete, and 50 units have been constructed for use in the injector systems. We are currently fabricating 100 additional instruments for the storage ring. In this paper I discuss engineering fabrication, testing and performance of the beam pickup electrodes and the BPM electronics

  5. Ion-induced sputtering

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi; Shimizu, Ryuichi; Shimizu, Hazime; Ito, Noriaki.

    1983-01-01

    The research on ion-induced sputtering has been continued for a long time, since a hundred or more years ago. However, it was only in 1969 by Sigmund that the sputtering phenomena were theoretically arranged into the present form. The reason why the importance of sputtering phenomena have been given a new look recently is the application over wide range. This paper is a review centering around the mechanism of causing sputtering and its characteristics. Sputtering is such a phenomenon that the atoms in the vicinity of a solid surface are emitted into vacuum by receiving a part of ion energy, or in other words, it is a kind of irradiation damage in the vicinity of a solid surface. In this meaning, it can be considered that the sputtering based on the ions located on the clean surface of a single element metal is simple, and has already been basically understood. On the contrary, the phenomena can not be considered to be fully understood in the case of alloys and compounds, because these surface conditions under irradiation are not always clear due to segregation and others. In the paper, the physical of sputtering, single element sputtering, the sputtering in alloys and compounds, and the behaviour of emitted particles are explained. Finally, some recent topics of the sputtering measurement by laser resonant excitation, the sputtering by electron excitation, chemical sputtering, and the sputtering in nuclear fusion reactors are described. (Wakatsuki, Y.)

  6. Atom beam sputtered Ag-TiO{sub 2} plasmonic nanocomposite thin films for photocatalytic applications

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jaspal; Sahu, Kavita [School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, Dwarka, NewDelhi 110078 (India); Pandey, A. [Solid State Physics Laboratory, Defence Research and Development Organization, Timarpur, Delhi 110054 (India); Kumar, Mohit [Institute of Physics, Sachivalaya Marg, Bhubaneswar, Odisha 751005 (India); Ghosh, Tapas; Satpati, B. [Saha Institute of Nuclear Physics, HBNI, 1/AF, Bidhannagar, Kolkata 700064 (India); Som, T.; Varma, S. [Institute of Physics, Sachivalaya Marg, Bhubaneswar, Odisha 751005 (India); Avasthi, D.K. [Amity Institute of Nanotechnology, Noida 201313, Uttar Pradesh (India); Mohapatra, Satyabrata, E-mail: smiuac@gmail.com [School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, Dwarka, NewDelhi 110078 (India)

    2017-07-31

    The development of nanocomposite coatings with highly enhanced photocatalytic activity is important for photocatalytic purification of water and air. We report on the synthesis of Ag-TiO{sub 2} nanocomposite thin films with highly enhanced photocatalytic activity by atom beam co-sputtering technique. The effects of Ag concentration on the structural, morphological, optical, plasmonic and photocatalytic properties of the nanocomposite thin films were investigated. UV–visible DRS studies revealed the presence of surface plasmon resonance (SPR) peak characteristic of Ag nanoparticles together with the excitonic absorption peak originating from TiO{sub 2} nanoparticles in the nanocomposites. XRD studies showed that the nanocomposite thin films consist of Ag nanoparticles and rutile TiO{sub 2} nanoparticles. The synthesized Ag-TiO{sub 2} nanocomposite thin films with 5 at% Ag were found to exhibit highly enhanced photocatalytic activity for sun light driven photocatalytic degradation of methylene blue in water, indicating their potential application in water purification.

  7. Ion beams in materials processing and analysis

    CERN Document Server

    Schmidt, Bernd

    2012-01-01

    This book covers ion beam application in modern materials research, offering the basics of ion beam physics and technology and a detailed account of the physics of ion-solid interactions for ion implantation, ion beam synthesis, sputtering and nano-patterning.

  8. Random source generating far field with elliptical flat-topped beam profile

    International Nuclear Information System (INIS)

    Zhang, Yongtao; Cai, Yangjian

    2014-01-01

    Circular and rectangular multi-Gaussian Schell-model (MGSM) sources which generate far fields with circular and rectangular flat-topped beam profiles were introduced just recently (Sahin and Korotkova 2012 Opt. Lett. 37 2970; Korotkova 2014 Opt. Lett. 39 64). In this paper, a random source named an elliptical MGSM source is introduced. An analytical expression for the propagation factor of an elliptical MGSM beam is derived. Furthermore, an analytical propagation formula for an elliptical MGSM beam passing through a stigmatic ABCD optical system is derived, and its propagation properties in free space are studied. It is interesting to find that an elliptical MGSM source generates a far field with an elliptical flat-topped beam profile, being qualitatively different from that of circular and rectangular MGSM sources. The ellipticity and the flatness of the elliptical flat-topped beam profile in the far field are determined by the initial coherence widths and the beam index, respectively. (paper)

  9. Hyperon beams as a source of polarized protons

    International Nuclear Information System (INIS)

    Underwood, D.G.

    1978-01-01

    A high energy polarized proton beam which would utilize lambda decays as a source of polarized protons was proposed. We discuss the operation of such a beam and related physics experiments. 12 references

  10. Fabrication of highly oriented β-FeSi2 by ion beam sputter deposition

    International Nuclear Information System (INIS)

    Nakanoya, Takamitsu; Sasase, Masato; Yamamoto, Hiroyuki; Saito, Takeru; Hojou, Kiichi

    2002-01-01

    We have prepared the 'environmentally friendly' semiconductor, β-FeSi 2 thin films by ion beam sputter deposition method. The temperature of Si (100) substrate during the deposition and total amount of deposited Fe have been changed in order to find the optimum condition of the film formation. The crystallinity and surface morphology of the formed silicides were analyzed by X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. It is understood that the domain of the epitaxially grown β-FeSi 2 increases with the substrate temperature up to 700degC at the fixed amount of deposited Fe (33 nm) by XRD spectra. On the other hand, α-FeSi 2 is appeared and increased with the temperature above 700degC. Granulation of the surface is also observed by SEM images at this temperature region. At the fixed temperature condition (700degC), formation of α phase, which is obtained at the higher temperature compared with β phase, is observed for the fewer deposited samples. These results suggest the possibility of the epitaxially grown β-FeSi 2 formation at the lower (< 700degC) temperature region. (author)

  11. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  12. Performance of a C{sub 60}{sup +} ion source on a dynamic SIMS instrument

    Energy Technology Data Exchange (ETDEWEB)

    Fahey, Albert J. [Surface and Microanalysis Science Division, National Institute of Standards and Technology, 100 Bureau Dr. Stop 8371, Gaithersburg, MD 20899-8371 (United States)]. E-mail: albert.fahey@nist.gov; Gillen, Greg [Surface and Microanalysis Science Division, National Institute of Standards and Technology, 100 Bureau Dr. Stop 8371, Gaithersburg, MD 20899-8371 (United States); Chi, Peter [Surface and Microanalysis Science Division, National Institute of Standards and Technology, 100 Bureau Dr. Stop 8371, Gaithersburg, MD 20899-8371 (United States); Mahoney, Christine M. [Surface and Microanalysis Science Division, National Institute of Standards and Technology, 100 Bureau Dr. Stop 8371, Gaithersburg, MD 20899-8371 (United States)

    2006-07-30

    An IonOptika C{sub 60}{sup +} ion source has been fitted onto a CAMECA{sup 1} ims-4f. Stable ion beams of C{sub 60}{sup +} and C{sub 60}{sup 2+} have been obtained with typical currents approaching 20nA under conditions that allow for several days of source operation. The beam has been able to be focussed into a spot size of {approx}3{mu}m with an anode voltage of 10keV and scanning ion images have been acquired. We have performed analyses to characterize the performance of C{sub 60}{sup +} and C{sub 60}{sup 2+}. Depth profiles of a Cr-Ni multi-layer and polymer films with C{sub 60}{sup +} have produced excellent results. We have discovered that, under bombardment energies of <12keV on Si, C{sub 60}{sup +} will sputter material from the sample but will also produce deposition at a rate that exceeds the sputter rate. The performance of the source and our experiences with its operation will be discussed and some characteristic analysis data will be shown.

  13. Antiproton source beam position system

    International Nuclear Information System (INIS)

    Bagwell, T.; Holmes, S.; McCarthy, J.; Webber, R.

    1984-05-01

    The TeV I Beam Position Monitor (BPM) system is designed to provide a useful diagnostic tool during the commissioning and operational phases of the antiproton source. Simply stated the design goal is to provide single turn position information for intensities of > 1x10 9 particles, and multi-turn (clocked orbit) information for beam intensities of > 1x10 7 particles, both with sub-millimeter resolution. It is anticipated that the system will be used during commissioning for establishing the first turn through the Debuncher and Accumulator, for aligning injection orbits, for providing information necessary to correct closed orbits, and for measuring various machine parameters (e.g. tunes, dispersion, aperture, chromaticity). During normal antiproton operation the system will be used to monitor the beam position throughout the accumulation process

  14. Design and test of-80 kV snubber core assemblies for MFTF sustaining-neutral-beam power supplies

    International Nuclear Information System (INIS)

    Bishop, S.R.; Mayhall, D.J.; Wilson, J.H.; De Vore, K.R.; Ross, R.I.; Sears, R.G.

    1981-01-01

    Core snubbers, located near the neutral beam source ends of the Mirror Fusion Test Facility (MFTF) Sustaining Neutral Beam Power Supply System (SNBPSS) source cables, protect the neutral beam source extractor grid wires from overheating and sputtering during internal sparkdowns. The snubbers work by producing an induced counter-emf which limits the fault current and by absorbing the capacitive energy stored on the 80 kV source cables and power supplies. A computer program STACAL was used in snubber magnetic design to choose appropriate tape wound cores to provide 400 Ω resistance and 25 J energy absorption. The cores are mounted horizontally in a dielectric structure. The central source cable bundle passes through the snubber and terminates on three copper buses. Multilam receptacles on the buses connect to the source module jumper cables. Corona rings and shields limit electric field stresses to allow close clearances between snubbers

  15. Modeling Solar-Wind Heavy-Ions' Potential Sputtering of Lunar KREEP Surface

    Science.gov (United States)

    Barghouty, A. F.; Meyer, F. W.; Harris, R. P.; Adams, J. H., Jr.

    2012-01-01

    Recent laboratory data suggest that potential sputtering may be an important weathering mechanism that can affect the composition of both the lunar surface and its tenuous exosphere; its role and implications, however, remain unclear. Using a relatively simple kinetic model, we will demonstrate that solar-wind heavy ions induced sputtering of KREEP surfaces is critical in establishing the timescale of the overall solar-wind sputtering process of the lunar surface. We will also also show that potential sputtering leads to a more pronounced and significant differentiation between depleted and enriched surface elements. We briefly discuss the impacts of enhanced sputtering on the composition of the regolith and the exosphere, as well as of solar-wind sputtering as a source of hydrogen and water on the moon.

  16. Detail design of the beam source for the SPIDER experiment

    International Nuclear Information System (INIS)

    Marcuzzi, D.; Agostinetti, P.; Dalla Palma, M.; Degli Agostini, F.; Pavei, M.; Rizzolo, A.; Tollin, M.; Trevisan, L.

    2010-01-01

    The ITER Neutral Beam Test Facility (PRIMA-Padova Research on Injector Megavolt Accelerated) is planned to be built at Consorzio RFX (Padova, Italy). PRIMA includes two experimental devices: a full size plasma source with low voltage extraction called SPIDER (Source for Production of Ion of Deuterium Extracted from RF plasma) and a full size neutral beam injector at full beam power called MITICA (Megavolt ITER Injector Concept Advancement). SPIDER is the first experimental device to be built and operated, aiming at testing the extraction of a negative ion beam (made of H - and in a later stage D - ions) from an ITER size ion source. The main requirements of this experiment are a H - /D - current of approximately 70 A/50 A and an energy of 100 keV. This paper presents an overview of the SPIDER beam source design, with a particular focus on the main design choices, aiming at reaching the best compromise between physics, optics, thermo-mechanical, cooling, assembly and electrical requirements.

  17. Establishment of an ASEAN Ion Beam Analysis Centre for Material Characterizations at Chiang Mai University

    International Nuclear Information System (INIS)

    Kamwanna, T.; Junphong, P.; Yu, L.D.; Singkarat, S.; Intarasiri, S.; Suwannakachorn, D.

    2015-01-01

    A comprehensive ion beam analysis centre unique in the ASEAN (Association of Southeast Asian Nations) region has been established at Chiang Mai University, Thailand. The centre is equipped with a 1.7 MV Tandetron tandem accelerator and a 300 kV medium energy ion beam accelerator for ion beam analysis. The Tandetron accelerator employs two ion sources, a duoplasmatron ion source and a sputter ion source, capable of producing ion beams of both light species (hydrogen and helium) and heavy species. The beamline is currently able to perform ion beam analysis techniques, such as Rutherford backscattering spectrometry (RBS), RBS/channelling, elastic backscattering (EBS), particle induced x ray emission (PIXE) and ionoluminescence (IL) with the assistance of commercial and self-developed software. The medium energy ion accelerator features an ns pulsed beam so that time of flight (ToF) RBS analysis using medium energy ion beams is available for detailed analysis of materials. Ion beam analysis experiments and applications have been vigorously developed for the real time characterization of various materials. Examples are presented and qualities of the ion beam analysis techniques are discussed. (author)

  18. Preparation of Ag-containing diamond-like carbon films on the interior surface of tubes by a combined method of plasma source ion implantation and DC sputtering

    Science.gov (United States)

    Hatada, R.; Flege, S.; Bobrich, A.; Ensinger, W.; Dietz, C.; Baba, K.; Sawase, T.; Watamoto, T.; Matsutani, T.

    2014-08-01

    Adhesive diamond-like carbon (DLC) films can be prepared by plasma source ion implantation (PSII), which is also suitable for the treatment of the inner surface of a tube. Incorporation of a metal into the DLC film provides a possibility to change the characteristics of the DLC film. One source for the metal is DC sputtering. In this study PSII and DC sputtering were combined to prepare DLC films containing low concentrations of Ag on the interior surfaces of stainless steel tubes. A DLC film was deposited using a C2H4 plasma with the help of an auxiliary electrode inside of the tube. This electrode was then used as a target for the DC sputtering. A mixture of the gases Ar and C2H4 was used to sputter the silver. By changing the gas flow ratios and process time, the resulting Ag content of the films could be varied. Sample characterizations were performed by X-ray photoelectron spectroscopy, secondary ion mass spectrometry, atomic force microscopy and Raman spectroscopy. Additionally, a ball-on-disk test was performed to investigate the tribological properties of the films. The antibacterial activity was determined using Staphylococcus aureus bacteria.

  19. Automatic control system for the pig ion source for the U-400 cyclotron

    International Nuclear Information System (INIS)

    Kutner, V.B.; Subbotin, V.G.; Sukhov, A.M.; Tret'yakov, Yu.P.; Fefilov, B.V.

    1989-01-01

    An automatic control system is described for the cyclotron U-400 multiply-charged ion source based on CAMAC apparatus and microprocesor controllers. The system allows the automatic tuning of the ion source to the necessary regime including the automatic start-up of discharge, the obtaining of the necessary parameters of sputtering, the automatic search for a maximum beam current within the given discharge parameters. The system performs tuning the ion source to the quasioptimal regime for 10-15 minutes with up to 5% deviation from the preset parameters. It is possible to stabilize the beam current within 3% using the automatic correction of the discharge regime. 6 refs.; 4 figs

  20. Automatic control system of the PIG ion source for the U-400 cyclotron

    International Nuclear Information System (INIS)

    Kutner, V.B.; Subbotin, V.G.; Sukhov, A.M.; Tretyakov, Y.P.; Fefilov, B.V.; Kasyanov, A.A.; Rybin, V.M.

    1990-01-01

    An automatic control system is described for the multiply charged ion source of the U-400 cyclotron based on CAMAC apparatus and microprocessor controllers. The system allows the automatic tuning of the ion source to the necessary regime, including the automatic start-up of discharge, determination of the necessary parameters of sputtering, and the automatic search for a maximum beam current for given discharge parameters. The system performs the tuning of the ion source to the quasioptimal regime in 10--15 min with up to 5% deviation from the preset parameters. It is possible to stabilize the beam current within 3% using the automatic correction of the discharge regime

  1. Sputtered catalysts

    International Nuclear Information System (INIS)

    Tyerman, W.J.R.

    1978-01-01

    A method is described for preparing a supported catalyst by a sputtering process. A material that is catalytic, or which is a component of a catalytic system, is sputtered on to the surface of refractory oxide particles that are compatible with the sputtered material and the sputtered particles are consolidated into aggregate form. The oxide particles before sputtering should have a diameter in the range 1000A to 50μ and a porosity less than 0.4 ml/g, and may comprise MgO, Al 2 O 3 or SiO 2 or mixtures of these oxides, including hydraulic cement. The particles may possess catalytic activity by themselves or in combination with the catalytic material deposited on them. Sputtering may be effected epitaxially and consolidation may be effected by compaction pelleting, extrusion or spray drying of a slurry. Examples of the use of such catalysts are given. (U.K.)

  2. Fundamental limits to imaging resolution for focused ion beams

    International Nuclear Information System (INIS)

    Orloff, J.; Swanson, L.W.; Utlaut, M.

    1996-01-01

    This article investigates the limitations on the formation of focused ion beam images from secondary electrons. We use the notion of the information content of an image to account for the effects of resolution, contrast, and signal-to-noise ratio and show that there is a competition between the rate at which small features are sputtered away by the primary beam and the rate of collection of secondary electrons. We find that for small features, sputtering is the limit to imaging resolution, and that for extended small features (e.g., layered structures), rearrangement, redeposition, and differential sputtering rates may limit the resolution in some cases. copyright 1996 American Vacuum Society

  3. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    Science.gov (United States)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Pandey, Bimal; Deoli, Naresh T.; Lakshantha, Wickramaarachchige J.; Mulware, Stephen J.; Baxley, Jacob; Manuel, Jack E.; Pacheco, Jose L.; Szilasi, Szabolcs; Weathers, Duncan L.; Reinert, Tilo; Glass, Gary A.; Duggan, Jerry L.; McDaniel, Floyd D.

    2013-07-01

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. For the low-energy beam line, the ion energy can be varied from ˜20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic, electronic, and

  4. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    Energy Technology Data Exchange (ETDEWEB)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Pandey, Bimal; Deoli, Naresh T.; Lakshantha, Wickramaarachchige J.; Mulware, Stephen J.; Baxley, Jacob; Manuel, Jack E.; Pacheco, Jose L.; Szilasi, Szabolcs; Weathers, Duncan L.; Reinert, Tilo; Glass, Gary A.; Duggan, Jerry L.; McDaniel, Floyd D. [Ion Beam Modification and Analysis Laboratory, University of North Texas, Department of Physics, 1155 Union Circle 311427, Denton, Texas 76203 (United States)

    2013-07-03

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. For the low-energy beam line, the ion energy can be varied from {approx}20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic, electronic, and

  5. Off-line production of a sup 7 Be radioactive ion beam

    CERN Document Server

    Gialanella, L; De Cesare, N; D'Onofrio, A; Romano, M; Campajola, L; Formicola, A; Fülöp, Z; Gyürky, G; Imbriani, G; Lubritto, C; Ordine, A; Roca, V; Rogalla, D; Rolfs, C; Russo, M; Sabbarese, C; Somorjai, E; Strieder, F; Terrasi, F; Trautvetter, H P

    2002-01-01

    A sup 7 Be ion beam of several particle pA at 8 MeV has been produced at the TTT3 tandem of the University 'Federico II' in Naples. The sup 7 Be nuclides were formed via the sup 7 Li(p,n) sup 7 Be reaction using a metallic Li target and an 11.4 MeV proton beam of 20 mu A intensity, delivered by the cyclotron in Debrecen. Methods of hot chemistry were used to extract the sup 7 Be nuclides from the Li matrix and to prepare the sup 7 Be cathodes for the ion sputter source of the tandem. Examples of sup 7 Be beam applications are given.

  6. A high charge state heavy ion beam source for heavy ion fusion

    International Nuclear Information System (INIS)

    Eylon, S.; Henestroza, E.

    1996-01-01

    A high current, low emittance, high charge state heavy ion beam source is being developed. This is designed to deliver a heavy ion fusion (HIF) driver accelerator scale beam. Using a high charge state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system, which consists of a gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 3D beam simulations and experimental feasibility study results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector. (orig.)

  7. Sputtered indium-tin oxide/cadmium telluride junctions and cadmium telluride surfaces

    International Nuclear Information System (INIS)

    Courreges, F.G.; Fahrenbruch, A.L.; Bube, R.H.

    1980-01-01

    The properties of indium-tin oxide (ITO)/CdTe junction solar cells prepared by rf sputtering of ITO on P-doped CdTe single-crystal substrates have been investigated through measurements of the electrical and photovoltaic properties of ITO/CdTe and In/CdTe junctions, and of electron beam induced currents (EBIC) in ITO/CdTe junctions. In addition, surface properties of CdTe related to the sputtering process were investigated as a function of sputter etching and thermal oxidation using the techniques of surface photovoltage and photoluminescence. ITO/CdTe cells prepared by this sputtering method consist of an n + -ITO/n-CdTe/p-CdTe buried homojunction with about a 1-μm-thick n-type CdTe layer formed by heating of the surface of the CdTe during sputtering. Solar efficiencies up to 8% have been observed with V/sub 0c/=0.82 V and J/sub s/c=14.5 mA/cm 2 . The chief degradation mechanism involves a decrease in V/sub 0c/ with a transformation of the buried homojunction structure to an actual ITO/CdTe heterojunction

  8. Study of magnetic properties and relaxation in amorphous Fe73.9Nb3.1Cu0.9Si13.2B8.9 thin films produced by ion beam sputtering

    International Nuclear Information System (INIS)

    Celegato, F.; Coiesson, M.; Magni, A.; Tiberto, P.; Vinai, F.; Kane, S. N.; Modak, S. S.; Gupta, A.; Sharma, P.

    2007-01-01

    Amorphous Fe 73.9 Nb 3.1 Cu 0.9 Si 13.2 B 8.9 thin films have been produced by ion beam sputtering with two different beam energies (500 and 1000 eV). Magnetic measurements indicate that the samples display a uniaxial magnetic anisotropy, especially for samples prepared with the lower beam energy. Magnetization relaxation has been measured on both films with an alternating gradient force magnetometer and magneto-optical Kerr effect. Magnetization relaxation occurs on time scales of tens of seconds and can be described with a single stretched exponential function. Relaxation intensity turns out to be higher when measured along the easy magnetization axis

  9. Beam dynamics simulation in the X-ray Compton source

    International Nuclear Information System (INIS)

    Gladkikh, P.; Karnaukhov, I.; Telegin, Yu.; Shcherbakov, A.; Zelinsky, A.

    2002-01-01

    At the National Science Center 'Kharkov Institute of Physics and Technology' the X-ray source based on Compton scattering has been developed. The computer code for simulation of electron beam dynamics with taking into account the Compton scattering effect based on Monte Carlo method is described in this report. The first results of computer simulation of beam dynamics with electron-photon interaction, parameters of electron and photon beams are presented. Calculations were carried out with the lattice of synchrotron light source SRS-800 Ukrainian Synchrotron Center

  10. Beam dynamics simulation in the X-ray Compton source

    CERN Document Server

    Gladkikh, P; Telegin, Yu P; Shcherbakov, A; Zelinsky, A

    2002-01-01

    At the National Science Center 'Kharkov Institute of Physics and Technology' the X-ray source based on Compton scattering has been developed. The computer code for simulation of electron beam dynamics with taking into account the Compton scattering effect based on Monte Carlo method is described in this report. The first results of computer simulation of beam dynamics with electron-photon interaction, parameters of electron and photon beams are presented. Calculations were carried out with the lattice of synchrotron light source SRS-800 Ukrainian Synchrotron Center.

  11. Angular Distributions of Sputtered Atoms from Semiconductor Targets at Grazing Ion Beam Incidence Angles

    International Nuclear Information System (INIS)

    Sekowski, M.; Burenkov, A.; Martinez-Limia, A.; Hernandez-Mangas, J.; Ryssel, H.

    2008-01-01

    Angular distributions of ion sputtered germanium and silicon atoms are investigated within this work. Experiments are performed for the case of grazing ion incidence angles, where the resulting angular distributions are asymmetrical with respect to the polar angle of the sputtered atoms. The performed experiments are compared to Monte-Carlo simulations from different programs. We show here an improved model for the angular distribution, which has an additional dependence of the ion incidence angle.

  12. Polarizing beam-splitter device at a pulsed neutron source

    International Nuclear Information System (INIS)

    Itoh, Shinichi; Takeda, Masayasu.

    1996-01-01

    A polarizing beam-splitter device was designed using Fe/Si supermirrors in order to obtain two polarized neutron beam lines, from one unpolarized neutron beam line, with a practical beam size for investigating the properties of condensed matter. This device was mounted after a guide tube at a pulsed neutron source, and its performance was investigated. (author)

  13. Beam Instrumentation for the Spallation Neutron Source Ring

    International Nuclear Information System (INIS)

    Witkover, R. L.; Cameron, P. R.; Shea, T. J.; Connolly, R. C.; Kesselman, M.

    1999-01-01

    The Spallation Neutron Source (SNS) will be constructed by a multi-laboratory collaboration with BNL responsible for the transfer lines and ring. The 1 MW beam power necessitates careful monitoring to minimize un-controlled loss. This high beam power will influence the design of the monitors in the high energy beam transport line (HEBT) from linac to ring, in the ring, and in the ring-to-target transfer line (RTBT). The ring instrumentation must cover a 3-decade range of beam intensity during accumulation. Beam loss monitoring will be especially critical since un-controlled beam loss must be kept below 10 -4 . A Beam-In-Gap (BIG) monitor is being designed to assure out-of-bucket beam will not be lost in the ring

  14. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  15. Negative hydrogen ion sources for neutral beam injectors

    International Nuclear Information System (INIS)

    Prelec, K.

    1977-01-01

    Negative ion sources offer an attractive alternative in the design of high energy neutral beam injectors. The requirements call for a single source unit capable of yielding H - or D - beam currents of up to 10 A, operating with pulses of 1 s duration or longer, with gas and power efficiencies comparable to or better than achievable with double electron capture systems. H - beam currents of up to 1 A have already been achieved in pulses of 10 ms; gas and power efficiencies were, however, lower than required. In order to increase the H - yield, extend the pulse length and improve gas and power efficiencies fundamental processes in the source plasma and on cesium covered electrode surfaces have to be analyzed; these processes will be briefly reviewed and scaling rules established. Based on these considerations as well as on results obtained with 1 A source models a larger model was designed and constructed, having a 7.5 cm long cathode with forced cooling. Results of initial tests will be presented and possible scaling up to 10 A units discussed

  16. High-radiance LDP source for mask inspection and beam line applications (Conference Presentation)

    Science.gov (United States)

    Teramoto, Yusuke; Santos, Bárbara; Mertens, Guido; Kops, Ralf; Kops, Margarete; von Wezyk, Alexander; Bergmann, Klaus; Yabuta, Hironobu; Nagano, Akihisa; Ashizawa, Noritaka; Taniguchi, Yuta; Yamatani, Daiki; Shirai, Takahiro; Kasama, Kunihiko

    2017-04-01

    High-throughput actinic mask inspection tools are needed as EUVL begins to enter into volume production phase. One of the key technologies to realize such inspection tools is a high-radiance EUV source of which radiance is supposed to be as high as 100 W/mm2/sr. Ushio is developing laser-assisted discharge-produced plasma (LDP) sources. Ushio's LDP source is able to provide sufficient radiance as well as cleanliness, stability and reliability. Radiance behind the debris mitigation system was confirmed to be 120 W/mm2/sr at 9 kHz and peak radiance at the plasma was increased to over 200 W/mm2/sr in the recent development which supports high-throughput, high-precision mask inspection in the current and future technology nodes. One of the unique features of Ushio's LDP source is cleanliness. Cleanliness evaluation using both grazing-incidence Ru mirrors and normal-incidence Mo/Si mirrors showed no considerable damage to the mirrors other than smooth sputtering of the surface at the pace of a few nm per Gpulse. In order to prove the system reliability, several long-term tests were performed. Data recorded during the tests was analyzed to assess two-dimensional radiance stability. In addition, several operating parameters were monitored to figure out which contributes to the radiance stability. The latest model that features a large opening angle was recently developed so that the tool can utilize a large number of debris-free photons behind the debris shield. The model was designed both for beam line application and high-throughput mask inspection application. At the time of publication, the first product is supposed to be in use at the customer site.

  17. LEVIS ion source and beam characterization on PBFA-II

    International Nuclear Information System (INIS)

    Renk, T.J.; Tisone, G.C.; Adams, R.G.; Bailey, J.E.; Filuk, A.B.; Johnson, D.J.; Pointon, T.D.

    1993-01-01

    We report on the continuing development of the LEVIS (Laser Evaporation Ion Source) lithium active ion source for the 15-cm radial focussing ion diode on PBFA-11. We found previously that DC-heating of the anode surface to 150 degrees C maximum for 5 hours resulted in a pure lithium beam. This paper discusses the characterization of LEVIS source uniformity by Faraday cup arrays and multiple lines of sight for visible light spectroscopy. These diagnostics give some evidence of nonuniformity in both A-K gap electric fields and ion current density. Despite this, however, the measured focal spot size appears smaller than with a passive LiF source operated in the same magnetic field topology. Experiments using a curved anode for vertical beam focussing show reduced ion beam turn-on delay by 5 ns by altering the magnetic field topology as well as anode curvature. Another 3--5 ns reduction was achieved by switching from a passive LiF to the active LEVIS source

  18. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  19. Beam dynamics simulation in the X-ray Compton source

    Energy Technology Data Exchange (ETDEWEB)

    Gladkikh, P.; Karnaukhov, I.; Telegin, Yu.; Shcherbakov, A. E-mail: shcherbakov@kipt.kharkov.ua; Zelinsky, A

    2002-05-01

    At the National Science Center 'Kharkov Institute of Physics and Technology' the X-ray source based on Compton scattering has been developed. The computer code for simulation of electron beam dynamics with taking into account the Compton scattering effect based on Monte Carlo method is described in this report. The first results of computer simulation of beam dynamics with electron-photon interaction, parameters of electron and photon beams are presented. Calculations were carried out with the lattice of synchrotron light source SRS-800 Ukrainian Synchrotron Center.

  20. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  1. Effects of deposition and post-annealing conditions on electrical properties and thermal stability of TiAlN films by ion beam sputter deposition

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2006-01-01

    TiAlN films were deposited by ion beam sputter deposition (IBSD) using a Ti-Al (90/10) alloy target in a nitrogen atmosphere on thermal oxidized Si wafers. Effects of ion beam voltage, substrate temperature (T s ) and post-annealing conditions on electrical properties and oxidation resistance of TiAlN films were studied. According to the experimental results, the proper kinetic energy provided good crystallinity and a dense structure of the films. Because of their better crystallinity and predomination of (200) planes, TiAlN films deposited with 900 V at low T s (50 deg. C) have shown lower resistivity than those at high T s (250 deg. C). They also showed better oxidation resistance. If the beam voltage was too high, it caused some damage to the film surfaces, which caused poor oxidation resistance of films. When sufficient kinetic energy was provided by the beam voltage, the mobility of adatoms was too high due to their extra thermal energy, thus reducing the crystallinity and structure density of the films. A beam voltage of 900 V and a substrate temperature of 50 deg. C were the optimum deposition conditions used in this research. They provided good oxidation resistance and low electrical resistivity for IBSD TiAlN films

  2. Optimization of atomic beam sources for polarization experiments

    Energy Technology Data Exchange (ETDEWEB)

    Gaisser, Martin; Nass, Alexander; Stroeher, Hans [IKP, Forschungszentrum Juelich (Germany)

    2013-07-01

    For experiments with spin-polarized protons and neutrons a dense target is required. In current atomic beam sources an atomic hydrogen or deuterium beam is expanded through a cold nozzle and a system of sextupole magnets and RF-transition units selects a certain hyperfine state. The achievable flux seems to be limited to about 10{sup 17} particles per second with a high nuclear polarization. A lot of experimental and theoretical effort has been undertaken to understand all effects and to increase the flux. However, improvements have remained marginal. Now, a Monte Carlo simulation based on the DSMC part of the open source C++ library OpenFOAM is set up in order to get a better understanding of the flow and to optimize the various elements. It is intended to include important effects like deflection from magnetic fields, recombination on the walls and spin exchange collisions in the simulation and make quantitative predictions of changes in the experimental setup. The goal is to get a tool that helps to further increase the output of an atomic beam source. So far, a new binary collision model, magnetic fields, RF-transition units and a tool to measure the collision age are included. The next step will be to couple the whole simulation with an optimization algorithm implementing Adaptive Simulated Annealing (ASA) in order to automatically optimize the atomic beam source.

  3. Pulsed dc self-sustained magnetron sputtering

    International Nuclear Information System (INIS)

    Wiatrowski, A.; Posadowski, W. M.; Radzimski, Z. J.

    2008-01-01

    The magnetron sputtering has become one of the commonly used techniques for industrial deposition of thin films and coatings due to its simplicity and reliability. At standard magnetron sputtering conditions (argon pressure of ∼0.5 Pa) inert gas particles (necessary to sustain discharge) are often entrapped in the deposited films. Inert gas contamination can be eliminated during the self-sustained magnetron sputtering (SSS) process, where the presence of the inert gas is not a necessary requirement. Moreover the SSS process that is possible due to the high degree of ionization of the sputtered material also gives a unique condition during the transport of sputtered particles to the substrate. So far it has been shown that the self-sustained mode of magnetron operation can be obtained using dc powering (dc-SSS) only. The main disadvantage of the dc-SSS process is its instability related to random arc formation. In such case the discharge has to be temporarily extinguished to prevent damaging both the magnetron source and power supply. The authors postulate that pulsed powering could protect the SSS process against arcs, similarly to reactive pulsed magnetron deposition processes of insulating thin films. To put this concept into practice, (i) the high enough plasma density has to be achieved and (ii) the type of pulsed powering has to be chosen taking plasma dynamics into account. In this article results of pulsed dc self-sustained magnetron sputtering (pulsed dc-SSS) are presented. The planar magnetron equipped with a 50 mm diameter and 6 mm thick copper target was used during the experiments. The maximum target power was about 11 kW, which corresponded to the target power density of ∼560 W/cm 2 . The magnetron operation was investigated as a function of pulse frequency (20-100 kHz) and pulse duty factor (50%-90%). The discharge (argon) extinction pressure level was determined for these conditions. The plasma emission spectra (400-410 nm range) and deposition

  4. Optimal conditions for high current proton irradiations at the university of Wisconsin's ion beam laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Wetteland, C. J.; Field, K. G.; Gerczak, T. J. [Materials Science Program, University of Wisconsin, Madison, WI 53706 (United States); Eiden, T. J.; Maier, B. R.; Albakri, O.; Sridharan, K.; Allen, T. R. [Department of Engineering Physics, University of Wisconsin, Madison, WI 53706 (United States)

    2013-04-19

    The National Electrostatics Corporation's (NEC) Toroidal Volume Ion Source (TORVIS) source is known for exceptionally high proton currents with minimal service downtime as compared to traditional sputter sources. It has been possible to obtain over 150{mu}A of proton current from the source, with over 70{mu}A on the target stage. However, beam fluxes above {approx}1 Multiplication-Sign 10{sup 17}/m2-s may have many undesirable effects, especially for insulators. This may include high temperature gradients at the surface, sputtering, surface discharge, cracking or even disintegration of the sample. A series of experiments were conducted to examine the role of high current fluxes in a suite of ceramics and insulating materials. Results will show the optimal proton irradiation conditions and target mounting strategies needed to minimize unwanted macro-scale damage, while developing a procedure for conducting preliminary radiation experiments.

  5. Space-charge compensation of highly charged ion beam from laser ion source

    International Nuclear Information System (INIS)

    Kondrashev, S.A.; Collier, J.; Sherwood, T.R.

    1996-01-01

    The problem of matching an ion beam delivered by a high-intensity ion source with an accelerator is considered. The experimental results of highly charged ion beam transport with space-charge compensation by electrons are presented. A tungsten thermionic cathode is used as a source of electrons for beam compensation. An increase of ion beam current density by a factor of 25 is obtained as a result of space-charge compensation at a distance of 3 m from the extraction system. The process of ion beam space-charge compensation, requirements for a source of electrons, and the influence of recombination losses in a space-charge-compensated ion beam are discussed. (author)

  6. Titanium dioxide fine structures by RF magnetron sputter method deposited on an electron-beam resist mask

    Science.gov (United States)

    Hashiba, Hideomi; Miyazaki, Yuta; Matsushita, Sachiko

    2013-09-01

    Titanium dioxide (TiO2) has been draw attention for wide range of applications from photonic crystals for visible light range by its catalytic characteristics to tera-hertz range by its high refractive index. We present an experimental study of fabrication of fine structures of TiO2 with a ZEP electron beam resist mask followed by Ti sputter deposition techniques. A TiO2 thin layer of 150 nm thick was grown on an FTO glass substrate with a fine patterned ZEP resist mask by a conventional RF magnetron sputter method with Ti target. The deposition was carried out with argon-oxygen gases at a pressure of 5.0 x 10 -1 Pa in a chamber. During the deposition, ratio of Ar-O2 gas was kept to the ratio of 2:1 and the deposition ratio was around 0.5 Å/s to ensure enough oxygen to form TiO2 and low temperature to avoid deformation of fine pattern of the ZPU resist mask. Deposited TiO2 layers are white-transparent, amorphous, and those roughnesses are around 7 nm. Fabricated TiO2 PCs have wider TiO2 slabs of 112 nm width leaving periodic 410 x 410 nm2 air gaps. We also studied transformation of TiO2 layers and TiO2 fine structures by baking at 500 °C. XRD measurement for TiO2 shows that the amorphous TiO2 transforms to rutile and anatase forms by the baking while keeping the same profile of the fine structures. Our fabrication method can be one of a promising technique to optic devices on researches and industrial area.

  7. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  8. Relativistic electron beam source with an air-core step-up transformer

    International Nuclear Information System (INIS)

    Mohri, Akihiro; Ikuta, Kazunari; Masuzaki, Masaru; Tsuzuki, Tetsuya; Fujiwaka, Setsuya.

    1975-04-01

    An air-core step-up transformer with a high coupling factor has been developed to generate a high voltage pulse for charging the pulse forming line of a relativistic electron beam source. A beam source using the transformer was constructed and well operated for the beam injection into a toroidal system. (auth.)

  9. Calculations of atomic sputtering and displacement cross-sections in solid elements by electrons with energies from threshold to 1.5 MV

    International Nuclear Information System (INIS)

    Bradley, C.R.

    1988-12-01

    The kinetics of knock-on collisions of relativistic electrons with nuclei and details of the numerical evaluation of differential, recoil, and total Mott cross-sections are reviewed and discussed. The effects of electron beam induced displacement and sputtering, in the transmission electron microscope (TEM) environment, on microanalysis are analyzed with particular emphasis placed on the removal of material by knock-on sputtering. The mass loss predicted due to transmission knock-on sputtering is significant for many elements under conditions frequently encountered in microanalysis. Total Mott cross-sections are tabulated for all naturally occurring solid elements up to Z = 92 at displacement energies of one, two, four, and five times the sublimation energy and for accelerating voltages accessible in the transmission electron microscope. Fortran source code listings for the calculation of the differential Mott cross-section as a function of electron scattering angle (dMottCS), as a function of nuclear recoil angle (RECOIL), and the total Mott cross-section (TOTCS) are included. 48 refs., 21 figs., 12 tabs

  10. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  11. A one-dimensional ion beam figuring system for x-ray mirror fabrication

    International Nuclear Information System (INIS)

    Idir, Mourad; Huang, Lei; Bouet, Nathalie; Kaznatcheev, Konstantine; Vescovi, Matthew; Lauer, Ken; Conley, Ray; Rennie, Kent; Kahn, Jim; Nethery, Richard; Zhou, Lin

    2015-01-01

    We report on the development of a one-dimensional Ion Beam Figuring (IBF) system for x-ray mirror polishing. Ion beam figuring provides a highly deterministic method for the final precision figuring of optical components with advantages over conventional methods. The system is based on a state of the art sputtering deposition system outfitted with a gridded radio frequency inductive coupled plasma ion beam source equipped with ion optics and dedicated slit developed specifically for this application. The production of an IBF system able to produce an elongated removal function rather than circular is presented in this paper, where we describe in detail the technical aspect and present the first obtained results

  12. A one-dimensional ion beam figuring system for x-ray mirror fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Idir, Mourad, E-mail: midir@bnl.gov; Huang, Lei; Bouet, Nathalie; Kaznatcheev, Konstantine; Vescovi, Matthew; Lauer, Ken [NSLS-II, Brookhaven National Laboratory, P.O. Box 5000, Upton, New York 11973 (United States); Conley, Ray [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Rennie, Kent; Kahn, Jim; Nethery, Richard [Kaufman & Robinson, Inc., 1330 Blue Spruce Drive, Fort Collins, Colorado 80524 (United States); Zhou, Lin [College of Mechatronics and Automation, National University of Defense Technology, 109 Deya Road, Changsha, Hunan 410073 (China); Hu’nan Key Laboratory of Ultra-precision Machining Technology, Changsha, Hunan 410073 (China)

    2015-10-15

    We report on the development of a one-dimensional Ion Beam Figuring (IBF) system for x-ray mirror polishing. Ion beam figuring provides a highly deterministic method for the final precision figuring of optical components with advantages over conventional methods. The system is based on a state of the art sputtering deposition system outfitted with a gridded radio frequency inductive coupled plasma ion beam source equipped with ion optics and dedicated slit developed specifically for this application. The production of an IBF system able to produce an elongated removal function rather than circular is presented in this paper, where we describe in detail the technical aspect and present the first obtained results.

  13. Experimental and analytical study of the sputtering phenomena

    International Nuclear Information System (INIS)

    Howard, P.A.

    1976-03-01

    One form of the sputtering phenomena, the heat-transfer process that occurs when an initially hot vertical surface is cooled by a falling liquid film, was examined from a new experimental approach. The sputtering front is the lowest wetted position on the vertical surface and is characterized by a short region of intense nucleate boiling. The sputtering front progresses downward at nearly a constant rate, the surface below the sputtering front being dry and almost adiabatic. This heat-transfer process is of interest in the analysis of some of the performance aspects of emergency core-cooling systems of light-water reactors. An experimental apparatus was constructed to examine the heat-transfer characteristics of a sputtering front. In the present study, a heat source of sufficient intensity was located immediately below the sputtering front, which prevented its downward progress, thus permitting detailed measurements of steady-state surface temperatures throughout a sputtering front. Experimental evidence showed the sputtering front to correspond to a critical heat-flux (CHF) phenomenon. Data were obtained with water flow rates of 350-1600 lb/sub m//hr-ft and subcoolings of 40-140 0 F on a 3 / 8 -in. solid copper rod at 1 atm. A two-dimensional analytical model was developed to describe a stationary sputtering front where the wet-dry interface corresponds to a CHF phenomena and the dry zone is adiabatic. This model is nonlinear because of the temperature dependence of the heat-transfer coefficient in the wetted region and has yielded good agreement with data. A simplified one-dimensional approximation was developed which adequately describes these data. Finally, by means of a coordinate transformation and additional simplifying assumptions, this analysis was extended to analyze moving sputtering fronts, and reasonably good agreement with reported data was shown

  14. Targets for neutron beam spallation sources

    International Nuclear Information System (INIS)

    Bauer, G.S.

    1980-01-01

    The meeting on Targets for Neutron Beam Spallation Sources held at the Institut fuer Festkoerperforschung at KFA Juelich on June 11 and 12, 1979 was planned as an informal get-together for scientists involved in the planning, design and future use of spallation neutron sources in Europe. These proceedings contain the papers contributed to this meeting. For further information see hints under relevant topics. (orig./FKS)

  15. Isotope puzzle in sputtering

    International Nuclear Information System (INIS)

    Zheng Liping

    1998-01-01

    Mechanisms affecting multicomponent material sputtering are complex. Isotope sputtering is the simplest in the multicomponent materials sputtering. Although only mass effect plays a dominant role in the isotope sputtering, there is still an isotope puzzle in sputtering by ion bombardment. The major arguments are as follows: (1) At the zero fluence, is the isotope enrichment ejection-angle-independent or ejection-angle-dependent? (2) Is the isotope angular effect the primary or the secondary sputter effect? (3) How to understand the action of momentum asymmetry in collision cascade on the isotope sputtering?

  16. Beam focusing by aperture displacement in multiampere ion sources

    International Nuclear Information System (INIS)

    Stewart, L.D.; Kim, J.; Matsuda, S.

    1975-05-01

    Results are given of an experimental study of beam focusing by aperture displacement (Δx) in duoPIGatron ion sources. Measurements with a single aperture, accel-decel electrode geometry show that the beam deflection angle is linear with Δx/z for the round aperture and with Δx/z* 2 for the slit aperture where z and z* are respectively the extraction gap distance and the effective gap distance. Applying the result of the single aperture study to the multiaperture, duoPIGatron sources, it was possible to increase the neutral beam injection power to the ORMAK plasma by approximately 40 percent. Also presented are discussion and comparison of other work on the effect of aperture displacement on beam deflection. (U.S.)

  17. Pseudo ribbon metal ion beam source

    International Nuclear Information System (INIS)

    Stepanov, Igor B.; Ryabchikov, Alexander I.; Sivin, Denis O.; Verigin, Dan A.

    2014-01-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface

  18. Pseudo ribbon metal ion beam source.

    Science.gov (United States)

    Stepanov, Igor B; Ryabchikov, Alexander I; Sivin, Denis O; Verigin, Dan A

    2014-02-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface.

  19. High-intensity positive beams extracted from a compact double-chamber ion source

    International Nuclear Information System (INIS)

    Huck, H.; Somacal, H.; Di Gregorio, D.E.; Fernandez Niello, J.O.; Igarzabal, M.; Di Paolo, H.; Reinoso, M.

    2005-01-01

    This work presents the design and development of a simple ion source, the associated ion extraction optics, and the beam transport of a low-energy and high-current proton accelerator. In its actual version, the ion source can deliver positive proton currents up to 100 mA. This rather high beam current is achieved by adding a small ionization chamber between the discharge chamber containing the filament and the extraction electrode of the ion source. Different parameters of the ion source and the injection beam line are evaluated by means of computer simulations to optimize the beam production and transmission

  20. Sputtering of carbon using hydrogen ion beams with energies of 60–800 eV

    Energy Technology Data Exchange (ETDEWEB)

    Sidorov, Dmitry S., E-mail: dmitrisidoroff@rambler.ru [Nizhny Novgorod State University, 23 Gagarina Avenue, Nizhny Novgorod, Nizhny Novgorod Region 603950 (Russian Federation); Chkhalo, Nikolay I., E-mail: chkhalo@ipm.sci-nnov.ru [Institute for Physics of Microstructures RAS, Academicheskaya Str. 7, Afonino, Nizhny Novgorod Region, Kstovsky District, Kstovo Region 603087 (Russian Federation); Mikhailenko, Mikhail S.; Pestov, Alexey E.; Polkovnikov, Vladimir N. [Institute for Physics of Microstructures RAS, Academicheskaya Str. 7, Afonino, Nizhny Novgorod Region, Kstovsky District, Kstovo Region 603087 (Russian Federation)

    2016-11-15

    This article presents the result of a study on the sputtering of carbon films by low-energy hydrogen ions. In particular, the etching rate and surface roughness were measured. The range of energies where the sputtering switches from pure chemical to a combination of chemical and physical mechanisms was determined. It is shown that Sigmund’s theory for ion etching does not work well for fields of energy less than 150 eV and that it accurately describes the dependence of a sputtering coefficient on ion energy for energies greater than 300 eV. A strong smoothing effect for the surface of carbon film was also found. This result is interesting in itself and for its significance for the manufacture of super-smooth surfaces for X-ray applications.

  1. Giant metal sputtering yields induced by 20-5000 keV/atom gold clusters

    International Nuclear Information System (INIS)

    Andersen, H.H.; Brunelle, A.; Della-Negra, S.; Depauw, J.; Jacquet, D.; Le Beyec, Y.

    1997-01-01

    Very large non-linear effects have been found in cluster-induced metal sputtering over a broad projectile energy interval for the first time. Recently available cluster beams from tandem accelerators have allowed sputtering yield measurements to be made with Au 1 to Au 5 from 20 keV/atom to 5 MeV/atom. The cluster-sputtering yield maxima were found at the same total energy but not at the same energy/atom as expected. For Au 5 a yield as high as 3000 was reached at 150 keV/atom while the Au 1 yield was only 55 at the same velocity. The Sigmund-Claussen thermal spike theory, which fits published data at low energy, cannot reproduce our extended new data set. (author)

  2. Carbonate as sputter target material for rapid {sup 14}C AMS

    Energy Technology Data Exchange (ETDEWEB)

    Longworth, Brett E., E-mail: blongworth@whoi.edu [Department of Geology and Geophysics, Woods Hole Oceanographic Institution, Woods Hole, MA (United States); Robinson, Laura F. [Department of Marine Chemistry and Geochemistry, Woods Hole Oceanographic Institution, Woods Hole, MA (United States); Roberts, Mark L.; Beaupre, Steven R.; Burke, Andrea [Department of Geology and Geophysics, Woods Hole Oceanographic Institution, Woods Hole, MA (United States); Jenkins, William J. [Department of Marine Chemistry and Geochemistry, Woods Hole Oceanographic Institution, Woods Hole, MA (United States)

    2013-01-15

    This paper describes a technique for measuring the {sup 14}C content of carbonate samples by producing C{sup -} ions directly in the negative ion sputter source of an accelerator mass spectrometer (AMS) system. This direct analysis of carbonate material eliminates the time and expense of graphite preparation. Powdered carbonate is mixed with titanium powder, loaded into a target cartridge, and compressed. Beam currents for optimally-sized carbonate targets (0.09-0.15 mg C) are typically 10-20% of those produced by optimally-sized graphite targets (0.5-1 mg C). Modern (>0.8 Fm) samples run by this method have standard deviations of 0.009 Fm or less, and near-modern samples run as unknowns agree with values from traditional hydrolysis/graphite to better than 2%. Targets with as little as 0.06 mg carbonate produce useable ion currents and results, albeit with increased error and larger blank. In its current state, direct sputtering is best applied to problems where a large number of analyses with lower precision are required. These applications could include age surveys of deep-sea corals for determination of historic population dynamics, to identify samples that would benefit from high precision analysis, and for growth rate studies of organisms forming carbonate skeletons.

  3. Dual beam organic depth profiling using large argon cluster ion beams

    Science.gov (United States)

    Holzweber, M; Shard, AG; Jungnickel, H; Luch, A; Unger, WES

    2014-01-01

    Argon cluster sputtering of an organic multilayer reference material consisting of two organic components, 4,4′-bis[N-(1-naphthyl-1-)-N-phenyl- amino]-biphenyl (NPB) and aluminium tris-(8-hydroxyquinolate) (Alq3), materials commonly used in organic light-emitting diodes industry, was carried out using time-of-flight SIMS in dual beam mode. The sample used in this study consists of a ∽400-nm-thick NPB matrix with 3-nm marker layers of Alq3 at depth of ∽50, 100, 200 and 300 nm. Argon cluster sputtering provides a constant sputter yield throughout the depth profiles, and the sputter yield volumes and depth resolution are presented for Ar-cluster sizes of 630, 820, 1000, 1250 and 1660 atoms at a kinetic energy of 2.5 keV. The effect of cluster size in this material and over this range is shown to be negligible. © 2014 The Authors. Surface and Interface Analysis published by John Wiley & Sons Ltd. PMID:25892830

  4. Study of the ion sputter-machining, 1

    International Nuclear Information System (INIS)

    Miyamoto, Iwao; Taniguchi, Norio

    1979-01-01

    A lattice disordering of the surface of single crystal silicon due to ion bombardment of Ar + was investigated by the high energy electron diffraction method, with the incident angle of 1.7 0 and 2.8 0 . By this measuring system, the degree of disorders of the sputter-machined surface layer of Si single crystal in the depth of 50 A and 30 A has been determined, under the working conditions of the ion energy ranging from 0.2 keV to 1.5 keV and the incident angle of ion ranging from 0 0 to 75 0 . Moreover, the recovery of lattice disorder of sputter-machined surface layer of Si single crystal by means of the isochronal thermal annealing has been also confirmed by the same method. From the above experiments, the following conclusions are obtained. (1) The layers of sputter-machined surface of Si single crystal workpiece are highly disordered like amorphous, under the working conditions of ion energy ranging from 0.2 keV to 1.5 keV for the vertical ion incident angle. (2) Under the working conditions of ion incident angle larger than 60 0 , using the ion beam with a lower energy under 300 eV, the surface of the workpiece is not disordered. Therefore, a sputter-machined surface of Si single crystal with highly ordered structure can be obtained under this working condition. (3) The recovery of disorder of sputter-machined surface is completed by the heat-treatment of workpiece under isochronal annealing for 1 hour at 800 0 C. However, it is not clear whether this recovery of lattice point or the dispersion of interstitially located argon atoms from the surface to the outside. (author)

  5. Novel neutralized-beam intense neutron source for fusion technology development

    International Nuclear Information System (INIS)

    Osher, J.E.; Perkins, L.J.

    1983-01-01

    We describe a neutralized-beam intense neutron source (NBINS) as a relevant application of fusion technology for the type of high-current ion sources and neutral beamlines now being developed for heating and fueling of magnetic-fusion-energy confinement systems. This near-term application would support parallel development of highly reliable steady-state higher-voltage neutral D 0 and T 0 beams and provide a relatively inexpensive source of fusion neutrons for materials testing at up to reactor-like wall conditions. Beam-target examples described incude a 50-A mixed D-T total (ions plus neutrals) space-charge-neutralized beam at 120 keV incident on a liquid Li drive-in target, or a 50-A T 0 + T + space-charge-neutralized beam incident on either a LiD or gas D 2 target with calculated 14-MeV neutron yields of 2 x 10 15 /s, 7 x 10 15 /s, or 1.6 x 10 16 /s, respectively. The severe local heat loading on the target surface is expected to limit the allowed beam focus and minimum target size to greater than or equal to 25 cm 2

  6. Theory for beam-plasma millimeter-wave radiation source experiments

    International Nuclear Information System (INIS)

    Rosenberg, M.; Krall, N.A.

    1989-01-01

    This paper reports on theoretical studies for millimeter-wave plasma source experiments. In the device, millimeter-wave radiation is generated in a plasma-filled waveguide driven by counter-streaming electron beams. The beams excite electron plasma waves which couple to produce radiation at twice the plasma frequency. Physics topics relevant to the high electron beam current regime are discussed

  7. A source of translationally cold molecular beams

    Science.gov (United States)

    Sarkozy, Laszlo C.

    Currently the fields studying or using molecules with low kinetic energies are experiencing an unprecedented growth. Astronomers and chemists are interested in chemical reactions taking place at temperatures below or around 20 K, spectroscopists could make very precise measurements on slow molecules and molecular physicists could chart the potential energy surfaces more accurately. And the list continues. All of these experiments need slow molecules, with kinetic energies from around 10 cm-1 down to 0. Several designs of cold sources have already been made. The most interesting ones are presented. This work describes the design and the testing of a cold source based on the collisional cooling technique: the molecules of interest are cooled well below their freezing point by a precooled buffer gas. This way condensation is avoided. The source is a copper cell cooled to 4.2 K by an external liquid helium bath. The cell is filled with cold buffer gas (helium). The molecules of choice (ammonia) are injected through a narrow tube in the middle of the cell. The cold molecules leave the cell through a 1 millimeter hole. Two versions of pulsing techniques have been employed: a shutter blade which covers the source hole and opens it only for short moments, and a chopper that modulates the beam further downstream. Both produced pulse lengths around 1 millisecond. The source is tested in an experiment in which the emerging molecules are focused and detected. Time of flight technique is used to measure the kinetic energies. Two detectors have been employed: a microwave cavity to analyze the state of the molecules in the beam, and a mass spectrometer to measure the number density of the particles. The molecules coming out of the source hole are formed into a beam by an electrostatic quadrupole state selector. The quantum mechanical aspects and the elements of electrodynamics involved in the focusing are described. A computer simulation program is presented, which helped

  8. Bright focused ion beam sources based on laser-cooled atoms

    Science.gov (United States)

    McClelland, J. J.; Steele, A. V.; Knuffman, B.; Twedt, K. A.; Schwarzkopf, A.; Wilson, T. M.

    2016-01-01

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of the industry standard Ga+ liquid metal ion source. In this review we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future. PMID:27239245

  9. Bright focused ion beam sources based on laser-cooled atoms

    Energy Technology Data Exchange (ETDEWEB)

    McClelland, J. J.; Wilson, T. M. [Center for Nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Steele, A. V.; Knuffman, B.; Schwarzkopf, A. [Center for Nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); zeroK NanoTech, Gaithersburg, Maryland 20878 (United States); Twedt, K. A. [Center for Nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Maryland Nanocenter, University of Maryland, College Park, Maryland 20742 (United States)

    2016-03-15

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of the industry standard Ga{sup +} liquid metal ion source. In this review, we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future.

  10. Solar system sputtering

    Science.gov (United States)

    Tombrello, T. A.

    1982-01-01

    The sites and materials involved in solar system sputtering of planetary surfaces are reviewed, together with existing models for the processes of sputtering. Attention is given to the interaction of the solar wind with planetary atmospheres in terms of the role played by the solar wind in affecting the He-4 budget in the Venus atmosphere, and the erosion and differentiation of the Mars atmosphere by solar wind sputtering. The study is extended to the production of isotopic fractionation and anomalies in interplanetary grains by irradiation, and to erosion effects on planetary satellites with frozen volatile surfaces, such as with Io, Europa, and Ganymede. Further measurements are recommended of the molecular form of the ejected material, the yields and energy spectra of the sputtered products, the iosotopic fractionation sputtering causes, and the possibility of electronic sputtering enhancement with materials such as silicates.

  11. Particle beam technology for control of atomic-bonding state in materials

    Energy Technology Data Exchange (ETDEWEB)

    Ishikawa, Junzo [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    The atomic-bonding state in materials can be controlled through `kinetic bonding` process by energetic particle beams which have a sufficient atomic kinetic energy. In order to clarify the `kinetic bonding` process the negative-ion beam deposition is considered as an ideal method because the negative ion has no additional active energies. Sputter type heavy negative-ion sources can be used for this purpose. Carbon films prepared by carbon negative-ion beam deposition have a strong dependency of the film properties on ion beam kinetic energy and have a quite high thermal conductivity which is comparable to that of the IIb diamond at a kinetic energy of 50-100 eV/atom. It suggests that new or metastable materials could be formed through the `kinetic bonding` process. Negative-ion beams can also be used for ion implantation, in which charging problems are perfectly reduced. (author)

  12. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Science.gov (United States)

    Lei, Hao; Wang, Meihan; Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka

    2013-11-01

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  13. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Hao, E-mail: haolei@imr.ac.cn [State Key Laboratory for Corrosion and Protection, Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Wang, Meihan [College of Mechanical Engineering, Shenyang University, Shenyang 110044 (China); Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka [Center for Hyper Media Research, Tokyo Polytechnic University, 1583 Iiyama, Atsugi, Kanagawa 243-0297 (Japan)

    2013-11-15

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  14. Stress, microstructure and evolution under ion irradiation in thin films grown by ion beam sputtering: modelling and application to interfacial effects in metallic multilayers

    International Nuclear Information System (INIS)

    Debelle, A.

    2006-09-01

    We have investigated the formation of the interfacial chemical mixing in Mo/Ni multilayers, and particularly the influence of ballistic effects during the growth. For this purpose, hetero-epitaxial b.c.c./f.c.c. Mo(110)/Ni(111) multilayers were grown by two deposition methods: thermal evaporation and direct ion beam sputtering. As a preliminary, an accurate description of the stress state in pure sputtered Mo thin films was required. Microstructural and stress state analyses were essentially carried out by X-ray diffraction, and ion irradiation was used as a powerful tool to control the stress level. We showed that thermal evaporated thin films exhibit a weak tensile growth stress (∼ 0.6 GPa) that can be accounted for by the grain boundary relaxation model, whereas sputtered thin films develop large compressive growth stress (- 2 to - 4 GPa). This latter results from the bombardment of the growing film by the energetic particles involved during the sputtering process (atomic peening phenomenon), which induces the formation of defects in the layers, generating volume distortions. We thus developed a stress model that includes a hydrostatic stress component to account for these volume strains. This model allowed us to determine the 'unstressed and free of defects lattice parameter' a 0 , solely linked to chemical effects. For epitaxial Mo layers, it was possible to separate coherency stress from growth stress due to their distinct kinetic evolution during ion irradiation. Therefore, the stress analysis enabled us to determine the a 0 values in Mo sub-layers of Mo/Ni superlattices. A tendency to the formation of an interfacial alloy is observed independently of the growth conditions, which suggests that thermodynamic forces favour the exchange mechanism. However, the extent of the intermixing effect is clearly enhanced by ballistic effects. (author)

  15. Optimization of atomic beam sources for polarization experiments

    Energy Technology Data Exchange (ETDEWEB)

    Gaisser, Martin; Nass, Alexander; Stroeher, Hans [IKP, Forschungszentrum Juelich (Germany)

    2012-07-01

    For experiments with spinpolarized protons and neutrons a dense target is required. In current atomic beam sources an atomic hydrogen or deuterium beam is expanded through a cold nozzle and a system of sextupole magnets and RF-transition units selects a certain hyperfine state. The achievable flux seems to be limited to about 10{sup 17} particles per second with a high nuclear polarization. A lot of experimental and theoretical effort has been undertaken to understand all effects and to increase the flux. However, improvements have remained marginal. Now, a Monte Carlo simulation based on the DSMC part of the open source C++ library OpenFOAM is set up in order to get a better understanding of the flow and to optimize the various elements. The goal is to include important effects like deflection from a magnetic field, recombination on the walls and spin exchange collisions in the simulation and make quantitative predictions of changes in the experimental setup. The goal is to get a tool that helps to further increase the output of an atomic beam source.

  16. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  17. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  18. Fundamental limits on beam stability at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Decker, G. A.

    1998-01-01

    Orbit correction is now routinely performed at the few-micron level in the Advanced Photon Source (APS) storage ring. Three diagnostics are presently in use to measure and control both AC and DC orbit motions: broad-band turn-by-turn rf beam position monitors (BPMs), narrow-band switched heterodyne receivers, and photoemission-style x-ray beam position monitors. Each type of diagnostic has its own set of systematic error effects that place limits on the ultimate pointing stability of x-ray beams supplied to users at the APS. Limiting sources of beam motion at present are magnet power supply noise, girder vibration, and thermal timescale vacuum chamber and girder motion. This paper will investigate the present limitations on orbit correction, and will delve into the upgrades necessary to achieve true sub-micron beam stability

  19. Bending of electromagnetic beams and head-tail radio sources

    Energy Technology Data Exchange (ETDEWEB)

    Bodo, G; Ferrari, A; Massaglia, S [Consiglio Nazionale delle Ricerche, Turin (Italy). Lab. di Cosmo-Geofisica; Turin Univ. (Italy). Ist. di Fisica)

    1981-08-01

    An interpretation is presented of bridge bending in head-tail radio sources in the framework of an electromagnetic beam model. The physical effect responsible for the structural distortion is proposed to be the refraction of a large-amplitude wave in a medium with a density gradient perpendicular to the wave propagation vector; this gradient is consistently produced by the relative motion of the beam source in the surrounding medium with a velocity higher than the speed of sound. These effects are calculated in some detail and a quantitative fit of model parameters to the typical radio source associated with NGC 1265 is discussed.

  20. Application of ECR ion source beams in atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F.W.

    1987-01-01

    The availability of intense, high charge state ion beams from ECR ion sources has had significant impact not only on the upgrading of cyclotron and synchrotron facilities, but also on multicharged ion collision research, as evidenced by the increasing number of ECR source facilities used at least on a part time basis for atomic physics research. In this paper one such facility, located at the ORNL ECR source, and dedicated full time to the study of multicharged ion collisions, is described. Examples of applications of ECR ion source beams are given, based on multicharged ion collision physics studies performed at Oak Ridge over the last few years. 21 refs., 18 figs., 2 tabs.

  1. Monte Carlo simulations of silicon sputtering by argon ions and an approach for comparison with molecular dynamic results

    Energy Technology Data Exchange (ETDEWEB)

    Feder, Rene; Frost, Frank; Mayr, Stefan G.; Neumann, Horst; Bundesmann, Carsten [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputter processes deliver some intrinsic features influencing the growing film properties. Utilisation of these features needs to know how primary ion properties and geometrical process conditions influence the energy and spatial distribution of the sputtered and scattered particles. Beside complex experiments simulations are helpful to explain the correlation between primary parameters and thin film properties. The paper presents first results of two simulation codes with completely different approaches: Monte Carlo (MC) calculations with help of the well known TRIM.SP code and Molecular Dynamics calculations with an in-house developed code. First results of both simulation principles are compared for Argon ion bombardment on a Silicon target. Furthermore, a special experimental setup is outlined for validation of modelling. The setup allows the variation of ion beam parameters (ion species, ion energy, ion incidence angle on the target) and the measurement of the properties of sputtered and scattered particles.

  2. Tungsten self-sputtering yield with different incidence angles and target temperatures

    International Nuclear Information System (INIS)

    Bandourko, V.; Nakamura, K.; Akiba, M.; Jimbou, R.

    1998-01-01

    The self-sputtering of different types of tungsten due to 1 keV W + bombardment at temperatures of 25 C and 600 C and incident angles in the range of 30-60 was studied by means of the weight loss method. The experimental data at room temperature agreed reasonably with the results of TRIM calculations. Enhanced self-sputtering yields due to beam-induced desorption of WO 2 were found at a temperature of 600 C. The weight loss of W-Cu composite is larger than that of the CVD-W and ps-W under the same irradiation conditions due to the selective removal of copper. (orig.)

  3. Electron beam induced coloration and luminescence in layered structure of WO3 thin films grown by pulsed dc magnetron sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.; Subrahmanyam, A.

    2007-01-01

    Tungsten oxide thin films have been deposited by pulsed dc magnetron sputtering of tungsten in argon and oxygen atmosphere. The as-deposited WO 3 film is amorphous, highly transparent, and shows a layered structure along the edges. In addition, the optical properties of the as-deposited film show a steplike behavior of extinction coefficient. However, the electron beam irradiation (3.0 keV) of the as-deposited films results in crystallization, coloration (deep blue), and luminescence (intense red emission). The above changes in physical properties are attributed to the extraction of oxygen atoms from the sample and the structural modifications induced by electron bombardment. The present method of coloration and luminescence has a potential for fabricating high-density optical data storage device

  4. Realization of synaptic learning and memory functions in Y2O3 based memristive device fabricated by dual ion beam sputtering

    Science.gov (United States)

    Das, Mangal; Kumar, Amitesh; Singh, Rohit; Than Htay, Myo; Mukherjee, Shaibal

    2018-02-01

    Single synaptic device with inherent learning and memory functions is demonstrated based on a forming-free amorphous Y2O3 (yttria) memristor fabricated by dual ion beam sputtering system. Synaptic functions such as nonlinear transmission characteristics, long-term plasticity, short-term plasticity and ‘learning behavior (LB)’ are achieved using a single synaptic device based on cost-effective metal-insulator-semiconductor (MIS) structure. An ‘LB’ function is demonstrated, for the first time in the literature, for a yttria based memristor, which bears a resemblance to certain memory functions of biological systems. The realization of key synaptic functions in a cost-effective MIS structure would promote much cheaper synapse for artificial neural network.

  5. Pulsed Cs beam development for the BNL polarized H- source

    International Nuclear Information System (INIS)

    Alessi, J.G.

    1983-01-01

    A pulsed Cs + beam has been developed for use on a polarized H - source. Cesium ion production is by surface ionization using a porous tungsten ionizer. While satisfactory current pulses (5 to 10 mA greater than or equal to 0.5 ms) can be obtained, the pulse shapes are a sensitive function of the ionizer temperature and Cs surface coverage. The beam optical requirements are stringent, and the optics have been studied experimentally for both Cs + and Cs 0 beams. Computer calculations are in good agreement with the observed results. The present source has delivered 2.6 mA of Cs + through the interaction region of the polarized ion source, and as much as 2.0 particle mA of Cs 0 . A new source is being built which is designed to give 15 mA through the interaction region

  6. Final design of the beam source for the MITICA injector

    Energy Technology Data Exchange (ETDEWEB)

    Marcuzzi, D., E-mail: diego.marcuzzi@igi.cnr.it; Agostinetti, P.; Dalla Palma, M.; De Muri, M.; Chitarin, G.; Gambetta, G.; Marconato, N.; Pasqualotto, R.; Pavei, M.; Pilan, N.; Rizzolo, A.; Serianni, G.; Toigo, V.; Trevisan, L.; Visentin, M.; Zaccaria, P.; Zaupa, M. [Consorzio RFX, Corso Stati Uniti, 4, I-35127 Padova (Italy); Boilson, D.; Graceffa, J.; Hemsworth, R. S. [ITER Organization, Route de Vinon-sur-Verdon, 13067 St Paul Lez Durance (France); and others

    2016-02-15

    The megavolt ITER injector and concept advancement experiment is the prototype and the test bed of the ITER heating and current drive neutral beam injectors, currently in the final design phase, in view of the installation in Padova Research on Injector Megavolt Accelerated facility in Padova, Italy. The beam source is the key component of the system, as its goal is the generation of the 1 MeV accelerated beam of deuterium or hydrogen negative ions. This paper presents the highlights of the latest developments for the finalization of the MITICA beam source design, together with a description of the most recent analyses and R&D activities carried out in support of the design.

  7. Low energy ion beam dynamics of NANOGAN ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sarvesh, E-mail: sarvesh@iuac.res.in; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  8. Microstructure and mechanical properties of Ti/Al co-doped DLC films: Dependence on sputtering current, source gas, and substrate bias

    International Nuclear Information System (INIS)

    Guo, Ting; Kong, Cuicui; Li, Xiaowei; Guo, Peng; Wang, Zhenyu; Wang, Aiying

    2017-01-01

    Highlights: • Ti/Al co-doped diamond-like carbon films were fabricated by a hybrid ion beam method. • Process parameters affected the structure and chemical state of co-doped Ti and Al. • The relation between microstructure and properties was investigated systematically. • The guidance to tailor the Ti/Al-DLC films with high performance was provided. - Abstract: Co-doping two metal elements into diamond-like carbon (DLC) films can reach the desirable combined properties, but the preparation and commercialized application of metal co-doped DLC films with well-defined structural properties are currently hindered by the non-comprehensive understanding of structural evolutions under different process parameters. Here, we fabricated the Ti/Al-DLC films using a unique hybrid ion beam system which enabled the independent control of metal content and carbon structure. The evolutions of microstructure, residual compressive stress and mechanical properties induced by the different process parameters including sputtering currents, C_2H_2 or CH_4 source gases and bias voltages were investigated systematically in order to perform in-depth analysis on the relation between the structure and properties in Ti/Al-DLC films. Results revealed that the variations of process parameters seriously affected the concentration and chemical bond state of co-doped Ti/Al atoms in amorphous carbon matrix or incident energies of C ions, which brought the complicated effect on amorphous carbon structures, accounting for the change of residual compressive stress, hardness and toughness. The present results provide the guidance for suitable, effective parameters selection to tailor the Ti/Al-DLC films with high performance for further applications.

  9. Microstructure and mechanical properties of Ti/Al co-doped DLC films: Dependence on sputtering current, source gas, and substrate bias

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Ting [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); School of Materials Science and Engineering, Shanghai University, Shanghai 200444 (China); Kong, Cuicui [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Ningbo University, Ningbo 315201 (China); Li, Xiaowei, E-mail: lixw@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Guo, Peng; Wang, Zhenyu [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wang, Aiying, E-mail: aywang@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2017-07-15

    Highlights: • Ti/Al co-doped diamond-like carbon films were fabricated by a hybrid ion beam method. • Process parameters affected the structure and chemical state of co-doped Ti and Al. • The relation between microstructure and properties was investigated systematically. • The guidance to tailor the Ti/Al-DLC films with high performance was provided. - Abstract: Co-doping two metal elements into diamond-like carbon (DLC) films can reach the desirable combined properties, but the preparation and commercialized application of metal co-doped DLC films with well-defined structural properties are currently hindered by the non-comprehensive understanding of structural evolutions under different process parameters. Here, we fabricated the Ti/Al-DLC films using a unique hybrid ion beam system which enabled the independent control of metal content and carbon structure. The evolutions of microstructure, residual compressive stress and mechanical properties induced by the different process parameters including sputtering currents, C{sub 2}H{sub 2} or CH{sub 4} source gases and bias voltages were investigated systematically in order to perform in-depth analysis on the relation between the structure and properties in Ti/Al-DLC films. Results revealed that the variations of process parameters seriously affected the concentration and chemical bond state of co-doped Ti/Al atoms in amorphous carbon matrix or incident energies of C ions, which brought the complicated effect on amorphous carbon structures, accounting for the change of residual compressive stress, hardness and toughness. The present results provide the guidance for suitable, effective parameters selection to tailor the Ti/Al-DLC films with high performance for further applications.

  10. The broad beam ion implanter with the use of radio frequency source

    Energy Technology Data Exchange (ETDEWEB)

    Abdelaziz, M E; Zakhary, S G; Ghanem, A A [Accelerators Dept., Nuclear Research Center, Atomic Energy Authority, Cairo (Egypt)

    1997-12-31

    The project started with the design of the broad beam RF ion source and the single gap accelerating column. The preliminary results of the source show that the ion current extracted from the source could reach 30 m A with extraction voltage = 2 kV. The beam uniformity was made by the use of multi apertures graphite cathode designed to make perveance matching to the normal Gaussian distribution of the ion beam. The beam uniformity could reach 66% of the beam width of 6 cm. The design of the single gap accelerating column based on tracing of beam lines inside the accelerating gap and estimation of the minimum value of the electric field required to contain the beam against space charge expansion in order to achieve minimum beam emittance without aberrations. The preliminary results of the acceleration of the ion beams up to 20 KeV show an increase of the extracted ion current with increase of the extraction voltage. This increase is due to decrease of the angular divergence of the beam due to the effect of increasing the axial velocity component of the accelerated field. 9 figs.

  11. Performance of positive ion based high power ion source of EAST neutral beam injector

    International Nuclear Information System (INIS)

    Hu, Chundong; Xie, Yahong; Xie, Yuanlai; Liu, Sheng; Xu, Yongjian; Liang, Lizhen; Jiang, Caichao; Li, Jun; Liu, Zhimin

    2016-01-01

    The positive ion based source with a hot cathode based arc chamber and a tetrode accelerator was employed for a neutral beam injector on the experimental advanced superconducting tokamak (EAST). Four ion sources were developed and each ion source has produced 4 MW @ 80 keV hydrogen beam on the test bed. 100 s long pulse operation with modulated beam has also been tested on the test bed. The accelerator was upgraded from circular shaped to diamond shaped in the latest two ion sources. In the latest campaign of EAST experiment, four ion sources injected more than 4 MW deuterium beam with beam energy of 60 keV into EAST

  12. Plasma ion sources and ion beam technology in microfabrications

    International Nuclear Information System (INIS)

    Ji, Lili

    2007-01-01

    For over decades, focused ion beam (FIB) has been playing a very important role in microscale technology and research, among which, semiconductor microfabrication is one of its biggest application area. As the dimensions of IC devices are scaled down, it has shown the need for new ion beam tools and new approaches to the fabrication of small-scale devices. In the meanwhile, nanotechnology has also deeply involved in material science research and bioresearch in recent years. The conventional FIB systems which utilize liquid gallium ion sources to achieve nanometer scale resolution can no longer meet the various requirements raised from such a wide application area such as low contamination, high throughput and so on. The drive towards controlling materials properties at nanometer length scales relies on the availability of efficient tools. In this thesis, three novel ion beam tools have been developed and investigated as the alternatives for the conventional FIB systems in some particular applications. An integrated focused ion beam (FIB) and scanning electron microscope (SEM) system has been developed for direct doping or surface modification. This new instrument employs a mini-RF driven plasma source to generate focused ion beam with various ion species, a FEI two-lens electron (2LE) column for SEM imaging, and a five-axis manipulator system for sample positioning. An all-electrostatic two-lens column has been designed to focus the ion beam extracted from the source. Based on the Munro ion optics simulation, beam spot sizes as small as 100 nm can be achieved at beam energies between 5 to 35 keV if a 5 (micro)m-diameter extraction aperture is used. Smaller beam spot sizes can be obtained with smaller apertures at sacrifice of some beam current. The FEI 2LE column, which utilizes Schottky emission, electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance

  13. The mechanism of sputter-induced orientation change in YBCO films on MgO (001)

    International Nuclear Information System (INIS)

    Huang, Y.; Vuchic, B.V.; Baldo, P.; Merkle, K.L.; Buchholz, D.B.; Mahajan, S.; Lei, J.S.; Markworth, P.R.; Chang, R.P.H.

    1996-12-01

    The mechanisms of the sputter-induced orientation change in YBa 2 Cu 3 O 7-x (YBCO) films grown on MgO (001) substrates by pulsed organometallic beam epitaxy (POMBE) are investigated by x-ray diffraction. Rutherford backscatter spectroscopy (RBS), cross-section TEM (XTEM) and microanalysis. It is found that the W atom implantation concurring with the ion sputtering plays an important role in effecting the orientation change. This implantation changes the surface structure of the substrate and induces an intermediate layer in the initial growth of the YBCO film, which in turn acts as a template that induces the orientation change. It seems that the surface morphology change caused by ion sputtering has only a minor effect on the orientation change

  14. Flat-beam Rf photocathode sources for linear collider applications

    International Nuclear Information System (INIS)

    Rosenzweig, J.B.

    1991-01-01

    Laser driven rf photocathodes represent a recent advance in high-brightness electron beam sources. The authors investigate here a variation on these devices, that obtained by using a ribbon laser pulse to illuminate the cathode, yielding a flat beam (σ x much-gt σ y ) which has asymmetric emittances at the cathode proportional to the beam size each transverse dimension. The flat-beam geometry mitigates space charge forces which lead to intensity dependent transverse and longitudinal emittance growth, thus limiting the beam brightness. The fundamental limit on achievable emittance and brightness is set by the transverse momentum distribution and peak current density of the photoelectrons (photon energy and cathode material dependent effects) and appears to allow, taking into account space charge and rf effects, normalized emittances ε x -5 m-rad and ε -6 m-rad, with Q = 5 nC and σ z = 1 mm. These source emittances are adequate for superconducting linear collider applications, and could preclude the use of a damping ring for the electrons in these schemes

  15. Design development of bellows for the DNB beam source

    International Nuclear Information System (INIS)

    Singh, Dhananjay Kumar; Venkata Nagaraju, M.; Joshi, Jaydeep; Patel, Hitesh; Yadav, Ashish; Pillai, Suraj; Singh, Mahendrajit; Bandyopadhyay, Mainak; Chakraborty, A.K.; Sharma, Dheeraj

    2017-01-01

    Establishing a procedure and mechanism for alignment of Ion beams in Neutral Beam (NB) sources for ITER like systems are complex due to large traversal distances (∼21 m) and restricted use of flexible elements into the system. For the beam source of DNB, movement requirements for beam alignment are the combination of tilting (±9mrad), rotation (±9mrad) and translation (±25mm). The present work describes the design development of a system composed of three single ply ‘Gimbal’ type bellow system, placed in series, in L-shaped hydraulic lines (size DN50, DN20 and DN15). The paper shall detail out the generation of initial requirements, transformation of movements at bellow locations, selection of bellows/combination of bellows, minimizing the induced movements by optimization of bellows location, estimation of movements through CEASAR II and the design compliance with respect to EJMA code

  16. Bending of electromagnetic beams and head-tail radio sources

    International Nuclear Information System (INIS)

    Bodo, G.; Ferrari, A.; Massaglia, S.; Turin Univ.

    1981-01-01

    An interpretation is presented of bridge bending in head-tail radio sources in the framework of an electromagnetic beam model. The physical effect responsible for the structural distortion is proposed to be the refraction of a large-amplitude wave in a medium with a density gradient perpendicular to the wave propagation vector; this gradient is consistently produced by the relative motion of the beam source in the surrounding medium with a velocity higher than the speed of sound. These effects are calculated in some detail and a quantitative fit of model parameters to the typical radio source associated with NGC 1265 is discussed. (author)

  17. Directional sound beam emission from a configurable compact multi-source system

    KAUST Repository

    Zhao, Jiajun

    2018-01-12

    We propose to achieve efficient emission of highly directional sound beams from multiple monopole sources embedded in a subwavelength enclosure. Without the enclosure, the emitted sound fields have an indistinguishable or omnidirectional radiation directivity in far fields. The strong directivity formed in the presence of the enclosure is attributed to interference of sources under degenerate Mie resonances in the enclosure of anisotropic property. Our numerical simulations of sound emission from the sources demonstrate the radiation of a highly directed sound beam of unidirectional or bidirectional patterns, depending on how the sources are configured inside the enclosure. Our scheme, if achieved, can solve the challenging problem of poor directivity of a subwavelength sound system, and can guide beam forming and collimation by miniaturized devices.

  18. A Study on Mono-energetic Beam Source Using Characteristic X-ray for Substance Identification System

    International Nuclear Information System (INIS)

    Lee, Hwan Soo

    2009-02-01

    A new mono-energetic beam source was developed by using characteristic X-ray for improving performance of the substance identification system. Most of inspection systems use X-ray tubes for their source modules. However, the broad energy spectrum of X-ray tube causes an increase of uncertainty. In this study, it was found that mono-energetic beam sources can be generated by using X-ray tube and the designed target filter assembly. In order to investigate the monoenergetic beam source, the sensitivity study was conducted with a series of different X-ray tube potentials, radiator and filter materials using Monte Carlo simulation. The developed beam sources have a mono-energy peak at 69 keV, 78 keV and 99 keV, and they are named as characteristic X-ray beam BEAM69, BEAM78 and BEAM99, respectively. The characteristic X-ray beam intensity was over thirty three times more than that of hardening beam used previous work at Hanyang University. And BEAM69 and BEAM99 were applied to the substance identification system as a source. The relative error between results of characteristic X-ray beams and 69 keV and 99 keV photons was about 2% on the average for five unknown materials. In comparison with experiment results by using hardening beam, characteristic X-ray beam achieves better accuracy which is about 6.46 % on the average. Hence, it is expected that the developed characteristic X-ray beam source helps lower uncertainty of the inspection system, and the inspection time will be reduced considerably due to its high beam intensity

  19. Extraction design and low energy beam transport optimization of space charge dominated multispecies ion beam sources

    International Nuclear Information System (INIS)

    Delferriere, O.; De Menezes, D.

    2004-01-01

    In all accelerator projects, the low energy part of the accelerator has to be carefully optimized to match the beam characteristic requirements of the higher energy parts. Since 1994 with the beginning of the Injector of Protons for High Intensity (IPHI) project and Source of Light Ions with High Intensities (SILHI) electron cyclotron resonance (ECR) ion source development at CEA/Saclay, we are using a set of two-dimensional (2D) codes for extraction system optimization (AXCEL, OPERA-2D) and beam transport (MULTIPART). The 95 keV SILHI extraction system optimization has largely increased the extracted current, and improved the beam line transmission. From these good results, a 130 mA D + extraction system for the International Fusion Material Irradiation Facility project has been designed in the same way as SILHI one. We are also now involved in the SPIRAL 2 project for the building of a 40 keV D + ECR ion source, continuously tunable from 0.1 to 5 mA, for which a special four-electrode extraction system has been studied. In this article we will describe the 2D design process and present the different extraction geometries and beam characteristics. Simulation results of SILHI H + beam emittance will be compared with experimental measurements

  20. Hot oxygen atoms: Their generation and chemistry. [Production by sputtering; reaction with butenes

    Energy Technology Data Exchange (ETDEWEB)

    Ferrieri, R.A.; Chu, Yung Y.; Wolf, A.P.

    1987-01-01

    Oxygen atoms with energies between 1 and 10 eV have been produced through ion beam sputtering from metal oxide targets. Argon ion beams were used on Ta/sub 2/O/sub 5/ and V/sub 2/O/sub 5/. Results show that some control may be exerted over the atom's kinetic energy by changing the target. Reactions of the hot O(/sup 3/P) with cis- and trans-butenes were investigated. (DLC)

  1. Beam dynamics design of an SP-FEL compact THz source

    International Nuclear Information System (INIS)

    Dai Dongdong; Dai Zhimin

    2010-01-01

    In recent years, people are looking for a new compact THz source with high emission power, one potential choice is to build small accelerator with Smith-Purcell radiation. The main difficulty is how to obtain high quality electron beam. In this paper, the beam dynamics design of a compact THz source is presented. The electron beam is produced by an electron gun and compressed by permanent magnets. The electron gun is similar to the Shanghai EBIT, but permanent magnets are used, instead of the superconducting magnets in Shanghai EBIT. With this design, we can reduce the size and cost of the whole device. Poisson/Pandira was employed to simulate and optimize the magnetic field. Egun was used to simulate the beam trajectories from the electron gun to the collector. Within 2 centimeters around the center of longitudinal magnetic field, the calculation showed that the beam satisfies to our design aim. (authors)

  2. Electron beam welding of iridium heat source capsules

    International Nuclear Information System (INIS)

    Mustaleski, T.M.; Yearwood, J.C.; Burgan, C.E.; Green, L.A.

    1991-01-01

    The development of the welding procedures for the production of DOP-26 iridium alloy cups for heat source encapsulation is described. All the final assembly welds were made using the electron beam welding process. The welding of the 0.13-mm weld shield required the use of computer controlled X-Y table and a run-off tab. Welding of the frit vent to the cup required that a laser weld be made to hold the frit assembly edges together for the final electron beam weld. Great care is required in tooling design and beam placement to achieve acceptable results. Unsuccessful attempts to use laser beam welding for heat shield butt weld are discussed

  3. Iodine Beam Dump Design and Fabrication

    Science.gov (United States)

    Polzin, K. A.; Bradley, D. E.

    2017-01-01

    During the testing of electric thrusters, high-energy ions impacting the walls of a vacuum chamber can cause corrosion and/or sputtering of the wall materials, which can damage the chamber walls. The sputtering can also introduce the constituent materials of the chamber walls into an experiment, with those materials potentially migrating back to the test article and coating it with contaminants over time. The typical method employed in this situation is to install a beam dump fabricated from materials that have a lower sputter yield, thus reducing the amount of foreign material that could migrate towards the test article or deposit on anything else present in the vacuum facility.

  4. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    Science.gov (United States)

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  5. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    Energy Technology Data Exchange (ETDEWEB)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens; Hultman, Lars; Högberg, Hans [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping SE-581 83 (Sweden)

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content. The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.

  6. Effect of Annealing Temperature and Oxygen Flow in the Properties of Ion Beam Sputtered SnO-₂x Thin Films.

    Science.gov (United States)

    Wang, Chun-Min; Huang, Chun-Chieh; Kuo, Jui-Chao; Sahu, Dipti Ranjan; Huang, Jow-Lay

    2015-08-14

    Tin oxide (SnO 2-x ) thin films were prepared under various flow ratios of O₂/(O₂ + Ar) on unheated glass substrate using the ion beam sputtering (IBS) deposition technique. This work studied the effects of the flow ratio of O₂/(O₂ + Ar), chamber pressures and post-annealing treatment on the physical properties of SnO₂ thin films. It was found that annealing affects the crystal quality of the films as seen from both X-ray diffraction (XRD) and transmission electron microscopy (TEM) analysis. In addition, the surface RMS roughness was measured with atomic force microscopy (AFM). Auger electron spectroscopy (AES) analysis was used to obtain the changes of elemental distribution between tin and oxygen atomic concentration. The electrical property is discussed with attention to the structure factor.

  7. Negative ions as a source of low energy neutral beams

    Energy Technology Data Exchange (ETDEWEB)

    Fink, J.H.

    1980-01-01

    Little consideration has been given to the impact of recent developments in negative ion source technology on the design of low energy neutral beam injectors. However, negative ion sources of improved operating efficiency, higher gas efficiency, and smaller beam divergence will lead to neutral deuterium injectors, operating at less than 100 keV, with better operating efficiencies and more compact layouts than can be obtained from positive ion systems.

  8. Negative ions as a source of low energy neutral beams

    International Nuclear Information System (INIS)

    Fink, J.H.

    1980-01-01

    Little consideration has been given to the impact of recent developments in negative ion source technology on the design of low energy neutral beam injectors. However, negative ion sources of improved operating efficiency, higher gas efficiency, and smaller beam divergence will lead to neutral deuterium injectors, operating at less than 100 keV, with better operating efficiencies and more compact layouts than can be obtained from positive ion systems

  9. Development of a Supersonic Atomic Oxygen Nozzle Beam Source for Crossed Beam Scattering Experiments

    Science.gov (United States)

    Sibener, S. J.; Buss, R. J.; Lee, Y. T.

    1978-05-01

    A high pressure, supersonic, radio frequency discharge nozzle beam source was developed for the production of intense beams of ground state oxygen atoms. An efficient impedance matching scheme was devised for coupling the radio frequency power to the plasma as a function of both gas pressure and composition. Techniques for localizing the discharge directly behind the orifice of a water-cooled quartz nozzle were also developed. The above combine to yield an atomic oxygen beam source which produces high molecular dissociation in oxygen seeded rare gas mixtures at total pressures up to 200 torr: 80 to 90% dissociation for oxygen/argon mixtures and 60 to 70% for oxygen/helium mixtures. Atomic oxygen intensities are found to be greater than 10{sup 17} atom sr{sup -1} sec{sup -1}. A brief discussion of the reaction dynamics of 0 + IC1 ..-->.. I0 + C1 is also presented.

  10. Inverted end-Hall-type low-energy high-current gaseous ion source

    International Nuclear Information System (INIS)

    Oks, E. M.; Vizir, A. V.; Shandrikov, M. V.; Yushkov, G. Yu.; Grishin, D. M.; Anders, A.; Baldwin, D. A.

    2008-01-01

    A novel approach to low-energy, high-current, gaseous ion beam generation was explored and an ion source based on this technique has been developed. The source utilizes a dc high-current (up to 20 A) gaseous discharge with electron injection into the region of ion generation. Compared to the conventional end-Hall ion source, the locations of the discharge anode and cathode are inverted: the cathode is placed inside the source and the anode outside, and correspondingly, the discharge current is in the opposite direction. The discharge operates in a diverging axial magnetic field, similar to the end-Hall source. Electron generation and injection is accomplished by using an additional arc discharge with a ''cold'' (filamentless) hollow cathode. Low plasma contamination is achieved by using a low discharge voltage (avoidance of sputtering), as well as by a special geometric configuration of the emitter discharge electrodes, thereby filtering (removing) the erosion products stemming from the emitter cathode. The device produces a dc ion flow with energy below 20 eV and current up to 2.5 A onto a collector of 500 cm 2 at 25 cm from the source edge, at a pressure ≥0.02 Pa and gas flow rate ≥14 SCCM. The ion energy spread is 2 to 3 eV (rms). The source is characterized by high reliability, low maintenance, and long lifetime. The beam contains less than 0.1% of metallic ions. The specific electric energy consumption is 400 eV per ion registered at the collector. The source operates with noble gases, nitrogen, oxygen, and hydrocarbons. Utilizing biasing, it can be used for plasma sputtering, etching, and other ion technologies

  11. Long pulse characteristics of 5 MW ion source for SST-1 neutral beam injector

    Energy Technology Data Exchange (ETDEWEB)

    Jana, M.R. [Institute for Plasma Research, Bhat, Gandhinagar 382428 (India)], E-mail: mukti@ipr.res.in; Mattoo, S.K.; Chakraborty, A.K.; Baruah, U.K.; Patel, G.B.; Jayakumar, P.K. [Institute for Plasma Research, Bhat, Gandhinagar 382428 (India)

    2008-10-15

    We present characteristics of a 5 MW ion source for SST-1 neutral beam injector. Before the source could be tested for its performance, it was conditioned by 480 arc discharges of 1 s and beam extraction of hydrogen species at various beam voltages ranging between 19 kV and 56 kV. Breakdown free beam extraction could be secured only after about 3000 beam second extraction. The ion source is capable of delivering 1.7 MW of neutral beam power at 55 kV with horizontal and vertical focal length of 5.4 m and 7 m respectively. Beam divergence is {approx}0.97 deg. Steady-state beam energy of 31 MJ at 41 kV was achieved during 14 s long beam extraction. We have not noticed any deterioration of beam parameters, including beam divergence during long pulse operation. These results indicate that 0.5 MW of neutral beam power at 30 kV required for heating of plasma in SST-1 can be delivered.

  12. Long pulse characteristics of 5 MW ion source for SST-1 neutral beam injector

    International Nuclear Information System (INIS)

    Jana, M.R.; Mattoo, S.K.; Chakraborty, A.K.; Baruah, U.K.; Patel, G.B.; Jayakumar, P.K.

    2008-01-01

    We present characteristics of a 5 MW ion source for SST-1 neutral beam injector. Before the source could be tested for its performance, it was conditioned by 480 arc discharges of 1 s and beam extraction of hydrogen species at various beam voltages ranging between 19 kV and 56 kV. Breakdown free beam extraction could be secured only after about 3000 beam second extraction. The ion source is capable of delivering 1.7 MW of neutral beam power at 55 kV with horizontal and vertical focal length of 5.4 m and 7 m respectively. Beam divergence is ∼0.97 deg. Steady-state beam energy of 31 MJ at 41 kV was achieved during 14 s long beam extraction. We have not noticed any deterioration of beam parameters, including beam divergence during long pulse operation. These results indicate that 0.5 MW of neutral beam power at 30 kV required for heating of plasma in SST-1 can be delivered.

  13. Benchmarking of Touschek Beam Lifetime Calculations for the Advanced Photon Source

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, A.; Yang, B.

    2017-06-25

    Particle loss from Touschek scattering is one of the most significant issues faced by present and future synchrotron light source storage rings. For example, the predicted, Touschek-dominated beam lifetime for the Advanced Photon Source (APS) Upgrade lattice in 48-bunch, 200-mA timing mode is only ~ 2 h. In order to understand the reliability of the predicted lifetime, a series of measurements with various beam parameters was performed on the present APS storage ring. This paper first describes the entire process of beam lifetime measurement, then compares measured lifetime with the calculated one by applying the measured beam parameters. The results show very good agreement.

  14. Experimental research on a double pulsed beam source

    International Nuclear Information System (INIS)

    Xia Liansheng; Zhang Linwen; Huang Ziping; Gao Feng; Shi Jinshui; Deng Jianjun

    2004-01-01

    A double pulsed beam generator is built based on 2 MeV linear induction accelerator (LIA) injector. The second power source and 8 inductive cells of the injector are divided into two groups and work alternatively. Electron energy of each beam is up to 1 MeV and the beam duration is 120 ns with adjustable pulse interval (from 200 ns to 800 ns). The voltage amplitude difference of the two pulses can be less than 2%. The electron beams are emitted from a velvet cathode in a vacuum diode. The beam currents are up to 3 kA, measured both by a Faraday cup in anode hole and by a shunt resistor at the rail of the LIA injector. This device can be used to study multi-pulse diode physics and emitting physics of different materials under multi-pulse mode. (author)

  15. Large area negative ion source for high voltage neutral beams

    International Nuclear Information System (INIS)

    Poulsen, P.; Hooper, E.B. Jr.

    1979-11-01

    A source of negative deuterium ions in the multi-ampere range is described that is readily extrapolated to reactor size, 10 amp or more of neutral beam, that is of interest in future experiments and reactors. The negative ion source is based upon the double charge exchange process. A beam of positive ions is created and accelerated to an energy at which the attachment process D + M → D - + M + proceeds efficiently. The positive ions are atomically neutralized either in D 2 or in the charge exchange medium M. Atomic species make a second charge exchange collision in the charge target to form D - . For a sufficiently thick target, the beam reaches an equilibrium fraction of negative ions. For reasons of efficiency, the target is typically alkali metal vapor; this experiment uses sodium. The beam of negative ions can be accelerated to high (>200 keV) energy, the electrons stripped from the ions, and a high energy neutral beam formed

  16. Beam Current Increase and Cathode Lifetime Improvement of KOTRON-13 Ion Source

    International Nuclear Information System (INIS)

    Lee, W. K.; Chae, S. K.; Song, J. Y.; Im, G. S.; Cho, B. O.

    2010-01-01

    Technology of cyclotron has been actively developed to meet the increasing requirement output of medical radioactive isotopes for PET. KOTRON-13 is produced with low negative hydrogen ion beam current owing to the low efficiency of proton beam current compared with foreign cyclotron. In the defect there from, the lifetime of cathode is around 5,000min, which requires frequent maintenance period, and the target beam current is maximum 50uA at a poor efficiency compared with the inflow quantity of hydrogen gas and that of inflicting arc current. Considering above affairs, we have to improve the PIG ion source extraction efficiency of KOTRON-13 in order to lift beam current. Mostly the ion source of cyclotron less than 30Mev comes from the use of PIG ion source mainly with the method of cold cathode or hot cathode. However, the cyclotron of 30Mev grade of EBCO or IBA uses the external ion source and uses ion source with cusp type of good withdrawal efficiency. This type requires high voltage, and transports ion from ion source to cyclotron, which requires precise transportation equipment. And entering cyclotron requires a high quality of inflictor with a high defect rate, but high current cyclotron has no choice but to use ion source of such a method. But the cyclotron using PET with the beam current less than 100uA uses PIG ion source of KOTRON-13 with a reasonable maintenance cost

  17. Background gas density and beam losses in NIO1 beam source

    Energy Technology Data Exchange (ETDEWEB)

    Sartori, E., E-mail: emanuele.sartori@igi.cnr.it; Veltri, P.; Serianni, G. [Consorzio RFX (CNR, ENEA, INFN, Università di Padova, Acciaierie Venete SpA), C.so Stati Uniti 4, 35127 Padova (Italy); Cavenago, M. [INFN-LNL, v.le dell’Università 2, I-35020 Legnaro (PD) (Italy)

    2016-02-15

    NIO1 (Negative Ion Optimization 1) is a versatile ion source designed to study the physics of production and acceleration of H- beams up to 60 keV. In ion sources, the gas is steadily injected in the plasma source to sustain the discharge, while high vacuum is maintained by a dedicated pumping system located in the vessel. In this paper, the three dimensional gas flow in NIO1 is studied in the molecular flow regime by the Avocado code. The analysis of the gas density profile along the accelerator considers the influence of effective gas temperature in the source, of the gas temperature accommodation by collisions at walls, and of the gas particle mass. The calculated source and vessel pressures are compared with experimental measurements in NIO1 during steady gas injection.

  18. Ultraviolet optical and microstructural properties of MgF2 and LaF3 coatings deposited by ion-beam sputtering and boat and electron-beam evaporation

    Science.gov (United States)

    Ristau, Detlev; Gunster, Stefan; Bosch, Salvador; Duparre, Angela; Masetti, Enrico; Ferre-Borrull, Josep; Kiriakidis, George; Peiro, Francesca; Quesnel, Etienne; Tikhonravov, Alexander

    2002-06-01

    Single layers of MgF2 and LaF3 were deposited upon superpolished fused-silica and CaF2 substrates by ion-beam sputtering (IBS) as well as by boat and electron beam (e-beam) evaporation and were characterized by a variety of complementary analytical techniques. Besides undergoing photometric and ellipsometric inspection, the samples were investigated at 193 and 633 nm by an optical scatter measurement facility. The structural properties were assessed with atomic-force microscopy, x-ray diffraction, TEM techniques that involved conventional thinning methods for the layers. For measurement of mechanical stress in the coatings, special silicon substrates were coated and analyzed. The dispersion behavior of both deposition materials, which was determined on the basis of various independent photometric measurements and data reduction techniques, is in good agreement with that published in the literature and with the bulk properties of the materials. The refractive indices of the MgF2 coatings ranged from 1.415 to 1.440 for the wavelength of the ArF excimer laser (193 nm) and from 1.435 to 1.465 for the wavelength of the F2 excimer laser (157 nm). For single layers of LaF3 the refractive indices extended from 1.67 to 1.70 at 193 nm to approx1.80 at 157 nm. The IBS process achieves the best homogeneity and the lowest surface roughness values (close to 1 nmrms) of the processes compared in the joint experiment. In contrast to MgF2 boat and e-beam evaporated coatings, which exhibit tensile mechanical stress ranging from 300 to 400 MPa, IBS coatings exhibit high compressive stress of as much as 910 MPa. A similar tendency was found for coating stress in LaF3 single layers. Experimental results are discussed with respect to the microstructural and compositional properties as well as to the surface topography of the coatings.

  19. Beam limiter for thermonuclear fusion devices

    International Nuclear Information System (INIS)

    Kaminsky, M.S.

    1976-01-01

    A beam limiter circumscribes the interior surface of a vacuum vessel to inhibit collisions of contained plasma and the vessel walls. The cross section of the material making up the limiter has a flatsided or slightly concave portion of increased width towards the plasma and portions of decreased width towards the interior surface of the vessel. This configuration is designed to prevent a major fraction of the material sputtered, vaporized and blistered from the limiter from reaching the plasma. It also allows adequate heat transfer from the wider to the narrower portions. The preferred materials for the beam limiter are solids of sintered, particulate materials of low atomic number with low vapor pressure and low sputtering and blistering yields. 7 claims, 3 figures

  20. Neutral beam source commercialization study. Final report

    International Nuclear Information System (INIS)

    King, H.J.

    1980-06-01

    The basic tasks of this Phase II project were to: generate a set of design drawings suitable for quantity production of sources of this design; fabricate a functional neutral beam source incorporating as many of the proposed design changes as proved feasible; and document the procedures and findings developed during the contract. These tasks have been accomplished and represent a demonstrated milestone in the industrialization of this complete device

  1. Reduction of residual gas in a sputtering system by auxiliary sputter of rare-earth metal

    International Nuclear Information System (INIS)

    Li Dejie

    2002-01-01

    In film deposition by sputtering, the oxidation and nitrification of the sputtered material lead to degradation of film quality, particularly with respect to metal sulfide films. We propose to use auxiliary sputtering as a method to produce a fresh film of rare-earth metal, usually dysprosium (Dy), that absorbs the active gases in a sputtering system, greatly reducing the background pressure and protecting the film from oxidation and nitrification effectively. The influence of the auxiliary sputtering power consumption, sputtering time, and medium gas pressure on the background pressure in the vacuum chamber is investigated in detail. If the auxiliary sputtering power exceeds 120 W and the sputtering time is more than 4 min, the background pressure is only one fourth of the ultimate pressure pumped by an oil diffusion pump. The absorption activity of the sputtered Dy film continues at least an hour after completion of the auxiliary sputter. Applied to film deposition of Ti and ZnS, this technique has been proven to be effective. For the Ti film, the total content of N and O is reduced from 45% to 20% when the auxiliary sputtering power of Dy is 120 W, and the sputtering time is 20 min. In the case of ZnS, the content of O is reduced from 8% to 2%

  2. Preliminary design of the advanced quantum beam source

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Byung Cheol; Lee, Jong Min; Jeong, Young Uk; Cho, Sung Oh; Yoo, Jae Gwon; Park, Seong Hee

    2000-07-01

    The preliminary design of the advanced quantum beam source based on a superconducting electron accelerator is presented. The advanced quantum beams include: high power free electron lasers, monochromatic X-rays and {gamma}-rays, high-power medium-energy electrons, high-flux pulsed neutrons, and high-flux monochromatic slow positron beam. The AQBS system is being re-designed, assuming that the SPS superconducting RF cavities used for LEP at CERN will revived as a main accelerator of the AQBS system at KAERI, after the decommissioning of LEP at the end of 2000. Technical issues of using the SPS superconducting RF cavities for the AQBS project are discussed in this report. The advanced quantum beams will be used for advanced researches in science and industries.

  3. Preliminary design of the advanced quantum beam source

    International Nuclear Information System (INIS)

    Lee, Byung Cheol; Lee, Jong Min; Jeong, Young Uk; Cho, Sung Oh; Yoo, Jae Gwon; Park, Seong Hee

    2000-07-01

    The preliminary design of the advanced quantum beam source based on a superconducting electron accelerator is presented. The advanced quantum beams include: high power free electron lasers, monochromatic X-rays and γ-rays, high-power medium-energy electrons, high-flux pulsed neutrons, and high-flux monochromatic slow positron beam. The AQBS system is being re-designed, assuming that the SPS superconducting RF cavities used for LEP at CERN will revived as a main accelerator of the AQBS system at KAERI, after the decommissioning of LEP at the end of 2000. Technical issues of using the SPS superconducting RF cavities for the AQBS project are discussed in this report. The advanced quantum beams will be used for advanced researches in science and industries

  4. The influence of beam divergence on ion-beam induced surface patterns

    International Nuclear Information System (INIS)

    Kree, R.; Yasseri, T.; Hartmann, A.K.

    2009-01-01

    We present a continuum theory and a Monte Carlo model of self-organized surface pattern formation by ion-beam sputtering including effects of beam profiles. Recently, it has turned out that such secondary ion-beam parameters may have a strong influence on the types of emerging patterns. We first discuss several cases, for which beam profiles lead to random parameters in the theory of pattern formation. Subsequently we study the evolution of the averaged height profile in continuum theory and find that the typical Bradley-Harper scenario of dependence of ripple patterns on the angle of incidence can be changed qualitatively. Beam profiles are implemented in Monte Carlo simulations, where we find generic effects on pattern formation. Finally, we demonstrate that realistic beam profiles, taken from experiments, may lead to qualitative changes of surface patterns.

  5. Method for producing uranium atomic beam source

    International Nuclear Information System (INIS)

    Krikorian, O.H.

    1976-01-01

    A method is described for producing a beam of neutral uranium atoms by vaporizing uranium from a compound UM/sub x/ heated to produce U vapor from an M boat or from some other suitable refractory container such as a tungsten boat, where M is a metal whose vapor pressure is negligible compared with that of uranium at the vaporization temperature. The compound, for example, may be the uranium-rhenium compound, URe 2 . An evaporation rate in excess of about 10 times that of conventional uranium beam sources is produced

  6. Sputtering of water ice

    International Nuclear Information System (INIS)

    Baragiola, R.A.; Vidal, R.A.; Svendsen, W.; Schou, J.; Shi, M.; Bahr, D.A.; Atteberrry, C.L.

    2003-01-01

    We present results of a range of experiments of sputtering of water ice together with a guide to the literature. We studied how sputtering depends on the projectile energy and fluence, ice growth temperature, irradiation temperature and external electric fields. We observed luminescence from the decay of H(2p) atoms sputtered by heavy ion impact, but not bulk ice luminescence. Radiolyzed ice does not sputter under 3.7 eV laser irradiation

  7. X-ray emission as a diagnostic from pseudospark-sourced electron beams

    Energy Technology Data Exchange (ETDEWEB)

    Bowes, D., E-mail: david.bowes@strath.ac.uk [Department of Physics, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Yin, H.; He, W.; Zhang, L.; Cross, A.W.; Ronald, K.; Phelps, A.D.R. [Department of Physics, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Chen, D.; Zhang, P. [Computed Tomography Lab, School of Mathematical Sciences, Capital Normal University, Beijing 100048 (China); Chen, X.; Li, D. [Department of Electronic Engineering, Queen Mary University of London, London E1 4NS (United Kingdom)

    2014-09-15

    X-ray emission has been achieved using an electron beam generated by a pseudospark low-pressure discharge and utilised as a diagnostic for beam detection. A 300 A, 34 kV PS-sourced electron beam pulse of 3 mm diameter impacting on a 0.1 mm-thick molybdenum target generated X-rays which were detected via the use of a small, portable X-ray detector. Clear X-ray images of a micro-sized object were captured using an X-ray photodetector. This demonstrates the inducement of proton induced X-ray emission (PIXE) not only as an indicator of beam presence but also as a future X-ray source for small-spot X-ray imaging of materials.

  8. Electronic sputtering

    International Nuclear Information System (INIS)

    Johnson, R.E.

    1989-01-01

    Electronic sputtering covers a range of phenomena from electron and photon stimulated desorption from multilayers to fast heavy ion-induced desorption (sputtering) of biomolecules. In this talk the author attempted. Therefore, to connect the detailed studies of argon ejection from solid argon by MeV ions and keV electrons to the sputtering of low temperatures molecular ices by MeV ions then to biomolecule ejection from organic solids. These are related via changing (dE/dx) e , molecular size, and transport processes occurring in materials. In this regard three distinct regions of (dE/dx) e have been identified. Since the talk this picture has been made explicit using a simple spike model for individual impulsive events in which spike interactions are combined linearly. Since that time also the molecular dynamics programs (at Virginia and Uppsala) have quantified both single atom and dimer processes in solid Ar and the momentum transport in large biomolecule sputtering. 5 refs

  9. Advanced design of positive-ion sources for neutral-beam applications

    International Nuclear Information System (INIS)

    Marguerat, E.F.; Haselton, H.H.; Menon, M.M.; Schechter, D.E.; Stirling, W.L.; Tsai, C.C.

    1982-01-01

    The APIS ion source is being developed to meet a goal of producing ion beams of less than or equal to 200 keV, 100 A, with 10-30-s pulse lengths. In a continuing effort to advance the state of the art and to produce long pulse ion beams, APIS ion sources with grid dimensions of 10 x 25 cm, 13 x 43 cm, and 16 x 48 cm are being developed. In the past year, the 10- x 25-cm ion source has been operated to produce ion beams in excess of 100 keV for many seconds pulse length. An advanced design concept is being pursued with the primary objectives to improve radiation protection, reduce fabrication costs, and simplify maintenance. The source magnetic sheild will be designed as a vacuum enclosure to house all source components. The electrical insulation requirements of energy recovery are also considered. Because of the frequent maintenance requirements, the electron emitter assembly will be designed with a remote handling capability. A new accelerator design which incorporates the necessary neutron shielding and associated steering gimbal system is also described

  10. Reactive sputter deposition

    CERN Document Server

    Mahieu, Stijn

    2008-01-01

    In this valuable work, all aspects of the reactive magnetron sputtering process, from the discharge up to the resulting thin film growth, are described in detail, allowing the reader to understand the complete process. Hence, this book gives necessary information for those who want to start with reactive magnetron sputtering, understand and investigate the technique, control their sputtering process and tune their existing process, obtaining the desired thin films.

  11. Performance of a modified DuoPIGatron ion source for PLT neutral beam injectors

    International Nuclear Information System (INIS)

    Tsai, C.C.; Stirling, W.L.; Haselton, H.H.

    1978-09-01

    The performance of a modified duoPIGatron ion source for PLT neutral beam injectors is described. The 22-cm source has been operated to deliver beams of 70 A, up to 45 keV, and 0.5 sec. Following a brief review of source operation, the dominant reactions leading to an enhanced atomic ion fraction in the source plasma are emphasized. In addition to the high atomic ion species yield (about 85%), other important characteristics of the source such as high arc efficiency (about 1.1 A ion beam current per kW of arc power), long filament lifetime, high reliability, and scalability are also described

  12. H2 splitting on Pt, Ru and Rh nanoparticles supported on sputtered HOPG

    DEFF Research Database (Denmark)

    Fiordaliso, Elisabetta Maria; Murphy, Shane; Nielsen, R.M.

    2012-01-01

    The equilibrium hydrogen exchange rate between adsorbed and gas phase hydrogen at 1bar is measured for Pt, Ru and Rh nanoparticles supported on a sputtered HOPG substrate. The particles are prepared by Electron Beam Physical Vapor Deposition and the diameter of the particles varies between 2 and ...

  13. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  14. A combined thermal dissociation and electron impact ionization source for radioactive ion beam generationa

    International Nuclear Information System (INIS)

    Alton, G.D.; Williams, C.

    1996-01-01

    The probability for simultaneously dissociating and efficiently ionizing the individual atomic constituents of molecular feed materials with conventional, hot-cathode, electron-impact ion sources is low and consequently, the ion beams from these sources often appear as mixtures of several molecular sideband beams. This fragmentation process leads to dilution of the intensity of the species of interest for radioactive ion beam (RIB) applications where beam intensity is at a premium. We have conceived an ion source that combines the excellent molecular dissociation properties of a thermal dissociator and the high ionization efficiency characteristics of an electron impact ionization source that will, in principle, overcome this handicap. The source concept will be evaluated as a potential candidate for use for RIB generation at the Holifield Radioactive Ion Beam Facility, now under construction at the Oak Ridge National Laboratory. The design features and principles of operation of the source are described in this article. copyright 1996 American Institute of Physics

  15. Beam commission of the high intensity proton source developed at INFN-LNS for the European Spallation Source

    Science.gov (United States)

    Neri, L.; Celona, L.; Gammino, S.; Miraglia, A.; Leonardi, O.; Castro, G.; Torrisi, G.; Mascali, D.; Mazzaglia, M.; Allegra, L.; Amato, A.; Calabrese, G.; Caruso, A.; Chines, F.; Gallo, G.; Longhitano, A.; Manno, G.; Marletta, S.; Maugeri, A.; Passarello, S.; Pastore, G.; Seminara, A.; Spartà, A.; Vinciguerra, S.

    2017-07-01

    At the Istituto Nazionale di Fisica Nucleare - Laboratori Nazionali del Sud (INFN-LNS) the beam commissioning of the high intensity Proton Source for the European Spallation Source (PS-ESS) started in November 2016. Beam stability at high current intensity is one of the most important parameter for the first steps of the ongoing commissioning. Promising results were obtained since the first source start with a 6 mm diameter extraction hole. The increase of the extraction hole to 8 mm allowed improving PS-ESS performances and obtaining the values required by the ESS accelerator. In this work, extracted beam current characteristics together with Doppler shift and emittance measurements are presented, as well as the description of the next phases before the installation at ESS in Lund.

  16. A positive (negative) surface ionization source concept for radioactive ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.; Mills, G.D.

    1996-01-01

    A novel, versatile, new concept, spherical-geometry, positive (negative) surface-ionization source has been designed and fabricated which will have the capability of generating both positive- and negative-ion beams without mechanical changes to the source. The source utilizes a highly permeable, high-work-function Ir ionizer (φ ≅ 5.29 eV) for ionizing highly electropositive atoms/molecules; while for negative-surface ionization, the work function is lowered by continually feeding a highly electropositive vapor through the ionizer matrix. The use of this technique to effect low work function surfaces for negative ion beam generation has the potential of overcoming the chronic poisoning effects experienced with LaB 6 while enhancing the probability for negative ion formation of atomic and molecular species with low to intermediate electron affinities. The flexibility of operation in either mode makes it especially attractive for radioactive ion beam (RIB) applications and, therefore, the source will be used as a complementary replacement for the high-temperature electron impact ionization sources presently in the use at the Holifield radioactive ion beam facility (HRIBF). The design features and operational principles of the source are described in this report. (orig.)

  17. Identification and roles of nonstoichiometric oxygen in amorphous Ta{sub 2}O{sub 5} thin films deposited by electron beam and sputtering processes

    Energy Technology Data Exchange (ETDEWEB)

    Mannequin, Cedric, E-mail: MANNEQUIN.Cedricromuald@nims.go.jp [International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Tsuruoka, Tohru [International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Hasegawa, Tsuyoshi [Department of Applied Physics, Waseda University, 3-4-1 Okubo, Shinjuku-ku, Tokyo 169-8555 (Japan); Aono, Masakazu [International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2016-11-01

    Highlights: • A detail study of the composition and morphology of amorphous tantalum oxide films obtained by electron-beam evaporation and radio-frequency sputtering is carried out. • The mechanisms for moisture absorption by tantalum oxides are proposed. • Deposition-dependent high oxygen stoichiometry of the films is revealed. • Formations of dangling bonds, hydroxyls groups and bidendate water bridges are identified to support the moisture absorption. - Abstract: The morphology and composition of tantalum oxide (Ta{sub 2}O{sub 5}) thin films prepared by electron-beam (EB) evaporation and radio-frequency sputtering (SP) were investigated by grazing incidence X-ray diffraction (GIXRD), X-ray reflectometry (XRR), atomic force microscopy, Fourier transformed infrared spectroscopy (FTIR), and X-ray photoelectron spectroscopy (XPS). GIXRD revealed an amorphous nature for both films, and XRR showed that the density of the Ta{sub 2}O{sub 5}-EB films was lower than that of the Ta{sub 2}O{sub 5}-SP films; both films have lower density than the bulk value. A larger amount of molecular water and peroxo species were detected for the Ta{sub 2}O{sub 5}-EB films by FTIR performed in ambient atmosphere. XPS analyses performed in vacuum confirmed the presence of hydroxyl groups, but no trace of chemisorbed molecular water was detected. In addition, a higher oxygen nonstoichiometry (higher O/Ta ratio) was found for the EB films. From these results, we conclude that the oxygen nonstoichiometry of the EB film accounted for its lower density and higher amount of absorbed molecular water. The results also suggest the importance of understanding the dependence of the structural and chemical properties of thin amorphous oxide films on the deposition process.

  18. Electron temperature effects for an ion beam source

    International Nuclear Information System (INIS)

    Uramoto, Joshin.

    1979-05-01

    A hydrogen high temperature plasma up to 200 eV is produced by acceleration of electrons in a hot hollow cathode discharge and is used as an ion beam source. Then, two characteristics are observed: A rate of the atomic ion (H + ) number increases above 70%. A perveance of the ion beam increases above 30 times compared with that of a cold plasma, while a floating potential of an ion acceleration electrode approaches an ion acceleration potential (- 500 V) according as an increment of the electron temperature. Moreover, a neutralized ion beam can be produced by only the negative floating electrode without an external power supply. (author)

  19. Triplasmatron sources for broad and reactive ion beams

    International Nuclear Information System (INIS)

    Lejeune, C.; Grandchamp, J.P.; Kessi, O.; Gilles, J.P.

    1986-01-01

    Two alternative discharge structures, which are both convenient for the extraction of broad and reactive ion beams, are described. They have been designed in order to overcome both lifetime and beam contamination problems while preserving a high ionization efficiency and a smooth plasma uniformity. They both use a hot cathode duoplasmatron discharge to inject ionizing electrons into the main ionization chamber, according to the triplasmatron concept. The triplasmatron multipolar ion source (TMIS) uses the magnetic multipolar containment of both electrons and ions, whereas the triplasmatron reflex ion source (TRIS) uses the electrostatic and geometric containment of the ionizing electrons. The behaviour and performance of both structures are reported and discussed with a special emphasis to the operation with either oxygen or fluorocarbon gases. (author)

  20. Multisample matrix-assisted laser desorption source for molecular beams of neutral peptides

    International Nuclear Information System (INIS)

    Lupulescu, C.; Abd El Rahim, M.; Antoine, R.; Barbaire, M.; Broyer, M.; Dagany, X.; Maurelli, J.; Rayane, D.; Dugourd, Ph.

    2006-01-01

    We developed and tested a multisample laser desorption source for producing stable molecular beams of neutral peptides. Our apparatus is based on matrix-assisted laser desorption technique. The source consists of 96 different targets which may be scanned by a software control procedure. Examples of molecular beams of neutral peptides are presented, as well as the influence of the different source parameters on the jet

  1. Study of a microwave power source for a two-beam accelerator

    International Nuclear Information System (INIS)

    Houck, T.L.

    1994-01-01

    A theoretical and experimental study of a microwave power source suitable for driving a linear e + e - collider is reported. The power source is based on the Relativistic Klystron Two-Beam Accelerator (RK-TBA) concept, is driven by a 5-MeV, 1-kA induction accelerator electron beam, and operates at X-band frequencies. The development of a computer code to simulate the transverse beam dynamics of an intense relativistic electron beam transiting a system of microwave resonant structures is presented. This code is time dependent with self-consistent beam-cavity interactions and uses realistic beam parameters. Simulations performed with this code are compared with analytical theory and experiments. The concept of spacing resonant structures at distances equal to the betatron wavelength of the focusing system to suppress the growth of transverse instabilities is discussed. Simulations include energy spread over the beam to demonstrate the effect of Landau damping and establish the sensitivity of the betatron wavelength spacing scheme to errors in the focusing system. The design of the Reacceleration Experiment is described in detail and includes essentially all the issues related to a full scale RK-TBA microwave source. A total combined power from three output structures in excess of 170 MW with an amplitude stability of ±4% over a 25 ns pulse was achieved. The results of the experiment are compared to simulations used during the design phase to validate the various codes and methods used. The primary issue for the RK-TBA concept is identified as transverse beam instability associated with the excitation of higher order modes in the resonant structures used for extracting microwave power from the modulated beam. This work represents the first successful experimental demonstration of repeated cycles of microwave energy extraction from and reacceleration of a modulated beam

  2. Molecular carbon nitride ion beams for enhanced corrosion resistance of stainless steel

    Science.gov (United States)

    Markwitz, A.; Kennedy, J.

    2017-10-01

    A novel approach is presented for molecular carbon nitride beams to coat stainless surfaces steel using conventional safe feeder gases and electrically conductive sputter targets for surface engineering with ion implantation technology. GNS Science's Penning type ion sources take advantage of the breaking up of ion species in the plasma to assemble novel combinations of ion species. To test this phenomenon for carbon nitride, mixtures of gases and sputter targets were used to probe for CN+ ions for simultaneous implantation into stainless steel. Results from mass analysed ion beams show that CN+ and a variety of other ion species such as CNH+ can be produced successfully. Preliminary measurements show that the corrosion resistance of stainless steel surfaces increased sharply when implanting CN+ at 30 keV compared to reference samples, which is interesting from an application point of view in which improved corrosion resistance, surface engineering and short processing time of stainless steel is required. The results are also interesting for novel research in carbon-based mesoporous materials for energy storage applications and as electrode materials for electrochemical capacitors, because of their high surface area, electrical conductivity, chemical stability and low cost.

  3. Effect of Annealing Temperature and Oxygen Flow in the Properties of Ion Beam Sputtered SnO—2x Thin Films

    Directory of Open Access Journals (Sweden)

    Chun-Min Wang

    2015-08-01

    Full Text Available Tin oxide (SnO2—x thin films were prepared under various flow ratios of O2/(O2 + Ar on unheated glass substrate using the ion beam sputtering (IBS deposition technique. This work studied the effects of the flow ratio of O2/(O2 + Ar, chamber pressures and post-annealing treatment on the physical properties of SnO2 thin films. It was found that annealing affects the crystal quality of the films as seen from both X-ray diffraction (XRD and transmission electron microscopy (TEM analysis. In addition, the surface RMS roughness was measured with atomic force microscopy (AFM. Auger electron spectroscopy (AES analysis was used to obtain the changes of elemental distribution between tin and oxygen atomic concentration. The electrical property is discussed with attention to the structure factor.

  4. Beam intensity increases at the intense pulsed neutron source accelerator

    International Nuclear Information System (INIS)

    Potts, C.; Brumwell, F.; Norem, J.; Rauchas, A.; Stipp, V.; Volk, G.

    1985-01-01

    The Intense Pulsed Neutron Source (IPNS) accelerator system has managed a 40% increase in time average beam current over the last two years. Currents of up to 15.6μA (3.25 x 10 12 protons at 30 Hz) have been successfully accelerated and cleanly extracted. Our high current operation demands low loss beam handling to permit hands-on maintenance. Synchrotron beam handling efficiencies of 90% are routine. A new H - ion source which was installed in March of 1983 offered the opportunity to get above 8 μA but an instability caused unacceptable losses when attempting to operate at 10 μA and above. Simple techniques to control the instabilities were introduced and have worked well. These techniques are discussed below. Other improvements in the regulation of various power supplies have provided greatly improved low energy orbit stability and contributed substantially to the increased beam current

  5. Modeling and analysis of surface roughness effects on sputtering, reflection, and sputtered particle transport

    International Nuclear Information System (INIS)

    Brooks, J.N.; Ruzic, D.N.

    1990-01-01

    The microstructure of the redeposited surface in tokamaks may affect sputtering and reflection properties and subsequent particle transport. This subject has been studied numerically using coupled models/codes for near-surface plasma particle kinetic transport (WBC code) and rough surface sputtering (fractal-TRIM). The coupled codes provide an overall Monte Carlo calculation of the sputtering cascade resulting from an initial flux of hydrogen ions. Beryllium, carbon, and tungsten surfaces are analyzed for typical high recycling, oblique magnetic field, divertor conditions. Significant variations in computed sputtering rates are found with surface roughness. Beryllium exhibits high D-T and self-sputtering coefficients for the plasma regime studied (T e = 30-75 eV). Carbon and tungsten sputtering is significantly lower. 9 refs., 6 figs., 1 tab

  6. Nanoscale pattern formation at surfaces under ion-beam sputtering: A perspective from continuum models

    International Nuclear Information System (INIS)

    Cuerno, Rodolfo; Castro, Mario; Munoz-Garcia, Javier; Gago, Raul; Vazquez, Luis

    2011-01-01

    Although reports on surface nanostructuring of solid targets by low to medium energy ion irradiation date back to the 1960s, only with the advent of high resolution tools for surface/interface characterization has the high potential of this procedure been recognized as a method for efficient production of surface patterns. Such morphologies are made up of periodic arrangements of nanometric sized features, like ripples and dots, with interest for technological applications due to their electronic, magnetic, and optical properties. Thus, roughly for the last ten years large efforts have been directed towards harnessing this nanofabrication technique. However, and particularly in view of recent experimental developments, we can say that the basic mechanisms controlling these pattern formation processes remain poorly understood. The lack of nanostructuring at low angles of incidence on some pure monoelemental targets, the role of impurities in the surface dynamics and other recent observations are challenging the classic view on the phenomenon as the mere interplay between the curvature dependence of the sputtering yield and surface diffusion. We review the main attempts at a theoretical (continuum) description of these systems, with emphasis on recent developments. Strong hints already exist that the nature of the morphological instability has to be rethought as originating in the material flow that is induced by the ion beam.

  7. Fundamental aspects of cathodic sputtering

    International Nuclear Information System (INIS)

    Harman, R.

    1979-01-01

    The main fundamental aspects and problems of cathodic sputtering used mainly for thin film deposition and sputter etching are discussed. Among many types of known sputtering techniques the radiofrequency /RF/ diode sputtering is the most universal one and is used for deposition of metals, alloys, metallic compounds, semiconductors and insulators. It seems that nowadays the largest number of working sputtering systems is of diode type. Sometimes also the dc or rf triode sputtering systems are used. The problems in these processes are practically equivalent and comparable with the problems in the diode method and therefore our discussion will be, in most cases applicable for both, the diode and triode methods

  8. X-ray photoelectron spectroscopy investigation of ion beam sputtered indium tin oxide films as a function of oxygen pressure during deposition

    International Nuclear Information System (INIS)

    Nelson, A.J.; Aharoni, H.

    1987-01-01

    X-ray photoelectron spectroscopy analysis was performed on ion beam sputter deposited films of indium tin oxide as a function of O 2 partial pressure during deposition. The oxygen partial pressure was varied over the range of 2.5 x 10 -6 --4.0 x 10 -5 Torr. Changes in composition as well as in the deconvoluted In 3d 5 /sub // 2 , Sn 3d 5 /sub // 2 , and O 1s core level spectra were observed and correlated with the variation of the oxygen partial pressure during deposition. Results show that the films become increasingly stoichiometric as P/sub =/ is increased and that the excess oxygen introduced during deposition is bound predominantly to the Sn and has little or no effect on the In--O bonding

  9. Uniform elemental analysis of materials by sputtering and photoionization mass spectrometry

    International Nuclear Information System (INIS)

    Chun, He; Basler, J.N.; Becker, C.H.

    1997-01-01

    Analysis of the elemental composition of surfaces commonly involves techniques in which atoms or ions are ablated from the material's surface and detected by mass spectrometry. Secondary-ion mass spectrometry is widely used for detection with high sensitivity (down to a few parts per billion) but technical problems prevent it from being truly quantitative. Some of these problems are circumvented by nonresonant laser post-ionization of sputtered atoms followed by time-of-flight mass spectrometry (surface analysis by laser ionization: SALI). But when there are large differences in ionization probabilities amongst different elements in the material, the detection sensitivity can be non-uniform and accurate quantification remains out of reach. Here we report that highly uniform, quantitative and sensitive analysis of materials can be achieved using a high-energy (5-keV) ion beam for sputtering coupled with a very-high-intensity laser to induce multiphoton ionization of the sputtered atoms. We show uniform elemental sensitivity for several samples containing elements with very different ionization potentials, suggesting that this approach can now be regarded as quantitative for essentially any material. (author)

  10. Ion source for ion beam deposition employing a novel electrode assembly

    Science.gov (United States)

    Hayes, A. V.; Kanarov, V.; Yevtukhov, R.; Hegde, H.; Druz, B.; Yakovlevitch, D.; Cheesman, W.; Mirkov, V.

    2000-02-01

    A rf inductively coupled ion source employing a novel electrode assembly for focusing a broad ion beam on a relatively small target area was developed. The primary application of this ion source is the deposition of thin films used in the fabrication of magnetic sensors and optical devices. The ion optics consists of a three-electrode set of multiaperture concave dished grids with a beam extraction diameter of 150 mm. Also described is a variation in the design providing a beam extraction diameter of 120 mm. Grid hole diameters and grid spacing were optimized for low beamlet divergence and low grid impingement currents. The radius of curvature of the grids was optimized to obtain an optimally focused ion beam at the target location. A novel grid fabrication and mounting design was employed which overcomes typical limitations of such grid assemblies, particularly in terms of maintaining optimum beam focusing conditions after multiple cycles of operation. Ion beam generation with argon and xenon gases in energy ranges from 0.3 to 2.0 keV was characterized. For operation with argon gas, beam currents greater than 0.5 A were obtained with a beam energy of 800 eV. At optimal beam formation conditions, beam profiles at distances about equal to the radius of curvature were found to be close to Gaussian, with 99.9% of the beam current located within a 150 mm target diameter. Repeatability of the beam profile over long periods of operation is also reported.

  11. Electronic sputtering of biomolecules and its application in mass spectrometry

    International Nuclear Information System (INIS)

    Haakansson, P.; Sundqvist, B.U.R.

    1989-01-01

    In 1974 Macfarlane discovered that fast heavy ions from a 252-Cf source can desorb and ionize molecules from a solid surface. The mass of the molecules was determined by time-of-flight technique. It has been shown that the desorption mechanism is associated with the electron part of the stopping power of the primary ion and the name 'electron sputtering' has been adopted for the phenomenon to distinguish it from the well-known sputtering process with ions of KeV energy. A review of electronic sputtering of biomolecules will be given as well as recent measurements on Langmuir-Blodgett films. One important application of electronic sputtering is in the field of mass spectrometry. With this technique large and nonvolatile molecules can be studied. Particularly adsorption of biomolecules to a nitrocellulose backing has proven to be very useful. Examples will be given of mass spectra from peptides with a molecular weight above 20,000 u. (author)

  12. Self-sputtering during ion precipitation and its influence on niobium film properties

    International Nuclear Information System (INIS)

    Belevskij, V.P.; Gusev, I.V.

    1987-01-01

    Cathode sputtering of niobium films under conditions of vacuum condensation with simultaneous Nb + ion bombardment at energy of E n =(1.6...6.4)x10 -16 J is studied. Calculation of experimental values of the sputtering coefficient S Nb is performed with respect to the film thickness in the field of action of a niobium ion beam. Using the four-probe method in helium cryostats superconducting properties are studied and the film texture is determined by the X ray diffraction analysis. With the E n growth S Nb increases from 1.1 to 3.1. The best correspondence of the experimental dependence S Nb (E n ) is provided by the semiempirical formula of Yamamura Y. et al. The consequence of the S Nb increase is a sharp decrease of the effective condensation rate v eff . In case of niobium condensation at v eff m , where v m is the velocity of movement of a quasidiffusive boundary of impurities from the substrate according to the model of ion mixing developed by Carter G. and Armour D. suppresion of texture formation and deterioration of superconducting properties of superthin films (about 10 nm) is observed that results from ion mixing of the film and substrate materials on the interface. For such condensation conditions the substrate itself is the main source of impurities in the film

  13. The effect of space charge force on beams extracted from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1989-01-01

    A new 3 dimensional ray tracing code BEAM-3D, with a simple model to calculate the space charge force of multiple ion species, is under development and serves as a theoretical tool to study the ECRIS beam formation. Excellent agreement between the BEAM-3D calculations and beam profile and emittance measurements of the total extracted helium 1+ beam from the RTECR ion source was obtained when a low degree of beam neutralization was assumed in the calculations. The experimental evidence indicates that the positive space charge effects dominate the early RTECR ion source beam formation and beamline optics matching process. A review of important beam characteristics is made, including a conceptual model for the space charge beam blow up. Better beam transport through the RTECR beamline analysis magnet has resulted after an extraction geometry modification in which the space charge force was more correctly matched. This work involved the development of an online beam characteristic measuring apparatus which will also be described

  14. Measurement of electron beams profile of pierce type electron source using sensor of used Tv tube

    International Nuclear Information System (INIS)

    Darsono; Suhartono; Suprapto; Elin Nuraini

    2015-01-01

    The measurement of an electron beam profile has been performed using electron beam monitor based on method of phosphorescent materials. The main components of the electron beam monitor consists of a fluorescent sensor using a used Tv tube, CCTV camera to record images on a Tv screen, video adapter as interface between CCTV and laptop, and the laptop as a viewer and data processing. Two Pierce-type electron sources diode and triode was measured the shape of electron beam profile in real time. Results of the experiments showed that the triode electron source of Pierce type gave the shape of electron beam profiles better than that of the diode electron source .The anode voltage is not so influential on the beam profile shape. The focused voltage in the triode electron source is so influence to the shape of the electron beam profile, but above 5 kV no great effect. It can be concluded that the electron beam monitor can provide real time observations and drawings shape of the electron beam profile displayed on the used Tv tube glass screen which is the real picture of the shape of the electron beam profile. Triode electron source produces a better electron beam profile than that of the diode electron source. (author)

  15. Implantation, recoil implantation, and sputtering

    International Nuclear Information System (INIS)

    Kelly, R.

    1984-01-01

    Underlying ion-beam modification of surfaces is the more basic subject of particle-surface interaction. The ideas can be grouped into forward and backward features, i.e. those affecting the interior of the target and those leading to particle expulsion. Forward effects include the stopping of the incident particles and the deposition of energy, both governed by integral equations which are easily set up but difficult to solve. Closely related is recoil implantation where emphasis is placed not on the stopping of the incident particles but on their interaction with target atoms with resulting implantation of these atoms. Backward effects, all of which are denoted as sputtering, are in general either of collisional, thermal, electronic, or exfoliational origin. (Auth.)

  16. GEM-based thermal neutron beam monitors for spallation sources

    International Nuclear Information System (INIS)

    Croci, G.; Claps, G.; Caniello, R.; Cazzaniga, C.; Grosso, G.; Murtas, F.; Tardocchi, M.; Vassallo, E.; Gorini, G.; Horstmann, C.; Kampmann, R.; Nowak, G.; Stoermer, M.

    2013-01-01

    The development of new large area and high flux thermal neutron detectors for future neutron spallation sources, like the European Spallation Source (ESS) is motivated by the problem of 3 He shortage. In the framework of the development of ESS, GEM (Gas Electron Multiplier) is one of the detector technologies that are being explored as thermal neutron sensors. A first prototype of GEM-based thermal neutron beam monitor (bGEM) has been built during 2012. The bGEM is a triple GEM gaseous detector equipped with an aluminum cathode coated by 1μm thick B 4 C layer used to convert thermal neutrons to charged particles through the 10 B(n, 7 Li)α nuclear reaction. This paper describes the results obtained by testing a bGEM detector at the ISIS spallation source on the VESUVIO beamline. Beam profiles (FWHM x =31 mm and FWHM y =36 mm), bGEM thermal neutron counting efficiency (≈1%), detector stability (3.45%) and the time-of-flight spectrum of the beam were successfully measured. This prototype represents the first step towards the development of thermal neutrons detectors with efficiency larger than 50% as alternatives to 3 He-based gaseous detectors

  17. SLC polarized beam source electron optics design

    International Nuclear Information System (INIS)

    Eppley, K.R.; Lavine, T.L.; Early, R.A.; Herrmannsfeldt, W.B.; Miller, R.H.; Schultz, D.C.; Spencer, C.M.; Yeremian, A.D.

    1991-05-01

    This paper describes the design of the beam-line from the polarized electron gun to the linac injector in the Stanford Linear Collider (SLC). The polarized electron source is a GaAs photocathode, requiring 10 -11 -Torr-range pressure for adequate quantum efficiency and longevity. The photocathode is illuminated by 3-nsec-long laser pulses. The quality of the optics for the 160-kV beam is crucial since electron-stimulated gas desorption from beam loss in excess of 0.1% of the 20-nC pulses may poison the photocathode. Our design for the transport line consists of a differential pumping region isolated by a pair of valves. Focusing is provided by a pair of Helmholtz coils and by several iron-encased solenoidal lenses. Our optics design is based on beam transport simulations using 2 1/2-D particle-in-cell codes to model the gun and to solve the fully-relativistic time-dependent equations of motion in three dimensions for electrons in the presence of azimuthally symmetric electromagnetic fields. 6 refs., 6 figs

  18. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  19. Phase II beam lines at the National Synchrotron Light Source

    International Nuclear Information System (INIS)

    Thomlinson, W.

    1984-06-01

    The expansion of the National Synchrotron Light Source has been funded by the US Department of Energy. The Phase II program consists of both increased conventional facilities and six new beam lines. In this paper, an overview of the six beam lines which will be constructed during Phase II is presented. For five of the lines special radiation sources are necessary and the designs of four of the devices are complete. The relevant parameters of the insertion devices under construction and development are presented

  20. SiO2-Ta2O5 sputtering yields: simulated and experimental results

    International Nuclear Information System (INIS)

    Vireton, E.; Ganau, P.; Mackowski, J.M.; Michel, C.; Pinard, L.; Remillieux, A.

    1994-09-01

    To improve mirrors coating, we have modeled sputtering of binary oxide targets using TRIM code. First, we have proposed a method to calculate TRIM input parameters using on the one hand thermodynamic cycle and on the other hand Malherbe's results. Secondly, an iterative processing has provided for oxide steady targets caused by ionic bombardment. Thirdly, we have exposed a model to get experimental sputtering yields. Fourthly, for (Ar - SiO 2 ) pair, we have determined that steady target is a silica one. A good agreement between simulated and experimental yields versus ion incident angle has been found. For (Ar - Ta 2 O 5 ) pair, we have to introduce preferential sputtering concept to explain discrepancy between simulation and experiment. In this case, steady target is tantalum monoxide. For (Ar - Ta(+O 2 ) pair, tantalum sputtered by argon ions in reactive oxygen atmosphere, we have to take into account new concept of oxidation stimulated by ion beam. We have supposed that tantalum target becomes a Ta 2 O 5 one in reactive oxygen atmosphere. Then, following mechanism is similar to previous pair. We have obtained steady target of tantalum monoxide too. Comparison between simulated and experimental sputtering yields versus ion incident angle has given very good agreement. By simulation, we have found that tantalum monoxide target has at least 15 angstrom thickness. Those results are compatible with Malherbe's and Taglauer's ones. (authors)

  1. Localisation of beam offset jitter sources at ATF2

    CERN Document Server

    Pfingstner, J; Patecki, M; Schulte, D; Tomás, R

    2014-01-01

    For the commissioning and operation of modern particle accelerators, automated error detection and diagnostics methods are becoming increasingly important. In this paper, we present two such methods, which are capable of localising sources of beam offset jitter with a combination of correlation studies and so called degree of freedom plots. The methods were applied to the ATF2 beam line at KEK, where one of the major goals is the reduction of the beam offset jitter. Results of this localisation are shown in this paper. A big advantage of the presented method is its high robustness especially to varying optics parameters. Therefore, we believe that the developed beam offset jitter localisation methods can be easily applied to other accelerators.

  2. Source fabrication and lifetime for Li+ ion beams extracted from alumino-silicate sources

    Energy Technology Data Exchange (ETDEWEB)

    Roy, Prabir K.; Greenway, Wayne G.; Kwan, Joe W

    2012-03-05

    A space-charge-limited beam with current densities (J) exceeding 1 mA/cm2 have been measured from lithium alumino-silicate ion sources at a temperature of ~1275 °C. At higher extraction voltages, the source appears to become emission limited with J ≥ 1.5 mA/cm2, and J increases weakly with the applied voltage. A 6.35 mm diameter source with an alumino-silicate coating, ≤0.25 mm thick, has a measured lifetime of ~40 h at ~1275 °C, when pulsed at 0.05 Hz and with pulse length of ~6 μs each. At this rate, the source lifetime was independent of the actual beam charge extracted due to the loss of neutral atoms at high temperature. Finally, the source lifetime increases with the amount of alumino-silicate coated on the emitting surface, and may also be further extended if the temperature is reduced between pulses.

  3. Source fabrication and lifetime for Li+ ion beams extracted from alumino-silicate sources

    Energy Technology Data Exchange (ETDEWEB)

    Roy, Prabir K.; Greenway, Wayne G.; Kwan, Joe W.

    2012-04-01

    A space-charge-limited beam with current densities (J) exceeding 1 mA/cm2 have been measured from lithium alumino-silicate ion sources at a temperature of ~1275 °C. At higher extraction voltages, the source appears to become emission limited with J ≥ 1.5 mA/cm2, and J increases weakly with the applied voltage. A 6.35 mm diameter source with an alumino-silicate coating, ≤0.25 mm thick, has a measured lifetime of ~40 h at ~1275 °C, when pulsed at 0.05 Hz and with pulse length of ~6 μs each. At this rate, the source lifetime was independent of the actual beam charge extracted due to the loss of neutral atoms at high temperature. Finally, the source lifetime increases with the amount of alumino-silicate coated on the emitting surface, and may also be further extended if the temperature is reduced between pulses.

  4. Development of high-polarization Fe/Ge neutron polarizing supermirror: Possibility of fine-tuning of scattering length density in ion beam sputtering

    Science.gov (United States)

    Maruyama, R.; Yamazaki, D.; Akutsu, K.; Hanashima, T.; Miyata, N.; Aoki, H.; Takeda, M.; Soyama, K.

    2018-04-01

    The multilayer structure of Fe/Si and Fe/Ge systems fabricated by ion beam sputtering (IBS) was investigated using X-ray and polarized neutron reflectivity measurements and scanning transmission electron microscopy with energy-dispersive X-ray analysis. The obtained result revealed that the incorporation of sputtering gas particles (Ar) in the Ge layer gives rise to a marked reduction in the neutron scattering length density (SLD) and contributes to the SLD contrast between the Fe and Ge layers almost vanishing for spin-down neutrons. Bundesmann et al. (2015) have shown that the implantation of primary Ar ions backscattered at the target is responsible for the incorporation of Ar particles and that the fraction increases with increasing ion incidence angle and increasing polar emission angle. This leads to a possibility of fine-tuning of the SLD for the IBS, which is required to realize a high polarization efficiency of a neutron polarizing supermirror. Fe/Ge polarizing supermirror with m = 5 fabricated under the same condition showed a spin-up reflectivity of 0.70 at the critical momentum transfer. The polarization was higher than 0.985 for the qz range where the correction for the polarization inefficiencies of the beamline works properly. The result of the polarized neutron reflectivity measurement suggests that the "magnetically-dead" layers formed at both sides of the Fe layer, together with the SLD contrast, play a critical role in determining the polarization performance of a polarizing supermirror.

  5. Influence of the atomic structure on the quantum state of sputtered Ir atoms

    International Nuclear Information System (INIS)

    Bastiaansen, J.; Philipsen, V.; Lievens, P.; Silverans, R.E.; Vandeweert, E.

    2004-01-01

    The probability of the ejection of a neutral atom in a specific quantum state after keV-ion beam sputtering is often interpreted in terms of the interaction between the atomic states of the escaping atom and the electronic states of the solid. In this work, we examined this interplay in the sputtering of iridium as this element has--unlike the elements employed in previous investigations--a complex atomic structure due to strong configuration interactions. Double-resonant two-photon laser ionization is used to probe the sputtered Ir atoms yielding information about the probability for an ejected atom to populate a specific atomic state and its escape velocity. The qualitative features of the corresponding population partition and state-selective velocity distributions show the influence of the excitation energy and the electronic structure of the different atomic states. A comparison is made between the experimental data and predictions from the resonant electron transfer description

  6. An electromagnetically focused electron beam line source

    International Nuclear Information System (INIS)

    Iqbal, Munawar; Masood, Khalid; Rafiq, Mohammad; Chaudhary, Maqbool A.; Aleem, Fazal-e-

    2003-01-01

    A directly heated thermionic electron beam source was constructed. A tungsten wire of length 140 mm with diameter 0.9 mm was used as a cathode. An emission current of 5000 mA was achieved at an input heating power of 600 W. Cathode to anode distance of 6 mm with acceleration voltage of 10 kV was used. A uniform external magnetic field of 50 G was employed to obtain a well-focused electron beam at a deflection of 180 deg., with cathode to work site distance of 130 mm. Dimensions of the beam (1.25x120 mm) recorded at the work site were found to be in good agreement with the designed length of cathode. The deformation of the cathode was overcome by introducing a spring action mechanism, which gives uniform emission current density throughout the emission surface. We have achieved the saturation limit of the designed source resulting in smooth and swift operation of the gun for many hours (10-15 h continuously). The design of gun is so simple that it can accommodate longer cathodes for obtaining higher emission values. This gun has made it possible to coat large substrate surfaces at much faster evaporation rate at lower cost. It can also be useful in large-scale vacuum metallurgy plants for melting, welding and heat treatment

  7. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  8. A new coaxial high power microwave source based on dual beams

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yangmei, E-mail: sunberry1211@hotmail.com; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang [College of Optoelectric Science and Engineering, National University of Defense Technology, Changsha 410073 (China)

    2014-05-15

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined.

  9. A new coaxial high power microwave source based on dual beams

    International Nuclear Information System (INIS)

    Li, Yangmei; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang

    2014-01-01

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined

  10. Sources of electron contamination for the Clinac-35 25-MV photon beam

    International Nuclear Information System (INIS)

    Petti, P.L.; Goodman, M.S.; Sisterson, J.M.; Biggs, P.J.; Gabriel, T.A.; Mohan, R.

    1983-01-01

    A detailed Monte Carlo approach has been employed to investigate the sources of electron contamination for the 25-MV photon beam generated by Varian's Clinac-35. Three sources of contamination were examined: (a) the flattening filter and beam monitor chamber, (b) the fixed primary collimators downstream from the monitor chamber and the adjustable photon jaws, and (c) the air volume separating the treatment head from the observation point. Five source-to-surface distances (SSDs) were considered for a single field size, 28 cm in diameter at 80 cm SSD. It was found that for small SSDs (80-100 cm), the dominant sources of electron contamination were the flattening filter and the beam monitor chamber which accounted for 70% of the unwanted electrons. Thirteen percent of the remaining electrons originated in the downstream primary collimators and the photon jaws, and 17% were produced in air. At larger SSDs, the fraction of unwanted electrons originating in air increased. At 400 cm SSD, 61% of the contaminating electrons present in the beam were produced in air, 34% originated in the flattening filter and beam monitor chamber, and 5% were due to interactions in the fixed collimators downstream from the monitor chamber and the adjustable photon jaws. These calculated results are substantiated by recent experiments

  11. Ga lithography in sputtered niobium for superconductive micro and nanowires

    International Nuclear Information System (INIS)

    Henry, M. David; Wolfley, Steve; Monson, Todd; Lewis, Rupert

    2014-01-01

    This work demonstrates the use of focused ion beam (FIB) implanted Ga as a lithographic mask for plasma etching of Nb films. Using a highly collimated Ga beam of a FIB, Nb is implanted 12 nm deep with a 14 nm thick Ga layer providing etch selectivity better than 15:1 with fluorine based etch chemistry. Implanted square test patterns, both 10 μm by 10 μm and 100 μm by 100 μm, demonstrate that doses above than 7.5 × 10 15  cm −2 at 30 kV provide adequate mask protection for a 205 nm thick, sputtered Nb film. The resolution of this dry lithographic technique is demonstrated by fabrication of nanowires 75 nm wide by 10 μm long connected to 50 μm wide contact pads. The residual resistance ratio of patterned Nb films was 3. The superconducting transition temperature (T c ) = 7.7 K was measured using a magnetic properties measurement system. This nanoscale, dry lithographic technique was extended to sputtered TiN and Ta here and could be used on other fluorine etched superconductors such as NbN, NbSi, and NbTi.

  12. Full three-dimensional simulation of focused ion beam micro/nanofabrication

    International Nuclear Information System (INIS)

    Kim, Heung-Bae; Hobler, Gerhard; Steiger, Andreas; Lugstein, Alois; Bertagnolli, Emmerich

    2007-01-01

    2D focused ion beam simulation is only capable of simulating the topography where the surface shape does not change along the third dimension, both in the final result and during processing. In this paper we show that a 3D topography forms under the beam even though the variation in the final result along the third direction is small. We present the code AMADEUS 3D (advanced modelling and design environment for sputter processes), which is capable of simulating the surface topography in 3D space including angle-dependent sputtering and redeposition. The surface is represented by a structured or unstructured grid, and the nodes are moved according to the calculated sputtering and redeposition fluxes. In addition, experiments have been performed on nanodot formation and box milling for a case where a 3D temporary topography forms. The excellent agreement validates the code and shows the completeness of the model

  13. Status report on the development of a tubular electron beam ion source

    International Nuclear Information System (INIS)

    Donets, E.D.; Donets, E.E.; Becker, R.; Liljeby, L.; Rensfelt, K.-G.; Beebe, E.N.; Pikin, A.I.

    2004-01-01

    The theoretical estimations and numerical simulations of tubular electron beams in both beam and reflex mode of source operation as well as the off-axis ion extraction from a tubular electron beam ion source (TEBIS) are presented. Numerical simulations have been done with the use of the IGUN and OPERA-3D codes. Numerical simulations with IGUN code show that the effective electron current can reach more than 100 A with a beam current density of about 300-400 A/cm 2 and the electron energy in the region of several KeV with a corresponding increase of the ion output. Off-axis ion extraction from the TEBIS, being the nonaxially symmetric problem, was simulated with OPERA-3D (SCALA) code. The conceptual design and main parameters of new tubular sources which are under consideration at JINR, MSL, and BNL are based on these simulations

  14. E-line: A new crystal collimator beam line for source size measurements at CHESS

    International Nuclear Information System (INIS)

    White, Jeffrey A.; Revesz, Peter; Finkelstein, Ken

    2007-01-01

    A new X-ray beam line has been constructed at cornell high energy synchrotron source (CHESS) to measure the vertical and horizontal source size of the positron particle beam. The cornell laboratory of elementary particle physics (LEPP) operates the storage ring (CESR) for X-ray generation for the CHESS user community by circulating electrons and their antimatter counterpart positrons in counter-rotating beams. As the laboratory reduces the emittances of particle beams to increase X-ray brilliance, there has been an increasing need for diagnostic tools to measure and monitor source size. A beam line front end that accesses the positron synchrotron light has been fitted with an experimental chamber and apparatus of compact design capable of horizontal and vertical source size measurement using the 'crystal collimator' technique, and an additional setup for vertical beam position monitoring using a luminescence-based X-ray video beam position monitoring system. The crystal collimators each consist of two Si(2 2 0) crystals in a dispersive (+,+) arrangement that diffract X-rays to a fluorescent material coated on a view port observed with a CCD camera. Measurements of the positron vertical beam size using the crystal collimation method at E-line are compared with measurements of visible synchrotron light at a remotely located dedicated port on the storage ring

  15. Influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers, Fe/Cr/Fe- and Fe/MgO/Fe multilayers; Untersuchung der Morphologie und magnetische Eigenschaften von ionenstrahl-gesputterten Eisen-Einzelschichten, Fe/Cr/Fe- und Fe/MgO/Fe-Schichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Steeb, Alexandra

    2007-04-05

    In this PhD Thesis, the influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers on GaAs is examined. To analyze the structure of the produced iron films, low energy electron diffraction and scanning tunneling microscopy is employed. The utilized methods to investigate the magnetic properties are Kerr- and SQUID-magnetometry and ferromagnetic resonance. It is demonstrated that on untreated as well as on presputtered and heated GaAs substrates the sputtered iron films grow epitaxially. The least surface roughness of 1 A exhibit iron films grown on untreated GaAs, while iron films on heated GaAs have the highest roughness of 30 A. The largest crystal anisotropy constant is found for the presputtered GaAs/Fe-System. For this preparation method, two monolayers of iron are determined to be magnetically dead layers. At a film thickness of 100 A, 83% of the value for saturation magnetization of bulk iron are achieved. The small observed FMR-linewidths confirm the good bulk properties of the ion beam sputtered iron. Furthermore, an antiferromagnetic interlayer exchange coupling in sputtered Fe/Cr/Fe-films was achieved. For a thickness of 12 to 17 A of the chrome interlayer, a coupling strength up to 0.2 mJ/m{sup 2} is found. To account for the small coupling strength, a strong intermixing at the interface is assumed. Finally, epitaxial Fe/MgO/Fe/FeMn multilayers are deposited on GaAs. After the structuring, it is possible to detect tunneling processes in the tunneling contacts with current-voltage measurements. The tunnel magneto resistance values of 2% are small, which can be explained by the absence of sharp, well-defined interfaces between the Fe/FeMn and the Fe/MgO interfaces. These results demonstrate, that analog to MBE the ion beam sputtering method realizes good magnetic bulk properties. However, interface sensitive phenomena are weakened because of a strong intermixing at the interfaces. (orig.)

  16. High flux, beamed neutron sources employing deuteron-rich ion beams from D2O-ice layered targets

    Science.gov (United States)

    Alejo, A.; Krygier, A. G.; Ahmed, H.; Morrison, J. T.; Clarke, R. J.; Fuchs, J.; Green, A.; Green, J. S.; Jung, D.; Kleinschmidt, A.; Najmudin, Z.; Nakamura, H.; Norreys, P.; Notley, M.; Oliver, M.; Roth, M.; Vassura, L.; Zepf, M.; Borghesi, M.; Freeman, R. R.; Kar, S.

    2017-06-01

    A forwardly-peaked bright neutron source was produced using a laser-driven, deuteron-rich ion beam in a pitcher-catcher scenario. A proton-free ion source was produced via target normal sheath acceleration from Au foils having a thin layer of D2O ice at the rear side, irradiated by sub-petawatt laser pulses (˜200 J, ˜750 fs) at peak intensity ˜ 2× {10}20 {{W}} {{cm}}-2. The neutrons were preferentially produced in a beam of ˜70° FWHM cone along the ion beam forward direction, with maximum energy up to ˜40 MeV and a peak flux along the axis ˜ 2× {10}9 {{n}} {{sr}}-1 for neutron energy above 2.5 MeV. The experimental data is in good agreement with the simulations carried out for the d(d,n)3He reaction using the deuteron beam produced by the ice-layered target.

  17. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  18. Growth stress buildup in ion beam sputtered Mo thin films and comparative study of stress relaxation upon thermal annealing or ion irradiation

    International Nuclear Information System (INIS)

    Debelle, A.; Abadias, G.; Michel, A.; Jaouen, C.; Pelosin, V.

    2007-01-01

    In an effort to address the understanding of the origin of growth stress in thin films deposited under very energetic conditions, the authors investigated the stress state and microstructure of Mo thin films grown by ion beam sputtering (IBS) as well as the stress relaxation processes taking place during subsequent thermal annealing or ion irradiation. Different sets of samples were grown by varying the IBS deposition parameters, namely, the energy E 0 and the flux j of the primary ion beam, the target-to-sputtering gas mass ratio M 1 /M 2 as well as film thickness. The strain-stress state was determined by x-ray diffraction using the sin 2 ψ method and data analyzed using an original stress model which enabled them to correlate information at macroscopic (in terms of stress) and microscopic (in terms of defect concentration) levels. Results indicate that these refractory metallic thin films are characterized by a high compressive growth stress (-2.6 to -3.8 GPa), resulting from the creation of a large concentration (up to ∼1.4%) of point or cluster defects, due to the atomic peening mechanism. The M 1 /M 2 mass ratio enables tuning efficiently the mean deposited energy of the condensing atoms; thus, it appears to be the more relevant deposition parameter that allows modifying both the microstructure and the stress level in a significant way. The growth stress comes out to be highly unstable. It can be easily relaxed either by postgrowth thermal annealing or ion irradiation in the hundred keV range at very low dose [<0.1 dpa (displacement per atom)]. It is shown that thermal annealing induces deleterious effects such as oxidation of the film surface, decrease of the film density, and in some cases adhesion loss at the film/substrate interface, while ion irradiation allows controlling the stress level without generating any macroscopic damage

  19. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  20. Low-temperature growth of (2 1-bar 1-bar 0) ZnO nanofilm on NaCl (0 0 1) surface by ion beam sputtering

    International Nuclear Information System (INIS)

    Shen, Jung-Hsiung; Yeh, Sung-Wei; Huang, Hsing-Lu; Gan, Dershin

    2009-01-01

    ZnO nanofilm of the (2 1 -bar 1 -bar 0) surface was prepared by ion beam sputtering deposition. The nanofilm was prepared on NaCl (0 0 1) surface at 200 o C to produce nearly pure (2 1 -bar 1 -bar 0) ZnO texture and the orientation relationship was determined and the interface discussed. Transmission electron microscopy lattice images were used to find the interface formed between ZnO nanocrystals. The ZnO nanocrystals coalesced to form a straight (0 1 -bar 1 -bar 2) interface. The photoluminescence spectrum from the (2 1 -bar 1 -bar 0) ZnO surface showed only a near-band-edge UV emission peak.

  1. Development of target ion source systems for radioactive beams at GANIL

    Energy Technology Data Exchange (ETDEWEB)

    Bajeat, O., E-mail: bajeat@ganil.fr [GANIL, BP 55027, 14076 CAEN Cedex 05 (France); Delahaye, P. [GANIL, BP 55027, 14076 CAEN Cedex 05 (France); Couratin, C. [GANIL, BP 55027, 14076 CAEN Cedex 05 (France); LPC Caen, 6 bd Maréchal Juin, 14050 CAEN Cedex (France); Dubois, M.; Franberg-Delahaye, H.; Henares, J.L.; Huguet, Y.; Jardin, P.; Lecesne, N.; Lecomte, P.; Leroy, R.; Maunoury, L.; Osmond, B.; Sjodin, M. [GANIL, BP 55027, 14076 CAEN Cedex 05 (France)

    2013-12-15

    Highlights: • For Spiral 1, a febiad ion source has been connected to a graphite target. • For Spiral 2, an oven made with a carbon resistor is under development. • We made some measurement of effusion in the Spiral 2 target. • A laser ion source is under construction. -- Abstract: The GANIL facility (Caen, France) is dedicated to the acceleration of heavy ion beams including radioactive beams produced by the Isotope Separation On-Line (ISOL) method at the SPIRAL1 facility. To extend the range of radioactive ion beams available at GANIL, using the ISOL method two projects are underway: SPIRAL1 upgrade and the construction of SPIRAL2. For SPIRAL1, a new target ion source system (TISS) using the VADIS FEBIAD ion source coupled to the SPIRAL1 carbon target will be tested on-line by the end of 2013 and installed in the cave of SPIRAL1 for operation in 2015. The SPIRAL2 project is under construction and is being design for using different production methods as fission, fusion or spallation reactions to cover a large area of the chart of nuclei. It will produce among others neutron rich beams obtained by the fission of uranium induced by fast neutrons. The production target made from uranium carbide and heated at 2000 °C will be associated with several types of ion sources. Developments currently in progress at GANIL for each of these projects are presented.

  2. Charged-particle beam diagnostics for the Advanced Photon Source (APS)

    International Nuclear Information System (INIS)

    Lumpkin, A.H.; Decker, G.; Kahana, E.; Patterson, D.; Sellyey, W.; Wang, X.; Chung, Y.

    1992-01-01

    Plans, prototypes, and initial test results for the charged-particle beam (e - , e + ) diagnostic systems on the injector rings, their transport lines, and the storage ring for the Advanced Photon Source (APS) are presented. The APS will be a synchrotron radiation user facility with one of the world's brightest x-ray sources in the 10-keV to 100-keV regime. Its 200-MeV electron linac, 450-MeV positron linac, positron accumulator ring, 7-GeV booster synchrotron, 7-GeV storage ring, and undulator test lines will also demand the development and demonstration of key particle-beam characterization techniques over a wide range of parameter space. Some of these parameter values overlap or approach those projected for fourth generation light sources (linac-driven FELs and high brightness storage rings) as described at a recent workshop. Initial results from the diagnostics prototypes on the linac test stand operating at 45-MeV include current monitor data, beam loss monitor data, and video digitization using VME architecture

  3. Use of reactive gases with broad-beam radio frequency ion sources for industrial applications

    International Nuclear Information System (INIS)

    Schneider, St.; Jolly, T.W.; Kohlstedt, H.; Waser, R.

    2004-01-01

    Broad-beam ion sources are used for a number of important industrial etching and deposition applications, and the use of inductively coupled plasmas has greatly increased the feasibility of using beams of reactive gases, especially of chlorine and oxygen, but also of CO, CO 2 , CF 4 , CHF 3 , SF 6 , etc. In order to gain more understanding of the factors that affect the composition of beams of these gases, we have used a Hiden energy-dispersive quadrupole mass spectrometer to analyze the flux of ions and energetic particles produced by an Oxford Instruments 15 cm rf ion source. For all of the above gases, we have analyzed the effects of changing the operating conditions on the composition of the ion beam, and the fractional production of multiply charged ions; on the plasma potential (and the consequential divergence of the ion beam) and on the spread in energy of the ion beam. We discuss how these factors influence the correct use of the ion source in etching applications with these gases. It is important that the design of the ion source should be optimized for the process gases that are used. The source was originally optimized for use on argon. We discuss the effect of the design on the source's performance with the different gases, and we consider whether design changes would be appropriate for optimum performance on different gases

  4. ITO films realized at room-temperature by ion beam sputtering for high-performance flexible organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Lucas, B.; Rammal, W.; Moliton, A. [Limoges Univ., Faculte des Sciences et Techniques, CNRS, UMR 6172, Institut de Recherche XLIM, Dept. MINACOM, 87 - Limoges (France)

    2006-06-15

    Indium-tin oxide (ITO) thin layers are obtained by an IBS (Ion Beam Sputtering) deposition process. We elaborated ITO films on flexible substrates of polyethylene terephthalate (PET), under soft conditions of low temperatures and fulfilling the requirements of fabrication processes of the organic optoelectronic components. With a non thermally activated (20 Celsius degrees) ITO deposition assisted by an oxygen flow (1 cm{sup 3}/min), we got an optical transmittance of 90% in the visible range, a resistivity around 10{sup -3} {omega}.cm and a surface roughness lower than 1.5 mm. Thus we realized flexible organic light-emitting diodes (FOLEDs) with good performances: a maximum luminance of 12000 cd/m{sup 2} at a voltage of 19 V and a maximum luminous power efficiency around 1 lm/W at a voltage of 10 V (or a maximum current efficiency of 4 cd/A at 14 V) for the (PET(50 {mu}m) / ITO(200 nm) / TPD(40 nm) / Alq3(60 nm) / Ca / Al) structure. (authors)

  5. Sputtering of Lunar Regolith Simulant by Protons and Multicharged Heavy Ions at Solar Wind Energies

    International Nuclear Information System (INIS)

    Meyer, Fred W.; Harris, Peter R.; Taylor, C.N.; Meyer, Harry M. III; Barghouty, N.; Adams, J. Jr.

    2011-01-01

    We report preliminary results on sputtering of a lunar regolith simulant at room temperature by singly and multiply charged solar wind ions using quadrupole and time-of-flight (TOF) mass spectrometry approaches. Sputtering of the lunar regolith by solar-wind heavy ions may be an important particle source that contributes to the composition of the lunar exosphere, and is a possible mechanism for lunar surface ageing and compositional modification. The measurements were performed in order to assess the relative sputtering efficiency of protons, which are the dominant constituent of the solar wind, and less abundant heavier multicharged solar wind constituents, which have higher physical sputtering yields than same-velocity protons, and whose sputtering yields may be further enhanced due to potential sputtering. Two different target preparation approaches using JSC-1A AGGL lunar regolith simulant are described and compared using SEM and XPS surface analysis.

  6. Simulation of ultrasonic surface waves with multi-Gaussian and point source beam models

    International Nuclear Information System (INIS)

    Zhao, Xinyu; Schmerr, Lester W. Jr.; Li, Xiongbing; Sedov, Alexander

    2014-01-01

    In the past decade, multi-Gaussian beam models have been developed to solve many complicated bulk wave propagation problems. However, to date those models have not been extended to simulate the generation of Rayleigh waves. Here we will combine Gaussian beams with an explicit high frequency expression for the Rayleigh wave Green function to produce a three-dimensional multi-Gaussian beam model for the fields radiated from an angle beam transducer mounted on a solid wedge. Simulation results obtained with this model are compared to those of a point source model. It is shown that the multi-Gaussian surface wave beam model agrees well with the point source model while being computationally much more efficient

  7. Effect of sputtering power on structure and properties of Bi film deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Liao Guo; He Zhibing; Xu Hua; Li Jun; Chen Taihua; Chen Jiajun

    2012-01-01

    Bi film was fabricated at different sputtering powers by DC magnetron sputtering. The deposition rate of Bi film as the function of sputtering power was studied. The surface topography of Bi film was observed by SEM, and the growth mode of Bi film was investigated. The crystal structure was analyzed by XRD. The grain size and stress of Bi film were calculated. The SEM images show that all the films are columnar growth. The average grain size firstly increases as the sputtering power increases, then decreases at 60 W. The film becomes loose with the increase of sputtering power, while, the film gets compact when the sputtering power becomes from 45 to 60 W. The XRD results show that films are polycrystalline of hexagonal. And the stress transforms from the tensile stress to compressive stress as the sputtering power increases. (authors)

  8. Non-imaging ray-tracing for sputtering simulation with apodization

    Science.gov (United States)

    Ou, Chung-Jen

    2018-04-01

    Although apodization patterns have been adopted for the analysis of sputtering sources, the analytical solutions for the film thickness equations are yet limited to only simple conditions. Empirical formulations for thin film sputtering lacking the flexibility in dealing with multi-substrate conditions, a suitable cost-effective procedure is required to estimate the film thickness distribution. This study reports a cross-discipline simulation program, which is based on discrete particle Monte-Carlo methods and has been successfully applied to a non-imaging design to solve problems associated with sputtering uniformity. Robustness of the present method is first proved by comparing it with a typical analytical solution. Further, this report also investigates the overall all effects cause by the sizes of the deposited substrate, such that the determination of the distance between the target surface and the apodization index can be complete. This verifies the capability of the proposed method for solving the sputtering film thickness problems. The benefit is that an optical thin film engineer can, using the same optical software, design a specific optical component and consider the possible coating qualities with thickness tolerance, during the design stage.

  9. Scalar and vector vortex beams from the source

    CSIR Research Space (South Africa)

    Naidoo, Darryl

    2016-10-01

    Full Text Available . Advanced Solid State Lasers 2016 (ASSL, LSC, LAC), OSA Technical Digest (online) (Optical Society of America, 2016), 30 October–3 November 2016, Boston, Massachusetts United States Scalar and vector vortex beams from the source Naidoo, Darryl Roux...

  10. Thermoelectric properties of bismuth antimony tellurium thin films through bilayer annealing prepared by ion beam sputtering deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Zhuang-hao [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Fan, Ping, E-mail: fanping308@126.com [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Luo, Jing-ting [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Cai, Xing-min; Liang, Guang-xing; Zhang, Dong-ping [College of Physics Science and Technology, Shenzhen University, 518060 (China); Ye, Fan [Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China)

    2014-07-01

    Bismuth antimony tellurium is one of the most important tellurium-based materials for high-efficient thermoelectric application. In this paper, ion beam sputtering was used to deposit Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films on borosilicate substrates at room-temperature. Then the bismuth antimony tellurium thin films were synthesized via post thermal treatment of the Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films. The effect of annealing temperature and compositions on the thermoelectric properties of the thin films was investigated. After the thin films were annealed from 150 °C to 350 °C for 1 h in the high vacuum condition, the Seebeck coefficient changed from a negative sign to a positive sign. The X-ray diffraction results showed that the synthesized tellurium-based thermoelectric thin film exhibited various alloys phases, which contributed different thermoelectricity conductivity to the synthesized thin film. The overall Seebeck coefficient of the synthesized thin film changed from negative sign to positive sign, which was due to the change of the primary phase of the tellurium-based materials at different annealing conditions. Similarly, the thermoelectric properties of the films were also associated with the grown phase. High-quality thin film with the Seebeck coefficient of 240 μV K{sup −1} and the power factor of 2.67 × 10{sup −3} Wm{sup −1} K{sup −2} showed a single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase when the Sb/Te thin film sputtering time was 40 min. - Highlights: • Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} thermoelectric thin films synthesized via bilayer annealing • The film has single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase with best thermoelectric performance. • The film has high thermoelectric properties comparable with other best results.

  11. Summary of mirror experiments relevant to beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1988-01-01

    A promising design for a deuterium-tritium (DT) neutron source is based on the injection of neutral beams into a dense, warm plasma column. Its purpose is to test materials for possible use in fusion reactors. A series of designs have evolved, from a 4-T version to an 8-T version. Intense fluxes of 5--10 MW/m 2 is achieved at the plasma surface, sufficient to complete end-of-life tests in one to two years. In this report, we review data from earlier mirror experiments that are relevant to such neutron sources. Most of these data are from 2XIIB, which was the only facility to ever inject 5 MW of neutral beams into a single mirror call. The major physics issues for a beam-plasma neutron source are magnetohydrodynamic (MHD) equilibrium and stability, microstability, startup, cold-ion fueling of the midplane to allow two-component reactions, and operation in the Spitzer conduction regime, where the power is removed to the ends by an axial gradient in the electron temperature T/sub e/. We show in this report that the conditions required for a neutron source have now been demonstrated in experiments. 20 refs., 15 figs., 3 tabs

  12. Beam shaping of light sources using circular photonic crystal funnel

    Science.gov (United States)

    Kumar, Mrityunjay; Kumar, Mithun; Dinesh Kumar, V.

    2012-10-01

    A novel two-dimensional circular photonic crystal (CPC) structure with a sectorial opening for shaping the beam of light sources was designed and investigated. When combined with light sources, the structure acts like an antenna emitting a directional beam which could be advantageously used in several nanophotonic applications. Using the two-dimensional finite-difference time-domain (2D FDTD) method, we examined the effects of geometrical parameters of the structure on the directional and transmission properties of emitted radiation. Further, we examined the transmitting and receiving properties of a pair of identical structures as a function of distance between them.

  13. E-line: A new crystal collimator beam line for source size measurements at CHESS

    Energy Technology Data Exchange (ETDEWEB)

    White, Jeffrey A. [CHESS, Cornell High Energy Synchrotron Source, Cornell University, Ithaca, NY 14850-8001 (United States)], E-mail: jaw7@cornell.edu; Revesz, Peter; Finkelstein, Ken [CHESS, Cornell High Energy Synchrotron Source, Cornell University, Ithaca, NY 14850-8001 (United States)

    2007-11-11

    A new X-ray beam line has been constructed at cornell high energy synchrotron source (CHESS) to measure the vertical and horizontal source size of the positron particle beam. The cornell laboratory of elementary particle physics (LEPP) operates the storage ring (CESR) for X-ray generation for the CHESS user community by circulating electrons and their antimatter counterpart positrons in counter-rotating beams. As the laboratory reduces the emittances of particle beams to increase X-ray brilliance, there has been an increasing need for diagnostic tools to measure and monitor source size. A beam line front end that accesses the positron synchrotron light has been fitted with an experimental chamber and apparatus of compact design capable of horizontal and vertical source size measurement using the 'crystal collimator' technique, and an additional setup for vertical beam position monitoring using a luminescence-based X-ray video beam position monitoring system. The crystal collimators each consist of two Si(2 2 0) crystals in a dispersive (+,+) arrangement that diffract X-rays to a fluorescent material coated on a view port observed with a CCD camera. Measurements of the positron vertical beam size using the crystal collimation method at E-line are compared with measurements of visible synchrotron light at a remotely located dedicated port on the storage ring.

  14. Monte Carlo simulations of secondary electron emission due to ion beam milling

    Energy Technology Data Exchange (ETDEWEB)

    Mahady, Kyle [Univ. of Tennessee, Knoxville, TN (United States); Tan, Shida [Intel Corp., Santa Clara, CA (United States); Greenzweig, Yuval [Intel Israel Ltd., Haifa (Israel); Livengood, Richard [Intel Corp., Santa Clara, CA (United States); Raveh, Amir [Intel Israel Ltd., Haifa (Israel); Fowlkes, Jason D. [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Rack, Philip [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-07-01

    We present a Monte Carlo simulation study of secondary electron emission resulting from focused ion beam milling of a copper target. The basis of this study is a simulation code which simulates ion induced excitation and emission of secondary electrons, in addition to simulating focused ion beam sputtering and milling. This combination of features permits the simulation of the interaction between secondary electron emission, and the evolving target geometry as the ion beam sputters material. Previous ion induced SE Monte Carlo simulation methods have been restricted to predefined target geometries, while the dynamic target in the presented simulations makes this study relevant to image formation in ion microscopy, and chemically assisted ion beam etching, where the relationship between sputtering, and its effects on secondary electron emission, is important. We focus on a copper target, and validate our simulation against experimental data for a range of: noble gas ions, ion energies, ion/substrate angles and the energy distribution of the secondary electrons. We then provide a detailed account of the emission of secondary electrons resulting from ion beam milling; we quantify both the evolution of the yield as high aspect ratio valleys are milled, as well as the emission of electrons within these valleys that do not escape the target, but which are important to the secondary electron contribution to chemically assisted ion induced etching.

  15. A high-flux low-energy hydrogen ion beam using an end-Hall ion source

    NARCIS (Netherlands)

    Veldhoven, J. van; Sligte, E. te; Janssen, J.P.B.

    2016-01-01

    Most ion sources that produce high-flux hydrogen ion beams perform best in the high energy range (keV). Alternatively, some plasma sources produce very-lowenergy ions (<< 10 eV). However, in an intermediate energy range of 10-200 eV, no hydrogen ion sources were found that produce high-flux beams.

  16. Operating characteristics of a new ion source for KSTAR neutral beam injection system.

    Science.gov (United States)

    Kim, Tae-Seong; Jeong, Seung Ho; Chang, Doo-Hee; Lee, Kwang Won; In, Sang-Ryul

    2014-02-01

    A new positive ion source for the Korea Superconducting Tokamak Advanced Research neutral beam injection (KSTAR NBI-1) system was designed, fabricated, and assembled in 2011. The characteristics of the arc discharge and beam extraction were investigated using hydrogen and helium gas to find the optimum operating parameters of the arc power, filament voltage, gas pressure, extracting voltage, accelerating voltage, and decelerating voltage at the neutral beam test stand at the Korea Atomic Energy Research Institute in 2012. Based on the optimum operating condition, the new ion source was then conditioned, and performance tests were primarily finished. The accelerator system with enlarged apertures can extract a maximum 65 A ion beam with a beam energy of 100 keV. The arc efficiency and optimum beam perveance, at which the beam divergence is at a minimum, are estimated to be 1.0 A/kW and 2.5 uP, respectively. The beam extraction tests show that the design goal of delivering a 2 MW deuterium neutral beam into the KSTAR Tokamak plasma is achievable.

  17. About possibilities of obtaining focused beams of thermal neutrons of radionuclide source

    International Nuclear Information System (INIS)

    Aripov, G.A.; Kurbanov, B.I.; Sulaymanov, N.T.; Ergashev, A.

    2004-01-01

    Full text: In the last years significant progress is achieved in development of neutron focusing methods (concentrating neutrons in a given direction and a small area). In this, main attention is given to focusing of neutron beams of reactor, particularly cold neutrons and their applications. [1,2]. However, isotope sources also let obtain intensive neutron beams and solve quite important (tasks) problems (e.g. neutron capture therapy for malignant tumors) [3], and an actual problems is focusing of neutrons. We developed a device on the basis of californium source of neutrons, allowing to obtain focused (preliminarily) beam of thermal neutrons with the aid of respective choice of moderators, reflectors and geometry of their disposition. Here, fast neutrons and gamma rays in the beam are minimized. With the aid of the model we developed on the basis of Monte-Carlo method, it is possible to modify aforementioned device and dynamics of output neutrons in wide energy range and analyze ways of optimization of neutron beams of isotope sources with different neutron outputs. Device of preliminary focusing of thermal neutrons can serve as a basis for further focus of neutrons using micro- and nano-capillar systems. It is known that, capillary systems performed with certain technology can form beam of thermal neutrons increasing its density by more than two orders of magnitude and effectively divert beams up to 20 o with length of system 15 cm

  18. About possibilities of obtaining focused beams of thermal neutrons of radionuclide source

    International Nuclear Information System (INIS)

    Aripov, G.A.; Kurbanov, B.I.; Sulaymanov, N.T.; Ergashev, A.

    2004-01-01

    In the last years significant progress is achieved in development of neutron focusing methods (concentrating neutrons in a given direction and a small area). In this, main attention is given to focusing of neutron beams of reactor, particularly cold neutrons and their applications. [1,2]. However, isotope sources also let obtain intensive neutron beams and solve quite important (tasks) problems (e.g. neutron capture therapy for malignant tumors) [3], and an actual problems is focusing of neutrons. We developed a device on the basis of californium source of neutrons, allowing to obtain focused (preliminarily) beam of thermal neutrons with the aid of respective choice of moderators, reflectors and geometry of their disposition. Here, fast neutrons and gamma rays in the beam are minimized. With the aid of the model we developed on the basis of Monte-Carlo method, it is possible to modify aforementioned device and dynamics of output neutrons in wide energy range and analyze ways of optimization of neutron beams of isotope sources with different neutron outputs. Device of preliminary focusing of thermal neutrons can serve as a basis for further focus of neutrons using micro- and nano-capillary systems. It is known that, capillary systems performed with certain technology can form beam of thermal neutrons increasing its density by more than two orders of magnitude and effectively divert beams up to 20 o with length of system 15 cm. (author)

  19. Advanced Electron Beam Ion Sources (EBIS) for 2-nd generation carbon radiotherapy facilities

    CERN Document Server

    Shornikov, A.

    2016-01-01

    In this work we analyze how advanced Electron Beam Ion Sources (EBIS) can facilitate the progress of carbon therapy facilities. We will demonstrate that advanced ion sources enable operation of 2-nd generation ion beam therapy (IBT) accelerators. These new accelerator concepts with designs dedicated to IBT provide beams better suited for therapy and, are more cost efficient than contemporary IBT facilities. We will give a sort overview of the existing new IBT concepts and focus on those where ion source technology is the limiting factor. We will analyse whether this limitation can be overcome in the near future thanks to ongoing EBIS development.

  20. Topography induced by sputtering in a magnetic sector instrument: an AFM and SEM study

    International Nuclear Information System (INIS)

    Iacob, E.; Bersani, M.; Lui, A.; Giubertoni, D.; Barozzi, M.; Anderle, M.

    2004-01-01

    Due to the sensitivity, the good depth resolution and the great interest in ultra shallow profile, secondary ion mass spectrometry (SIMS) is one of the prime techniques used in the semiconductor industry. Low impact energy beams are required to profile shallow distributions. Since Cs + beam sputtering can cause morphological artifacts as well as O 2 + beam does, a detailed study is required to understand development and limiting analytical conditions. In this work we analyzed the effect of low energy Cs + primary beam incident at 68 deg. and 78 deg. on different silicon samples. By using atomic force microscopy (AFM) and scanning electron microscopy (SEM) we underline their reliability and correlate the morphological effects to the SIMS analytical parameters and samples characteristics

  1. Production of low axial energy spread ion beams with multicusp sources

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Yung -Hee Y. [Univ. of California, Berkeley, CA (United States)

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution.

  2. Production of low axial energy spread ion beams with multicusp sources

    International Nuclear Information System (INIS)

    Lee, Y.H.Y.

    1998-05-01

    Multicusp ion sources are capable of producing ions with low axial energy spread which are necessary in applications such as: ion projection lithography (IPL) and focused ion beams for the next generation lithographic tools and nuclear science experiments such as radioactive ion beam production. The axial ion energy spread for multicusp source is approximately 6 eV which is too large for IPL and radioactive ion beam applications. The addition of a magnetic filter which consists of a pair of permanent magnets to the multicusp source reduces the energy spread considerably. The reduction is due to the improvement in the uniformity of the axial plasma potential distribution in the discharge region. Axial ion energy spread of the filament driven ion source has been measured using three different techniques. In all cases, it was found to be less than 2 eV. Energy spread of the radio frequency (RF) driven source has also been explored, and it was found to be less than 3 eV with the proper RF-shielding. A new multicusp source configuration has been designed and constructed to further reduce the energy spread. To achieve a more uniform axial plasma potential distribution, a cylindrical magnetic filter has been designed and constructed for a 2-cm-diameter source. This new source configuration, the co-axial source, is new in its kind. The energy spread in this source has been measured to be a record low of 0.6 eV. Because of the novelty of this device, some plasma parameters inside the source have been studied. Langmuir probe has been used to measure the plasma potential, the electron temperature and the density distribution

  3. Reconstruction of Sound Source Pressures in an Enclosure Using the Phased Beam Tracing Method

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho; Ih, Jeong-Guon

    2009-01-01

    . First, surfaces of an extended source are divided into reasonably small segments. From each source segment, one beam is projected into the field and all emitted beams are traced. Radiated beams from the source reach array sensors after traveling various paths including the wall reflections. Collecting...... all the pressure histories at the field points, source-observer relations can be constructed in a matrix-vector form for each frequency. By multiplying the measured field data with the pseudo-inverse of the calculated transfer function, one obtains the distribution of source pressure. An omni......-directional sphere and a cubic source in a rectangular enclosure were taken as examples in the simulation tests. A reconstruction error was investigated by Monte Carlo simulation in terms of field point locations. When the source information was reconstructed by the present method, it was shown that the sound power...

  4. Recent developments of target and ion sources to produce ISOL beams

    CERN Document Server

    Stora, Thierry

    2013-01-01

    In this review on target and ion sources for ISOL (Isotope Separation OnLine) beams, important develop- ments from the past five years are highlighted. While at precedent EMIS conferences, a particular focus was given to a single topics, for instance specifically on ion sources or on chemical purification tech- niques, here each of the important elements present in an ISOL production unit is discussed. Fast diffus- ing nanomaterials, uranium-based targets, high power targets for next generation facilities, purification by selective adsorption, new ion sources are all part of this review. For each of these selected topics, the reported results lead to significant gains in intensity, purity, or quality of the delivered beam, or in the production of new isotope beams. Often the outcome resulted from the combination of original ideas with state-of-the-art investigations; this was carried out using very different scientific disciplines, lead- ing to understanding of the underlying chemical or physical mechanisms a...

  5. Beam-based model of broad-band impedance of the Diamond Light Source

    Science.gov (United States)

    Smaluk, Victor; Martin, Ian; Fielder, Richard; Bartolini, Riccardo

    2015-06-01

    In an electron storage ring, the interaction between a single-bunch beam and a vacuum chamber impedance affects the beam parameters, which can be measured rather precisely. So we can develop beam-based numerical models of longitudinal and transverse impedances. At the Diamond Light Source (DLS) to get the model parameters, a set of measured data has been used including current-dependent shift of betatron tunes and synchronous phase, chromatic damping rates, and bunch lengthening. A matlab code for multiparticle tracking has been developed. The tracking results and analytical estimations are quite consistent with the measured data. Since Diamond has the shortest natural bunch length among all light sources in standard operation, the studies of collective effects with short bunches are relevant to many facilities including next generation of light sources.

  6. Beam-based model of broad-band impedance of the Diamond Light Source

    Directory of Open Access Journals (Sweden)

    Victor Smaluk

    2015-06-01

    Full Text Available In an electron storage ring, the interaction between a single-bunch beam and a vacuum chamber impedance affects the beam parameters, which can be measured rather precisely. So we can develop beam-based numerical models of longitudinal and transverse impedances. At the Diamond Light Source (DLS to get the model parameters, a set of measured data has been used including current-dependent shift of betatron tunes and synchronous phase, chromatic damping rates, and bunch lengthening. A matlab code for multiparticle tracking has been developed. The tracking results and analytical estimations are quite consistent with the measured data. Since Diamond has the shortest natural bunch length among all light sources in standard operation, the studies of collective effects with short bunches are relevant to many facilities including next generation of light sources.

  7. Semi-empirical formulas for sputtering yield

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi

    1994-01-01

    When charged particles, electrons, light and so on are irradiated on solid surfaces, the materials are lost from the surfaces, and this phenomenon is called sputtering. In order to understand sputtering phenomenon, the bond energy of atoms on surfaces, the energy given to the vicinity of surfaces and the process of converting the given energy to the energy for releasing atoms must be known. The theories of sputtering and the semi-empirical formulas for evaluating the dependence of sputtering yield on incident energy are explained. The mechanisms of sputtering are that due to collision cascade in the case of heavy ion incidence and that due to surface atom recoil in the case of light ion incidence. The formulas for the sputtering yield of low energy heavy ion sputtering, high energy light ion sputtering and the general case between these extreme cases, and the Matsunami formula are shown. At the stage of the publication of Atomic Data and Nuclear Data Tables in 1984, the data up to 1983 were collected, and about 30 papers published thereafter were added. The experimental data for low Z materials, for example Be, B and C and light ion sputtering data were reported. The combination of ions and target atoms in the collected sputtering data is shown. The new semi-empirical formula by slightly adjusting the Matsunami formula was decided. (K.I.)

  8. A new ion-beam laboratory for materials research at the Slovak University of Technology

    Science.gov (United States)

    Noga, Pavol; Dobrovodský, Jozef; Vaňa, Dušan; Beňo, Matúš; Závacká, Anna; Muška, Martin; Halgaš, Radoslav; Minárik, Stanislav; Riedlmajer, Róbert

    2017-10-01

    An ion beam laboratory (IBL) for materials research has been commissioned recently at the Slovak University of Technology within the University Science Park CAMBO located in Trnava. The facility will support research in the field of materials science, physical engineering and nanotechnology. Ion-beam materials modification (IBMM) as well as ion-beam analysis (IBA) are covered and deliverable ion energies are in the range from tens of keV up to tens of MeV. Two systems have been put into operation. First, a high current version of the HVEE 6 MV Tandetron electrostatic tandem accelerator with duoplasmatron and cesium sputtering ion sources, equipped with two end-stations: a high-energy ion implantation and IBA end-station which includes RBS, PIXE and ERDA analytical systems. Second, a 500 kV implanter equipped with a Bernas type ion source and two experimental wafer processing end-stations. The facility itself, operational experience and first IBMM and IBA experiments are presented together with near-future plans and ongoing development of the IBL.

  9. Beam heating requirements for a tokamak experimental power reactor

    International Nuclear Information System (INIS)

    Bertoncini, P.J.; Brooks, J.N.; Fasolo, J.A.; Stacey, W.M. Jr.

    1976-01-01

    Typical beam heating requirements for effective tokamak experimental power reactor (TEPR) operation have been studied in connection with the Argonne preliminary conceptual TEPR design. For an ignition level plasma (approximately 100 MWt fusion power) for the nominal case envisioned, the neutral beam is only used to heat the plasma to ignition. This typically requires a beam power output of 40 MW at 180 keV for about 3 sec with a total energy of 114 MJ supplied to the plasma. The beam requirements for an ignition device are not very sensitive to changes in wall-sputtered impurity levels or plasma resistivity. For a plasma that must be driven due to poor confinement, the beam must remain on for most of the burn cycle. For representative cases, beam powers of approximately 23 MW are required for a total on-time of 20 to 50 sec. Reqirements on power level, beam energy, on-time, and beam-generation efficiency all represent considerable advances over present technology. For the Argonne TEPR design, a total of 16 to 32 beam injectors is envisioned. For a 40-MW, 180-keV, one-component beam, each injector supplies about 7 to 14 A of neutrals to the plasma. For positive ion sources, about 50 to 100 A of ions are required per injector and some form of particle and/or energy recycling appears to be essential in order to meet the power and efficiency requirements

  10. Status of the SNS H- ion source and low-energy beam transport system

    International Nuclear Information System (INIS)

    Keller, R.; Thomae, R.; Stockli, M.; Welton, R.

    2002-01-01

    The ion source and Low-Energy Transport (LEBT) system that will provide H - ion beams to the Spallation Neutron Source (SNS) Front End and the accelerator chain have been developed into a mature unit that will satisfy the operational needs through the commissioning and early operating phases of SNS. The ion source was derived from the SSC ion source, and many of its original features have been improved to achieve reliable operation at 6% duty factor, producing beam currents in the 35-mA range and above. The LEBT utilizes purely electrostatic focusing and includes static beam-steering elements and a pre-chopper. This paper will discuss the latest design features of the ion source and LEBT, give performance data for the integrated system, and report on relevant commissioning results obtained with the SNS RFQ accelerator. Perspectives for further improvements will be outlined in concluding remarks

  11. Beam diagnostic tools for the negative hydrogen ion source test facility ELISE

    International Nuclear Information System (INIS)

    Nocentini, Riccardo; Fantz, Ursel; Franzen, Peter; Froeschle, Markus; Heinemann, Bernd; Riedl, Rudolf; Ruf, Benjamin; Wuenderlich, Dirk

    2013-01-01

    Highlights: ► We present an overview of beam diagnostic tools foreseen for the new testbed ELISE. ► A sophisticated diagnostic calorimeter allows beam profile measurement. ► A tungsten wire mesh in the beam path provides a qualitative picture of the beam. ► Stripping losses and beam divergence are measured by H α Doppler shift spectroscopy. -- Abstract: The test facility ELISE, presently being commissioned at IPP, is a first step in the R and D roadmap for the RF driven ion source and extraction system of the ITER NBI system. The “half-size” ITER-like test facility includes a negative hydrogen ion source that can be operated for 1 h. ELISE is expected to extract an ion beam of 20 A at 60 kV for 10 s every 3 min, therefore delivering a total power of 1.2 MW. The extraction area has a geometry that closely reproduces the ITER design, with the same width and half the height, i.e. 1 m × 1 m. This paper presents an overview of beam diagnostic tools foreseen for ELISE. For the commissioning phase, a simple beam dump with basic diagnostic capabilities has been installed. In the second phase, the beam dump will be substituted by a more sophisticated diagnostic calorimeter to allow beam profile measurement. Additionally, a tungsten wire mesh will be introduced in the beam path to provide a qualitative picture of beam size and position. Stripping losses and beam divergence will be measured by means of H α Doppler shift spectroscopy. An absolute calibration is foreseen in order to measure beam intensity

  12. The statistics of sputtering

    International Nuclear Information System (INIS)

    Robinson, M.T.

    1993-01-01

    The MARLOWE program was used to study the statistics of sputtering on the example of 1- to 100-keV Au atoms normally incident on static (001) and (111) Au crystals. The yield of sputtered atoms was examined as a function of the impact point of the incident particles (''ions'') on the target surfaces. There were variations on two scales. The effects of the axial and planar channeling of the ions could be traced, the details depending on the orientation of the target and the energies of the ions. Locally, the sputtering yield was very sensitive to the impact point, small changes in position often producing large changes yield. Results indicate strongly that the sputtering yield is a random (''chaotic'') function of the impact point

  13. Ion sources in AMS

    International Nuclear Information System (INIS)

    Iyer, Indira S.

    1997-01-01

    Accelerator Mass Spectrometry (AMS) entails the sputtering of various samples in an ion source followed by high precision mass analysis of the sputtered ion species in a Tandem Electrostatic Accelerator. A brief account is given

  14. A combined thermal dissociation and electron impact ionization source for radioactive ion beam generation (abstract)a

    International Nuclear Information System (INIS)

    Alton, G.D.; Williams, C.

    1996-01-01

    The probability for simultaneously dissociating and efficiently ionizing the individual atomic constituents of molecular feed materials with conventional, hot-cathode, electron-impact ion sources is low and consequently, the ion beams from these sources often appear as mixtures of several molecular sideband beams. This fragmentation process leads to dilution of the intensity of the species of interest for radioactive ion beam (RIB) applications where beam intensity is at a premium. We have conceived an ion source that combines the excellent molecular dissociation properties of a thermal dissociator and the high ionization efficiency characteristics of an electron impact ionization source that will, in principle, overcome this handicap. The source concept will be evaluated as a potential candidate for use for RIB generation at the Holifield Radioactive Ion Beam Facility, now under construction at the Oak Ridge National Laboratory. The design features and principles of operation of the source are described in this article. copyright 1996 American Institute of Physics

  15. Rotating dust ring in an RF discharge coupled with a dc-magnetron sputter source. Experiment and simulation

    International Nuclear Information System (INIS)

    Matyash, K; Froehlich, M; Kersten, H; Thieme, G; Schneider, R; Hannemann, M; Hippler, R

    2004-01-01

    During an experiment involving coating of dust grains trapped in an RF discharge using a sputtering dc-magnetron source, a rotating dust ring was observed and investigated. After the magnetron was switched on, the dust cloud levitating above the RF electrode formed a ring rotating as a rigid body. Langmuir probe diagnostics were used for the measurement of plasma density and potential. It was discovered that the coupling of the dc-magnetron source to the RF discharge causes steep radial gradients in electron density and plasma potential. The rotation of the dust ring is attributed to the azimuthal component of the ion drag force, which appears due to the azimuthal drift of the ions caused by crossed radial electric and axial magnetic fields. In order to get more insight into the mechanism of dust ring rotation, a Particle-in-Cell simulation of a rotating dust cloud was performed. The results of the experiment and simulation are presented and discussed

  16. Rotating dust ring in an RF discharge coupled with a dc-magnetron sputter source. Experiment and simulation

    Energy Technology Data Exchange (ETDEWEB)

    Matyash, K [Institut fuer Niedertemperaturplasmaphysik Greifswald, Fr.-L.-Jahn-Strasse 19, 17489 Greifswald (Germany); Froehlich, M [Institut fuer Physik, Ernst-Moritz-Arndt-Universitaet Greifswald, Domstrasse 10a, 17487 Greifswald (Germany); Kersten, H [Institut fuer Niedertemperaturplasmaphysik Greifswald, Fr.-L.-Jahn-Strasse 19, 17489 Greifswald (Germany); Thieme, G [Institut fuer Physik, Ernst-Moritz-Arndt-Universitaet Greifswald, Domstrasse 10a, 17487 Greifswald (Germany); Schneider, R [Max-Planck-Institut fuer Plasmaphysik, Teilinstitut Greifswald, Wendelsteinstrasse 1, 17489 Greifswald (Germany); Hannemann, M [Institut fuer Niedertemperaturplasmaphysik Greifswald, Fr.-L.-Jahn-Strasse 19, 17489 Greifswald (Germany); Hippler, R [Institut fuer Physik, Ernst-Moritz-Arndt-Universitaet Greifswald, Domstrasse 10a, 17487 Greifswald (Germany)

    2004-10-07

    During an experiment involving coating of dust grains trapped in an RF discharge using a sputtering dc-magnetron source, a rotating dust ring was observed and investigated. After the magnetron was switched on, the dust cloud levitating above the RF electrode formed a ring rotating as a rigid body. Langmuir probe diagnostics were used for the measurement of plasma density and potential. It was discovered that the coupling of the dc-magnetron source to the RF discharge causes steep radial gradients in electron density and plasma potential. The rotation of the dust ring is attributed to the azimuthal component of the ion drag force, which appears due to the azimuthal drift of the ions caused by crossed radial electric and axial magnetic fields. In order to get more insight into the mechanism of dust ring rotation, a Particle-in-Cell simulation of a rotating dust cloud was performed. The results of the experiment and simulation are presented and discussed.

  17. Post-acceleration of sup 7 Be at the Louvain-la-Neuve radioactive ion beam facility

    CERN Document Server

    Gaelens, M; Loiselet, M; Ryckewaert, G

    2003-01-01

    The development of an intense and pure post-accelerated sup 7 Be beam at Louvain-la-Neuve will be discussed. Given its properties (metallic nature, long half-life (53 days)) and the special beam parameters required (multi-charge ions, high purity), a range of special techniques had to be investigated. At Louvain-la-Neuve, sup 7 Be is produced by irradiating a lithium target with 30 mu A of 27 MeV protons and is extracted using offline chemical separation techniques. Because of the large amounts of activity required, the chemistry has to be adapted for use in hotcells. The ionization is performed with an Electron Cyclotron Resonance ion source with the sup 7 Be injected in the source by means of sputtering. Special techniques have to be used to prevent the beryllium atoms from being lost on the plasma chamber walls. A dedicated heated plasma chamber for the ion source was developed. The ionization efficiency was increased by studying the chemistry involved in the ion source. The atoms are ionized to the 1+ or ...

  18. Formation and stability of sputtered clusters

    International Nuclear Information System (INIS)

    Andersen, H.H.

    1989-01-01

    Current theory for the formation of sputtered clusters states that either atoms are sputtered individually and aggregate after having left the surface or they are sputtered as complete clusters. There is no totally sharp boundary between the two interpretations, but experimental evidence is mainly thought to favour the latter model. Both theories demand a criterion for the stability of the clusters. In computer simulations of sputtering, the idea has been to use the same interaction potential as in the lattice computations to judge the stability. More qualitatively, simple geometrical shapes have also been looked for. It is found here, that evidence for 'magic numbers' and electron parity effects in clusters have existed in the sputtering literature for a long time, making more sophisticated stability criteria necessary. The breakdown of originally sputtered metastable clusters into stable clusters gives strong support to the 'sputtered as clusters' hypothesis. (author)

  19. Tabulated square-shaped source model for linear accelerator electron beam simulation.

    Science.gov (United States)

    Khaledi, Navid; Aghamiri, Mahmood Reza; Aslian, Hossein; Ameri, Ahmad

    2017-01-01

    Using this source model, the Monte Carlo (MC) computation becomes much faster for electron beams. The aim of this study was to present a source model that makes linear accelerator (LINAC) electron beam geometry simulation less complex. In this study, a tabulated square-shaped source with transversal and axial distribution biasing and semi-Gaussian spectrum was investigated. A low energy photon spectrum was added to the semi-Gaussian beam to correct the bremsstrahlung X-ray contamination. After running the MC code multiple times and optimizing all spectrums for four electron energies in three different medical LINACs (Elekta, Siemens, and Varian), the characteristics of a beam passing through a 10 cm × 10 cm applicator were obtained. The percentage depth dose and dose profiles at two different depths were measured and simulated. The maximum difference between simulated and measured percentage of depth doses and dose profiles was 1.8% and 4%, respectively. The low energy electron and photon spectrum and the Gaussian spectrum peak energy and associated full width at half of maximum and transversal distribution weightings were obtained for each electron beam. The proposed method yielded a maximum computation time 702 times faster than a complete head simulation. Our study demonstrates that there was an excellent agreement between the results of our proposed model and measured data; furthermore, an optimum calculation speed was achieved because there was no need to define geometry and materials in the LINAC head.

  20. An electron cyclotron resonance ion source based low energy ion beam platform

    International Nuclear Information System (INIS)

    Sun, L. T.; Shang, Y.; Ma, B. H.; Zhang, X. Z.; Feng, Y. C.; Li, X. X.; Wang, H.; Guo, X. H.; Song, M. T.; Zhao, H. Y.; Zhang, Z. M.; Zhao, H. W.; Xie, D. Z.

    2008-01-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed

  1. An electron cyclotron resonance ion source based low energy ion beam platform.

    Science.gov (United States)

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  2. Beam experiments with the Grenoble test electron cyclotron resonance ion source at iThemba LABS

    Energy Technology Data Exchange (ETDEWEB)

    Thomae, R., E-mail: rthomae@tlabs.ac.za; Conradie, J.; Fourie, D.; Mira, J.; Nemulodi, F. [iThemba LABS, P.O. Box 722, Somerset West 7130 (South Africa); Kuechler, D.; Toivanen, V. [CERN, BE/ABP/HSL, 1211 Geneva 23 (Switzerland)

    2016-02-15

    At iThemba Laboratory for Accelerator Based Sciences (iThemba LABS) an electron cyclotron ion source was installed and commissioned. This source is a copy of the Grenoble Test Source (GTS) for the production of highly charged ions. The source is similar to the GTS-LHC at CERN and named GTS2. A collaboration between the Accelerators and Beam Physics Group of CERN and the Accelerator and Engineering Department of iThemba LABS was proposed in which the development of high intensity argon and xenon beams is envisaged. In this paper, we present beam experiments with the GTS2 at iThemba LABS, in which the results of continuous wave and afterglow operation of xenon ion beams with oxygen as supporting gases are presented.

  3. Modified source of a fast neutral atom beam with a controlled energy

    International Nuclear Information System (INIS)

    Gostev, V.A.; Elakhovskij, D.V.; Khakhaev, A.D.

    1980-01-01

    A source of a metastable helium atom beam with a controlled energy based on a phenomenon of resonant ion neutralization on the surface of a solid body is described. The neutral particle energy control is carried out by changing ion velocities before their transformation into metastable atoms. The results of experiments with a modified construction of atomic beam source are stated. These experiments were conducted to find the possibilities to control velocities of atoms in a flow as well as to elucidate the peculiarities of operation of a collimator-converter of this construction. Dependences of a halfwidth of the ion velocity distribution function on the ion source parameters have been investigated. The possibility for particle energy control in a collimated flow of fast neutral. atoms has been experimentally shown, it is also shown that a mean value of atom energy in a beam coincides with a value of mean energy of ions from which atoms are produced by the resonant neutralization method; the construction of the source provides the possibility to realize the method of ''overtaking beams'' for neutral atoms and as a result of this to give a possibility for studying atom-atom collisions in a wide energy range at relatively high densities of flows

  4. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  5. Stress evolution during and after sputter deposition of thin Cu Al alloy films

    Science.gov (United States)

    Pletea, M.; Wendrock, H.; Kaltofen, R.; Schmidt, O. G.; Koch, R.

    2008-06-01

    The stress evolution during and after sputter deposition of thin Cu-Al alloy films containing 1 and 2 at.% Al onto oxidized Si(100) substrates has been studied up to thicknesses of 300 nm by means of in situ substrate curvature measurements. In order to correlate stress and morphology, the microstructure was investigated by focused ion beam microscopy, scanning electron microscopy, and atomic force microscopy. The evolution of the stress and microstructure of the Cu-Al alloy films is similar to that for sputtered pure Cu films. Film growth proceeds in the Volmer-Weber mode, typical for high mobility metals. It is characterized by nucleation, island, percolation, and channel stages before the films become continuous, as well as lateral grain growth in the compact films. With increasing Al content the overall atom mobility and, thus, the average grain size of the alloy films are reduced. Increase of the sputter pressure from 0.5 to 2 Pa leads to films with larger grain size, rougher surface morphology and higher electrical resistivity.

  6. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  7. An intense lithium ion beam source using vacuum baking and discharge cleaning techniques

    International Nuclear Information System (INIS)

    Moschella, J.J.; Kusse, B.R.; Longfellow, J.P.; Olson, J.C.

    1991-01-01

    We have developed a high-purity, intense, lithium ion beam source which operates at 500 kV and 120 A/cm 2 with pulse widths of 125 ns full width half maximum. The beams were generated using a lithium chloride anode in planar magnetically insulated geometry. We have found that the combination of vacuum baking of the anode at 250 degree C followed by the application of 100 W of pure argon, steady-state, glow discharge cleaning reduced the impurity concentration in the beam to approximately 10% (components other than chlorine or lithium were considered impurities). Although the impurities were low, the concentration of chlorine in the 1+ and 2+ charge states was significant (∼25%). The remaining 65% of the beam consisted of Li + ions. Without the special cleaning process, over half the beam particles were impurities. It was determined that these impurities entered the beam at the anode surface but came originally from material in the vacuum chamber. After the cleaning process, recontamination was observed to occur in approximately 6 min. This long recontamination time, which was much greater than the expected monolayer formation time, was attributed to the elevated temperature of the anode. We also compared the electrical characteristics of the beams produced by LiCl anodes to those generated by a standard polyethylene proton source. In contrast to the polyethylene anode, the LiCl source exhibited a higher impedance, produced beams of lower ion current efficiency and had longer turn on times

  8. Protection and fault detection for Lawrence Berkeley Laboratory neutral beam sources

    International Nuclear Information System (INIS)

    Hopkins, D.B.; Baker, W.R.; Berkner, K.H.; Ehlers, K.W.; Honey, V.J.; Lietzke, A.F.; Milnes, K.A.; Owren, H.M.

    1979-11-01

    Testing of TFTR neutral beam (NB) sources has begun at the LBL Neutral Beam System Test Facility (NBSTF). Operation at 120 kV, 65 A, 0.5 sec should be achieved soon. Because NB sources spark down frequently during conditioning, the main accelerating (accel) power supply must be interrupted within a few microseconds to avoid degrading the voltage holding capability, or even the damaging, of the NB source. A variety of improper magnitudes and/or ratios of voltages, currents, and times can occur and must be recognized as fault conditions in order to initiate a prompt interruption of the accel power supply. This paper discusses in detail the key signals which must be monitored and the manner in which they are processed in fault detector circuitry for safe operation of LBL NB sources. The paper also reviews the more standard interlocks and protective features recommended for these sources

  9. Beam emittance and output waveforms of high-flux laser ion source

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, M.; Asahina, M.; Horioka, K. [Tokyo Inst. of Technology, Dept. of Energy Sciences, Yokohama, Kanagawa (Japan); Yoshida, M.; Hasegawa, J.; Ogawa, M. [Tokyo Inst. of Technology, Research Laboratory for Nuclear Reactors, Tokyo (Japan)

    2002-06-01

    A laser ion source with short drift distance has been developed for a driver of heavy ion fusion (HIF). It supplies a copper ion beam of 200 mA (255 mA/cm{sup 2}) with duration of 400 ns and beam emittance is about 0.8{pi} mm{center_dot}mrad. Moreover it has fast rising (30 ns), flat-top current waveform and a potential to deliver pure charge states between 1{sup +} - 3{sup +}. Experimental results indicate that the laser ion source is a good candidate for the HIF driver. (author)

  10. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  11. Laser ion source with solenoid for Brookhaven National Laboratory-electron beam ion source.

    Science.gov (United States)

    Kondo, K; Yamamoto, T; Sekine, M; Okamura, M

    2012-02-01

    The electron beam ion source (EBIS) preinjector at Brookhaven National Laboratory (BNL) is a new heavy ion-preinjector for relativistic heavy ion collider (RHIC) and NASA Space Radiation Laboratory (NSRL). Laser ion source (LIS) is a primary ion source provider for the BNL-EBIS. LIS with solenoid at the plasma drift section can realize the low peak current (∼100 μA) with high charge (∼10 nC) which is the BNL-EBIS requirement. The gap between two solenoids does not cause serious plasma current decay, which helps us to make up the BNL-EBIS beamline.

  12. Laser ion source with solenoid for Brookhaven National Laboratory-electron beam ion source

    International Nuclear Information System (INIS)

    Kondo, K.; Okamura, M.; Yamamoto, T.; Sekine, M.

    2012-01-01

    The electron beam ion source (EBIS) preinjector at Brookhaven National Laboratory (BNL) is a new heavy ion-preinjector for relativistic heavy ion collider (RHIC) and NASA Space Radiation Laboratory (NSRL). Laser ion source (LIS) is a primary ion source provider for the BNL-EBIS. LIS with solenoid at the plasma drift section can realize the low peak current (∼100 μA) with high charge (∼10 nC) which is the BNL-EBIS requirement. The gap between two solenoids does not cause serious plasma current decay, which helps us to make up the BNL-EBIS beamline.

  13. Structuring of silicon with low energy focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    The defect production in silicon induced by focused ion beam irradiation as a function of energy and projectile mass has been investigated and compared to the measured sputter yield. The aim was to find optimal beam parameters for the structuring of semiconductors with a minimum amount of defects produced per removed atom. (author) 2 figs., 2 refs.

  14. Transport theory of sputtering I: Depth of origin of sputtered atoms

    International Nuclear Information System (INIS)

    Zhang, Z.L.

    1999-01-01

    Sputter theory employing a sum of two power cross sections has been implemented. Compared with the well known Lindhard power cross section (V∝r -1/m ), a sum of two such cross sections can give a much better approximation to the Born-Mayer scattering in the low energy region (m ∼ 0.1). By using both one and two power cross sections, we have solved the linear transport equations describing the sputtering problem asymptotically. As usual, electronic stopping is ignored in the analysis. It has further been proved that Falcone's theory of the atom ejection process contradicts transport theory. The Andersen-Sigmund relation for partial sputtering yield ratios between two elements in an arbitrary multicomponent target has been derived by both methods. The energy deposited in the target surface layers has been computed for a few typical ion-target combinations. The numerical curves show that both theories generate almost the same results (error <10%) for m=3D0.2. It is also shown that, if the sputtering yield equals the corresponding one in Sigmund's theory, the depth of origin of sputtered atoms must be shorter than in Sigmund's theory for 0.25 m ≥ 3D 0. The former even may be only about one half of the latter as long as m=3D0. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  15. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  16. A high-efficiency positive (negative) surface ionization source for radioactive ion beam (abstract)a

    International Nuclear Information System (INIS)

    Alton, G.D.; Mills, G.D.

    1996-01-01

    A versatile, new concept, spherical-geometry, positive (negative) surface-ionization source has been designed and fabricated which will have the capability of generating both positive- and negative-ion beams without mechanical changes to the source. The source utilizes a highly permeable, high-work-function Ir ionizer (φ≡5.29 eV) for ionizing highly electropositive atoms/molecules; while for negative-surface ionization, the work function is lowered to φ≡1.43 eV by continually feeding cesium vapor through the ionizer matrix. The use of this technique for negative ion beam generation has the potential of overcoming the chronic poisoning effects experienced with LaB 6 while enhancing considerably the efficiency for negative surface ionization of atoms and molecules with intermediate electron affinities. The flexibility of operation in either mode makes it especially attractive for radioactive ion beam applications and, therefore, the source will be used as a complementary replacement for the high-temperature electron impact ionization sources presently in use at the Holifield radioactive beam facility. The design features and operational principles of the source will be described in this report. copyright 1996 American Institute of Physics

  17. The status of the Electron Beam Ion Sources

    Energy Technology Data Exchange (ETDEWEB)

    Stockli, M.P.

    1990-01-01

    More than twenty years after its invention, 13 examples of the Electron Beam Ion Sources (EBIS) are in operation worldwide. The substantial progress in operation and insight, achieved over the last few years, made the EBISes become reliable tools for the production of beams of very highly charged, low-energy ions. For example, 8 EBISes produce bare argon on a standard basis. The successful production of hydrogen-like xenon presents the ions with the highest ionization energy, whereas the production of Th80+ presents the highest achieved charge state. Several synchrotrons are fed by EBIS injectors, taking advantage of the EBIS batch mode production, which yields the highest charge states. A few EBISes are used for ion source development. However, most of the EBISes' efforts are directed to research the physics of highly charged ions. Some of those are used to study the electron--ion interaction inside the source. But normally, most EBISes deliver the ions for external experiments, which so far concentrate on the recombination of the highly charged ions with atoms, molecules and surfaces. The ions are typically produced at a potential of 1 to a few kilovolts per charge; but in most cases, the EBIS is mounted on a high voltage platform or is followed by an RFQ, and therefore can generate ion energies from a few hundred volts up to a few hundred kilovolts per charge. The delivered beams have a low emittance and a low energy spread, which is an advantage for high-resolution experiments. This paper presents briefly all operational EBISes, their capabilities, their achievements, and their contribution to physics research. 5 figs., 1 tab., 59 refs.

  18. The status of the Electron Beam Ion Sources

    International Nuclear Information System (INIS)

    Stockli, M.P.

    1990-01-01

    More than twenty years after its invention, 13 examples of the Electron Beam Ion Sources (EBIS) are in operation worldwide. The substantial progress in operation and insight, achieved over the last few years, made the EBISes become reliable tools for the production of beams of very highly charged, low-energy ions. For example, 8 EBISes produce bare argon on a standard basis. The successful production of hydrogen-like xenon presents the ions with the highest ionization energy, whereas the production of Th80+ presents the highest achieved charge state. Several synchrotrons are fed by EBIS injectors, taking advantage of the EBIS batch mode production, which yields the highest charge states. A few EBISes are used for ion source development. However, most of the EBISes' efforts are directed to research the physics of highly charged ions. Some of those are used to study the electron--ion interaction inside the source. But normally, most EBISes deliver the ions for external experiments, which so far concentrate on the recombination of the highly charged ions with atoms, molecules and surfaces. The ions are typically produced at a potential of 1 to a few kilovolts per charge; but in most cases, the EBIS is mounted on a high voltage platform or is followed by an RFQ, and therefore can generate ion energies from a few hundred volts up to a few hundred kilovolts per charge. The delivered beams have a low emittance and a low energy spread, which is an advantage for high-resolution experiments. This paper presents briefly all operational EBISes, their capabilities, their achievements, and their contribution to physics research. 5 figs., 1 tab., 59 refs

  19. The status of the Electron Beam Ion Sources

    Energy Technology Data Exchange (ETDEWEB)

    Stockli, M.P.

    1990-12-31

    More than twenty years after its invention, 13 examples of the Electron Beam Ion Sources (EBIS) are in operation worldwide. The substantial progress in operation and insight, achieved over the last few years, made the EBISes become reliable tools for the production of beams of very highly charged, low-energy ions. For example, 8 EBISes produce bare argon on a standard basis. The successful production of hydrogen-like xenon presents the ions with the highest ionization energy, whereas the production of Th80+ presents the highest achieved charge state. Several synchrotrons are fed by EBIS injectors, taking advantage of the EBIS batch mode production, which yields the highest charge states. A few EBISes are used for ion source development. However, most of the EBISes` efforts are directed to research the physics of highly charged ions. Some of those are used to study the electron--ion interaction inside the source. But normally, most EBISes deliver the ions for external experiments, which so far concentrate on the recombination of the highly charged ions with atoms, molecules and surfaces. The ions are typically produced at a potential of 1 to a few kilovolts per charge; but in most cases, the EBIS is mounted on a high voltage platform or is followed by an RFQ, and therefore can generate ion energies from a few hundred volts up to a few hundred kilovolts per charge. The delivered beams have a low emittance and a low energy spread, which is an advantage for high-resolution experiments. This paper presents briefly all operational EBISes, their capabilities, their achievements, and their contribution to physics research. 5 figs., 1 tab., 59 refs.

  20. Beam position monitor data acquisition for the Advanced Photon Source

    International Nuclear Information System (INIS)

    Lenkszus, F.R.; Kahana, E.; Votaw, A.J.; Decker, G.A.; Chung, Y.; Ciarlette, D.J.; Laird, R.J.

    1993-01-01

    This paper describes the Beam Position Monitor (BPM) data acquisition scheme for the Advanced Photon Source (APS) storage ring. The storage ring contains 360 beam position monitors distributed around its 1104-meter circumference. The beam position monitor data acquisition system is capable of making turn-by-turn measurements of all BPMs simultaneously. It is VXI-based with each VXI crate containing the electronics for 9 BPMS. The VXI Local Bus is used to provide sustained data transfer rates of up to 13 mega-transfers per second to a scanner module. The system provides single-bunch tracking, bunch-to-bunch measurements, fast digital-averaged positions, beam position history buffering, and synchronized multi-turn measurements. Data is accessible to the control system VME crates via an MXI bus. Dedicated high-speed ports are provided to supply position data to beam orbit feedback systems

  1. Copper deposition on fabrics by rf plasma sputtering for medical applications

    International Nuclear Information System (INIS)

    Segura, G; Guzmán, P; Barrantes, Y; Navarro, G; Asenjo, J; Guadamuz, S; Vargas, VI; Zuñiga, P; Chaves, S; Chaves, J

    2015-01-01

    The present work is about preparation and characterization of RF sputtered Cu films on cotton by the usage of a Magnetron Sputter Source and 99.995% purity Cu target at room temperature. Cotton fabric samples of 1, 2 and 4 min of sputtering time at discharge pressure of 1×10 −2 Torr and distance between target and sample of 8 cm were used. The main goal was to qualitatively test the antimicrobial action of copper on fabrics. For that purpose, a reference strain of Escherichia Coli ATCC 35218 that were grown in TSA plates was implemented. Results indicated a decrease in the growth of bacteria by contact with Cu; for fabric samples with longer sputtering presented lower development of E. coli colonies. The scope of this research focused on using these new textiles in health field, for example socks can be made with this textile for the treatment of athlete's foot and the use in pajamas, sheets, pillow covers and robes in hospital setting for reducing the spread of microorganisms. (paper)

  2. Development of a new foil compounded from carbon nanotubes and sputter-deposition carbon

    International Nuclear Information System (INIS)

    Hiroo Hasebe; Hironori Kuboki; Hiroki Okuno; Isao Yamane; Hiroshi Imao; Nobuhisa Fukunishi; Masayuki Kase; Osamu Kamigaito

    2014-01-01

    New carbon-nanotube-sputter-deposition-carbon (CNT-SDC) foils were developed and used in the U beam time at the RIKEN RI Beam Factory (RIBF) from October to December 2011. The lifetimes of these new foils were drastically extended, and stable, high-intensity U beams were successfully provided to users. The lifetime of the CNT-SDC foils was 2-5 C, which was 100 times longer than those of static C-foils previously used. The qualitative analysis of the CNT-SDC foils clearly showed that the CNT structure and bundles were broken by beam irradiation. In addition, it was found that CNT bundles in the CNT-SDC foil were grown after the carbon deposition procedure. This structure was considered to be the reason that the CNT-SDC foils maintain advantages of both CNT and SDC foils. (author)

  3. The Stark effect of 1H and 4He+ in the beam foil source

    International Nuclear Information System (INIS)

    Doobov, M.H.; Hay, H.J.; Sofield, C.J.; Newton, C.S.

    1974-01-01

    The appearance of Stark patterns obtained with a beam-foil source differed from those characteristically obtained from gas discharge sources. In the former source excitation of the hydrogenic ions occurred in a brief time interval ( 14 s) during the passage of a high velocity unidirectional beam of ions which produces non-statistical population distributions for the Stark perturbed states. The relative intensities of Stark perturbed components of the Hsub(β) hydrogen line and the Fsub(α) ionized helium line have been measured in a beam-foil source. In each case an initial population of states of principal quantum number n = 4 due to radiative decay and Stark mixing, and comparing the resultant patterns with the observed patterns. The inferred population distributions indicate that the states of low orbital angular momentum (L) are preferentially populated, and alignment referred to the beam axis is produced such that states with lower z component of L are preferentially populated. (author)

  4. Development of a dc, broad beam, Mevva ion source

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; MacGill, R.A.

    1991-09-01

    We are developing an embodiment of metal vapor vacuum arc (Mevva) ion source which will operate dc and have very large area beam. In preliminary testing, a dc titanium ion beam was formed with a current of approximately 0.6 amperes at an extraction voltage of 9kV (about 18 keV ion energy, by virtue of the ion charge state distribution) and using an 18 cm diameter set of multi-aperture. Separately, we have tested and formed beam from a 50 cm diameter (2000 cm 2 ) set of grids using a pulsed plasma gun. This configuration appears to be very efficient in terms of plasma utilization, and we have formed beams with diameter 33 cm (FWHM) and ion current up to 7 amperes at an extraction voltage of 50 kV (about 100 keV mean ion energy) and up to 20 amperes peak at the current overshoot part of the beam pulse. Here we describe this Part Of our Mevva development program and summarize the results obtained to-date

  5. Analytical description of photon beam phase spaces in inverse Compton scattering sources

    Directory of Open Access Journals (Sweden)

    C. Curatolo

    2017-08-01

    Full Text Available We revisit the description of inverse Compton scattering sources and the photon beams generated therein, emphasizing the behavior of their phase space density distributions and how they depend upon those of the two colliding beams of electrons and photons. The main objective is to provide practical formulas for bandwidth, spectral density, brilliance, which are valid in general for any value of the recoil factor, i.e. both in the Thomson regime of negligible electron recoil, and in the deep Compton recoil dominated region, which is of interest for gamma-gamma colliders and Compton sources for the production of multi-GeV photon beams. We adopt a description based on the center of mass reference system of the electron-photon collision, in order to underline the role of the electron recoil and how it controls the relativistic Doppler/boost effect in various regimes. Using the center of mass reference frame greatly simplifies the treatment, allowing us to derive simple formulas expressed in terms of rms momenta of the two colliding beams (emittance, energy spread, etc. and the collimation angle in the laboratory system. Comparisons with Monte Carlo simulations of inverse Compton scattering in various scenarios are presented, showing very good agreement with the analytical formulas: in particular we find that the bandwidth dependence on the electron beam emittance, of paramount importance in Thomson regime, as it limits the amount of focusing imparted to the electron beam, becomes much less sensitive in deep Compton regime, allowing a stronger focusing of the electron beam to enhance luminosity without loss of mono-chromaticity. A similar effect occurs concerning the bandwidth dependence on the frequency spread of the incident photons: in deep recoil regime the bandwidth comes out to be much less dependent on the frequency spread. The set of formulas here derived are very helpful in designing inverse Compton sources in diverse regimes, giving a

  6. Raman spectroscopy of sputtered metal-graphene and metal-oxide-graphene interfaces

    Science.gov (United States)

    Chen, Ching-Tzu; Gajek, Marcin; Freitag, Marcus; Kuroda, Marcelo; Perebeinos, Vasili; Raoux, Simone

    2012-02-01

    In this talk, we report our recent development in sputtering deposition of magnetic and non-magnetic metal and metal-oxide thin films on graphene for applications in spintronics and nanoeleoctronics. TEM and SEM images demonstrate homogeneous coverage, uniform thickness, and good crystallinity of the sputtered films. Raman spectroscopy shows that the structure of the underlying graphene is well preserved, and the spectral weight of the defect D mode is comparable to that of the e-beam evaporated samples. Most significantly, we report the first observation of graphene-enhanced surface excitations of crystalline materials. Specifically, we discover two pronounced dispersive Raman modes at the interface of graphene and the nickel-oxide and cobalt-oxide films which we attribute to the strong light absorption and high-order resonant scattering process in the graphene layer. We will present the frequency-dependent, polarization-dependent Raman data of these two modes and discuss their microscopic origin.

  7. Cherenkov Radiation from a Pseudospark-sourced Electron Beam

    International Nuclear Information System (INIS)

    Phelps, A.D.R.; Yin, H.; Cross, A.W.; He, W.; Ronald, K.

    2003-01-01

    Electron beam generation from a multi-gap pseudospark discharge was investigated. A pseudospark-sourced electron beam has two phases, an initial hollow cathode phase (HCP) beam followed by a conductive phase (CP) beam. The beam brightness was measured by a field-free collimator to be 109 and 1011 Am-2rad-2 for the hollow cathode phase (HCP) beam and the conductive phase (CP) beam respectively. The initial HCP beam from an eight-gap pseudospark discharge was applied in a Cherenkov interaction between the electron beam and the TM01 mode of a 60-cm long alumina-lined waveguide. It was found experimentally that significant microwave radiation was generated only when the dielectric was present in the interaction space. If there was no dielectric in the cylindrical waveguide, then a very small background microwave output was detected even when the guide B-field was absent. This demonstrated, in conjunction with the observation that the microwave output signal was independent of the guide magnetic field over the range 0.13 to 0.26 T, that the radiation from the experiment was due to the Cherenkov interaction mechanism. In addition, two components of the microwave pulse were observed corresponding to the two energy components of the electron beam during the pseudospark discharge breakdown. These results demonstrated that the microwave radiation was generated by Cherenkov amplification of the broadband emission from the pseudospark discharge itself. A background signal level of around 100 W was measured in the frequency range 20 - 50 GHz with a percentage of (2.7 ± 0.6)% in the frequency range 25.5 - 28.6 GHz, when the dielectric lining was removed from the maser. The frequency of the microwave output after the Cherenkov maser interaction was measured to be mainly around 25.5 GHz and the dominating mode was identified as being TM01. The duration of the microwave pulse was approximately 80 ns, with a peak power of around 2 ± 0.2 kW. The gain of this amplifier was measured

  8. The diagnostic neutral beam injector with arc-discharge plasma source on the TCV Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Karpushov, Alexander N. [Ecole Polytechnique Federale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confederation Suisse, CH-1015 Lausanne (Switzerland)], E-mail: alexander.karpushov@epfl.ch; Andrebe, Yanis; Duval, Basil P.; Bortolon, Alessandro [Ecole Polytechnique Federale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confederation Suisse, CH-1015 Lausanne (Switzerland)

    2009-06-15

    The diagnostic neutral beam injector (DNBI) together with a charge exchange recombination spectroscopy (CXRS) system has been used on the TCV Tokamak as a diagnostic tool for local measurements of plasma ion temperature, velocity and carbon impurity density based on analysis of the beam induced impurity radiation emission since 2000. To improve the performance of the CXRS diagnostic, several upgrades of both the optical system and the neutral beam were performed. An increase of the plasma source size together with beam optimization in 2003 resulted in a twofold increase the beam current. The RF plasma generator was replaced by an arc-discharge plasma source together with a new ion optical system (IOS) in 2006 and subsequent beam optimization is presented herein. This was designed to increase the line brightness of the beam in the CXRS observation region without increasing of the injected power (to avoid plasma perturbation by the beam). The beam characteristics are measured by a multi-chord scanning of Doppler-shifted H{sub {alpha}} emission, thermal measurements on a movable calorimeter and visible optical measurements inside the Tokamak vessel.

  9. Technical use of compact micro-onde devices

    International Nuclear Information System (INIS)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Sudraud, P.; Salord, O.; Homri, S.

    2012-01-01

    Due to the very small size of a COMIC (Compact MIcrowave and Coaxial) device [P. Sortais, T. Lamy, J. Medard, J. Angot, L. Latrasse, and T. Thuillier, Rev. Sci. Instrum. 81, 02B31 (2010)] it is possible to install such plasma or ion source inside very different technical environments. New applications of such a device are presented, mainly for industrial applications. We have now designed ion sources for highly focused ion beam devices, ion beam machining ion guns, or thin film deposition machines. We will mainly present new capabilities opened by the use of a multi-beam system for thin film deposition based on sputtering by medium energy ion beams. With the new concept of multi-beam sputtering (MBS), it is possible to open new possibilities concerning the ion beam sputtering (IBS) technology, especially for large size deposition of high uniformity thin films. By the use of multi-spots of evaporation, each one corresponding to an independent tuning of an individual COMIC ion source, it will be very easy to co-evaporate different components.

  10. Technical use of compact micro-onde devices

    Energy Technology Data Exchange (ETDEWEB)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J. [Laboratoire de Physique Subatomique et de Cosmologie de Grenoble - UJF-CNRS/IN2P3 - INPG, 53, rue des Martyrs, 38026 Grenoble Cedex (France); Sudraud, P.; Salord, O.; Homri, S. [Orsay Physics S.A., 95 avenue des Monts Aureliens, F-13710 Fuveau (France)

    2012-02-15

    Due to the very small size of a COMIC (Compact MIcrowave and Coaxial) device [P. Sortais, T. Lamy, J. Medard, J. Angot, L. Latrasse, and T. Thuillier, Rev. Sci. Instrum. 81, 02B31 (2010)] it is possible to install such plasma or ion source inside very different technical environments. New applications of such a device are presented, mainly for industrial applications. We have now designed ion sources for highly focused ion beam devices, ion beam machining ion guns, or thin film deposition machines. We will mainly present new capabilities opened by the use of a multi-beam system for thin film deposition based on sputtering by medium energy ion beams. With the new concept of multi-beam sputtering (MBS), it is possible to open new possibilities concerning the ion beam sputtering (IBS) technology, especially for large size deposition of high uniformity thin films. By the use of multi-spots of evaporation, each one corresponding to an independent tuning of an individual COMIC ion source, it will be very easy to co-evaporate different components.

  11. A ns-pulsed high-current electron beam source

    International Nuclear Information System (INIS)

    Guan, Gexin; Li, Youzhi; Pan, Yuli

    1988-01-01

    The behaviour of a pulse electron beam source which is composed of a gun and pulse system depends on not only the time characteristics of the gun and the pulser, but also their combination. This point become apparent if effects of the electron tansit-time between electrodes are studied. A ferrite transmission line (FTL) pulser is used as a grid driver in this source. It has advantages of providing fast risetime, large peak power output and good loading characteristics. It is these advantages of the pulser that compensates the absence of some technological conditions of manufacturing gun and makes the source better. Our testing showed that the cooperation of both the gun and the pulser produced peak currents in the range of 1 to 9 amps with widths of 2 to 2.5 ns (FWHM) at cathode-to-anode potential of 60 to 82 kv, while the grid drives are about in the range of 1 to 3 kv. In addition, the results of the testing instructed that effects of electron transit-time cannot be ignored when the pulses with widths of several nanoseconds are used as a grid drive. Based on the results, electron transit-time effects on the design of the gun and the beam performances are briefly descussed in this paper. (author)

  12. Measurement of the force on microparticles in a beam of energetic ions and neutral atoms

    International Nuclear Information System (INIS)

    Trottenberg, Thomas; Schneider, Viktor; Kersten, Holger

    2010-01-01

    The force on microparticles in an energetic ion beam is investigated experimentally. Hollow glass microspheres are injected into the vertically upward directed beam and their trajectories are recorded with a charge-coupled device camera. The net force on the particles is determined by means of the measured vertical acceleration. The resulting beam pressures are compared with Faraday cup measurements of the ion current density and calorimetric measurements of the beam power density. Due to the neutral gas background, the beam consists, besides the ions, of energetic neutral atoms produced by charge-exchange collisions. It is found that the measured composition of the drag force by an ion and a neutral atom component agrees with a beam model that takes charge-exchange collisions into account. Special attention is paid to the momentum contribution from sputtered atoms, which is shown to be negligible in this experiment, but should become measurable in case of materials with high sputtering yields.

  13. Application of the Ta liner technique to produce Ca beams at INFN-Legnaro National Laboratories (INFN-LNL)

    Energy Technology Data Exchange (ETDEWEB)

    Galatà, A., E-mail: alessio.galata@lnl.infn.it; Sattin, M.; Manzolaro, M.; Martini, D.; Facco, A. [INFN-Legnaro National Laboratories, Legnaro (Pd) (Italy); Tinschert, K.; Spaedtke, P.; Lang, R. [GSI Helmholtzzentrum für Schwerionenforschung GmbH, Darmstadt (Germany); Kulevoy, T. [Institute for Theoretical and Experimental Physics, Moscow (Russian Federation)

    2014-02-15

    The ECR ion sources are able to produce a wide variety of highly charged metallic ion beams thanks to the development of different techniques (ovens, sputtering, direct insertion, metal ions from volatile compounds (MIVOC)). In the case of the ovens, the sticking of the hot vapors on the surface of the plasma chamber leads to high material consumption rates. For elements like Ca, a tantalum liner inserted inside the chamber can be used to limit this phenomenon. The modeling of temperature distribution inside the chamber with and without the liner was carried out with COMSOL-multiphysics code. Results of simulation and the comparison with experiments performed at INFN-Legnaro National Laboratories with Ca beams are discussed.

  14. High-power sputtering employed for film deposition

    International Nuclear Information System (INIS)

    Shapovalov, V I

    2017-01-01

    The features of high-power magnetron sputtering employed for the films’ deposition are reviewed. The main physical phenomena accompanying high-power sputtering including ion-electron emission, gas rarefaction, ionization of sputtered atoms, self-sputtering, ion sound waves and the impact of the target heating are described. (paper)

  15. The proposed INEL intense slow positron source, beam line, and positron microscope facility

    International Nuclear Information System (INIS)

    Makowitz, H.; Denison, A.B.; Brown, B.

    1993-01-01

    A program is currently underway at the Idaho National Engineering Laboratory (INEL) to design and construct an Intense Slow Positron Beam Facility with an associated Positron Microscope. Positron beams have been shown to be valuable research tools and have potential application in industrial processing and nondestructive evaluation (microelectronics, etc.). The limit of resolution or overall usefulness of the technique has been limited because of lack of sufficient intensity. The goal of the INEL positron beam is ≥ 10 12 slow e+/s over a 0.03 cm diameter which represents a 10 3 to 10 4 advancement in beam current over existing beam facilities. The INEL is an ideal site for such a facility because of the nuclear reactors capable of producing intense positron sources and the personnel and facilities capable of handling high levels of radioactivity. A design using 58 Co with moderators and remoderators in conjunction with electrostatic positron beam optics has been reached after numerous computer code studies. Proof-of-principle electron tests have demonstrated the feasibility of the large area source focusing optics. The positron microscope development is occurring in conjunction with the University of Michigan positron microscope group. Such a Beam Facility and associated Intense Slow Positron Source (ISPS) can also be utilized for the generation and study of positron, and positron electron plasmas at ≤ 10 14 particles/cm 3 with plasma temperatures ranging from an eV to many keV, as well as an intense x-ray source via positron channeling radiation. The possibility of a tunable x-ray laser based on channeling positron radiation also exists. In this discussion the authors will present a progress report on various activities associated with the INEL ISPS

  16. Spin pumping in ion-beam sputtered C o2FeAl /Mo bilayers: Interfacial Gilbert damping

    Science.gov (United States)

    Husain, Sajid; Kumar, Ankit; Barwal, Vineet; Behera, Nilamani; Akansel, Serkan; Svedlindh, Peter; Chaudhary, Sujeet

    2018-02-01

    The spin-pumping mechanism and associated interfacial Gilbert damping are demonstrated in ion-beam sputtered C o2FeAl (CFA)/Mo bilayer thin films employing ferromagnetic resonance spectroscopy. The dependence of the net spin-current transportation on Mo layer thickness, 0 to 10 nm, and the enhancement of the net effective Gilbert damping are reported. The experimental data have been analyzed using spin-pumping theory in terms of spin current pumped through the ferromagnet/nonmagnetic metal interface to deduce the real spin-mixing conductance and the spin-diffusion length, which are estimated to be 1.56 (±0.30 ) ×1019m-2 and 2.61 (±0.15 )nm , respectively. The damping constant is found to be 8.8 (±0.2 ) ×10-3 in the Mo(3.5 nm)-capped CFA(8 nm) sample corresponding to an ˜69 % enhancement of the original Gilbert damping 5.2 (±0.6 ) ×10-3 in the Al-capped CFA thin film. This is further confirmed by inserting the Cu dusting layer which reduces the spin transport across the CFA/Mo interface. The Mo layer thickness-dependent net spin-current density is found to lie in the range of 1 -4 MA m-2 , which also provides additional quantitative evidence of spin pumping in this bilayer thin-film system.

  17. Optimal Neutron Source and Beam Shaping Assembly for Boron Neutron Capture Therapy

    International Nuclear Information System (INIS)

    Vujic, J.; Greenspan, E.; Kastenber, W.E.; Karni, Y.; Regev, D.; Verbeke, J.M.; Leung, K.N.; Chivers, D.; Guess, S.; Kim, L.; Waldron, W.; Zhu, Y.

    2003-01-01

    There were three objectives to this project: (1) The development of the 2-D Swan code for the optimization of the nuclear design of facilities for medical applications of radiation, radiation shields, blankets of accelerator-driven systems, fusion facilities, etc. (2) Identification of the maximum beam quality that can be obtained for Boron Neutron Capture Therapy (BNCT) from different reactor-, and accelerator-based neutron sources. The optimal beam-shaping assembly (BSA) design for each neutron source was also to e obtained. (3) Feasibility assessment of a new neutron source for NCT and other medical and industrial applications. This source consists of a state-of-the-art proton or deuteron accelerator driving and inherently safe, proliferation resistant, small subcritical fission assembly

  18. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  19. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  20. Sensitivity of ion-induced sputtering to the radial distribution of energy transfers: A molecular dynamics study

    International Nuclear Information System (INIS)

    Mookerjee, S.; Khan, S. A.; Roy, A.; Beuve, M.; Toulemonde, M.

    2008-01-01

    Using different models for the deposition of energy on the lattice and a classical molecular dynamics approach to the subsequent transport, we evaluate how the details of the energy deposition model influence sputtering yield from a Lennard-Jones target irradiated with a MeV/u ion beam. Two energy deposition models are considered: a uniform, instantaneous deposition into a cylinder of fixed radius around the projectile ion track, used in earlier molecular dynamics and fluid dynamics simulations of sputtering yields; and an energy deposition distributed in time and space based on the formalism developed in the thermal spike model. The dependence of the sputtering yield on the total energy deposited on the target atoms is very sensitive to the energy deposition model. To clarify the origin of this strong dependence, we explore the role of the radial expansion of the electronic system prior to the transfer of its energy to the lattice. The results imply that observables such as the sputtering yield may be used as signatures of the fast electron-lattice energy transfer in the electronic energy-loss regime, and indicate the need for more experimental and theoretical investigations of these processes

  1. Saturable reactor-controlled power supply system for TCT/TFTR neutral beam sources

    International Nuclear Information System (INIS)

    Baker, W.R.; Hopkins, D.B.; Dexter, W.L.; Kuenning, R.W.; Smith, B.J.

    1975-11-01

    Each neutral beam source requires one major power supply, the acceleration supply, and four auxiliary power supplies. The power supplies are designed to permit independent interruption of current to any source and crowbarring within 20 μsec, in the event of a source spark, while not disturbing the normal pulsing of all other adjacent sources. The sources are described

  2. Full data consistency conditions for cone-beam projections with sources on a plane

    International Nuclear Information System (INIS)

    Clackdoyle, Rolf; Desbat, Laurent

    2013-01-01

    Cone-beam consistency conditions (also known as range conditions) are mathematical relationships between different cone-beam projections, and they therefore describe the redundancy or overlap of information between projections. These redundancies have often been exploited for applications in image reconstruction. In this work we describe new consistency conditions for cone-beam projections whose source positions lie on a plane. A further restriction is that the target object must not intersect this plane. The conditions require that moments of the cone-beam projections be polynomial functions of the source positions, with some additional constraints on the coefficients of the polynomials. A precise description of the consistency conditions is that the four parameters of the cone-beam projections (two for the detector, two for the source position) can be expressed with just three variables, using a certain formulation involving homogeneous polynomials. The main contribution of this work is our demonstration that these conditions are not only necessary, but also sufficient. Thus the consistency conditions completely characterize all redundancies, so no other independent conditions are possible and in this sense the conditions are full. The idea of the proof is to use the known consistency conditions for 3D parallel projections, and to then apply a 1996 theorem of Edholm and Danielsson that links parallel to cone-beam projections. The consistency conditions are illustrated with a simulation example. (paper)

  3. BEAM-LOSS DRIVEN DESIGN OPTIMIZATION FOR THE SPALLATION NEUTRON SOURCE (SNS) RING.

    Energy Technology Data Exchange (ETDEWEB)

    WEI,J.; BEEBE-WANG,J.; BLASKIEWICZ,M.; CAMERON,P.; DANBY,G.; GARDNER,C.J.; JACKSON,J.; LEE,Y.Y.; LUDEWIG,H.; MALITSKY,N.; RAPARIA,D.; TSOUPAS,N.; WENG,W.T.; ZHANG,S.Y.

    1999-03-29

    This paper summarizes three-stage design optimization for the Spallation Neutron Source (SNS) ring: linear machine design (lattice, aperture, injection, magnet field errors and misalignment), beam core manipulation (painting, space charge, instabilities, RF requirements), and beam halo consideration (collimation, envelope variation, e-p issues etc.).

  4. Beam-Loss Driven Design Optimization for the Spallation Neutron Source (SNS) Ring

    International Nuclear Information System (INIS)

    Wei, J.

    1999-01-01

    This paper summarizes three-state design optimization for the Spallation Neutron Source (SNS) ring: linear machine design (lattice, aperture, injection, magnet field errors and misalignment), beam core manipulation (painting, space charge, instabilities, RF requirements), and beam halo consideration (collimation, envelope variation, e-p issues etc.)

  5. Laser fluorescence spectroscopy of sputtered uranium atoms

    International Nuclear Information System (INIS)

    Wright, R.B.; Pellin, M.J.; Gruen, D.M.; Young, C.E.

    1979-01-01

    Laser induced fluorescence (LIF) spectroscopy was used to study the sputtering of 99.8% 238 U metal foil when bombarded by normally incident 500 to 3000 eV Ne + , Ar + , Kr + , and O 2 + . A three-level atom model of the LIF processes is developed to interpret the observed fluorescent emission from the sputtered species. The model shows that close attention must be paid to the conditions under which the experiment is carried out as well as to the details of the collision cascade theory of sputtering. Rigorous analysis shows that when properly applied, LIF can be used to investigate the predictions of sputtering theory as regards energy distributions of sputtered particles and for the determination of sputtering yields. The possibility that thermal emission may occur during sputtering can also be tested using the proposed model. It is shown that the velocity distribution (either the number density or flux density distribution, depending upon the experimental conditions) of the sputtered particles can be determined using the LIF technique and that this information can be used to obtain a description of the basic sputtering mechanisms. These matters are discussed using the U-atom fluorescence measurements as a basis. The relative sputtering yields for various incident ions on uranium were also measured for the first time using the LIF technique. A surprisingly high fraction of the sputtered uranium atoms were found to occupy the low lying metastable energy levels of U(I). The population of the sputtered metastable atoms were found approximately to obey a Boltzman distribution with an effective temperature of 920 +- 100 0 K. 41 references

  6. Development of the ion source for PDX neutral beam injection

    International Nuclear Information System (INIS)

    Menon, M.M.; Tsai, C.C.; Gardner, W.L.; Barber, G.C.; Haselton, H.H.; Ponte, N.S.; Ryan, P.M.; Schechter, D.E.; Stirling, W.L.; Whealton, J.H.

    1979-01-01

    The paper describes the development of the ion source for neutral beam injection heating of PDX plasma. After a brief description of the plasma generator, the performance characteristics of the source, with different types of grids, are described. Based on test stand results it is concluded that at least two different versions of the source should be able to meet and even exceed the neutral power and energy requirements expected out of PDX injectors

  7. DISSOLVED OXYGEN REDUCTION IN THE DIII-D NEUTRAL BEAM ION SOURCE COOLING SYSTEM

    International Nuclear Information System (INIS)

    YIP, H.; BUSATH, J.; HARRISON, S.

    2004-03-01

    OAK-B135 Neutral beam ion sources (NBIS) are critical components for the neutral beam injection system supporting the DIII-D tokamak. The NBIS must be cooled with 3028 (ell)/m (800 gpm) of de-ionized and de-oxygenated water to protect the sources from overheating and failure. These ions sources are currently irreplaceable. Since the water cooled molybdenum components will oxidize in water almost instantaneously in the presence of dissolved oxygen (DO), de-oxygenation is extremely important in the NBIS water system. Under normal beam operation the DO level is kept below 5 ppb. However, during weeknights and weekends when neutral beam is not in operation, the average DO level is maintained below 10 ppb by periodic circulation with a 74.6 kW (100 hp) pump, which consumes significant power. Experimental data indicated evidence of continuous oxygen diffusion through non-metallic hoses in the proximity of the NBIS. Because of the intermittent flow of the cooling water, the DO concentration at the ion source(s) could be even higher than measured downstream, and hence the concern of significant localized oxidation/corrosion. A new 3.73 kW (5 hp) auxiliary system, installed in the summer of 2003, is designed to significantly reduce the peak and the time-average DO levels in the water system and to consume only a fraction of the power

  8. Nuclear fusion ion beam source composed of optimum channel wall

    International Nuclear Information System (INIS)

    Furukaw, T.

    2007-01-01

    Full text of publication follows: Numerical and experimental researches of the hall-type beam accelerator was conducted by highlighting both neutral species and material of acceleration channel wall. The hall-type beam accelerator is expected as ion beam source for nuclear fusion since it could product ion beam density over 10 3 times as high as that of electrostatic accelerator, which is used regularly as beam heating device, because it is proven that the beam heating method could accelerate ion to high energy beam by electric field and heat plasma to ultra high temperature of 100 million degrees or more. At high-voltage mode of DC regime that is normal operational condition, however, the various plasma MHD (magneto-hydrodynamic) instabilities are generated. In particular, the large-amplitude and low-frequency plasma MHD instability in the tens of kHz among them has been a serious problem that should be solved to improve the operational stability and the system durability. So, we propose a hall-type beam accelerator with new design concepts; both acquisition of simultaneous solution for reducing the plasma MHD instability and the accelerator core overheating and optimum combination of the acceleration channel wall material. The technologies for this concept are as follows: 1) To increase neutral species velocity-inlet in acceleration channel by preheating propellant through circularly propellant conduit line inside accelerator system could bring about the lower amplitude of the instability. 2) Through this method, the accelerator system is cooled, and the higher thrust and specific-impulse is produced with hardly changing thrust efficiency at the same time. 3) To select BN (Boron- Nitride) and Al 2 O 3 as wall material of ionization- and acceleration-zone in acceleration channel respectively having different secondary-electron emission-coefficient could achieve the higher-efficiency and -durability. The hall-type beam accelerator designed using these technologies

  9. Molecular beam (1-50eV) production by duoplasmatron source

    International Nuclear Information System (INIS)

    Delmas, M.; Gautherin, G.; Lejeune, C.

    1974-01-01

    The duoplasmatron discharge is commonly used to produce intense ion beams. A theoretical model of this discharge has been previously developed. The analysis of charge exchange processes between ions and neutral within the discharge shows that the source is able to deliver intense neutral beams (10 16 part s -1 ), the energy being in the range 1-50 eV. The intensity and energy distribution may be controlled from the discharge parameter variations. An experimental device has been realized in order to separate the neutral beam and the flux of charged particles; these latter are injected axially in a toroidal magnetic field configuration [fr

  10. A Study on the Ion Beam Extraction using Duo-PiGatron Ion source for Vertical Type Ion Beam Facility

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Bom Sok; Lee, Chan young; Lee, Jae Sang [KAERI, Daejeon (Korea, Republic of)

    2015-05-15

    In Korea Multipurpose Accelerator Complex (KOMAC), we have started ion beam service in the new beam utilization building since March this year. For various ion beam irradiation services, we are developed implanters such as metal (150keV/1mA), gaseous (200keV/5mA) and high current ion beam facility (20keV/150mA). One of the new one is a vertical type ion beam facility without acceleration tube (60keV/20mA) which is easy to install the sample. After the installation is complete, it is where you are studying the optimal ion beam extraction process. Detailed experimental results will be presented. Vertical Type Ion Beam Facility without acceleration tube of 60keV 20mA class was installed. We successfully extracted 60keV 20mA using Duo- PiGatron Ion source for Vertical Type Ion Beam Facility. Use the BPM and Faraday-cup, is being studied the optimum conditions of ion beam extraction.

  11. Beams of mass-selected clusters: realization and first experiments

    International Nuclear Information System (INIS)

    Kamalou, O.

    2007-04-01

    The main objective of this work concerns the production of beams of mass-selected clusters of metallic and semiconductor materials. Clusters are produced in magnetron sputtering source combined with a gas aggregation chamber, cooled by liquid nitrogen circulation. Downstream of the cluster source, a Wiley-McLaren time-of-flight setup allows to select a given cluster size or a narrow size range. The pulsed mass-selected cluster ion beam is separated from the continuous neutral one by an electrostatic 90-quadrupole deflector. After the deflector, the density of the pulsed beam amounts to about 10 3 particles/cm 3 . Preliminary deposition experiments of mass-selected copper clusters with a deposition energy of about 0.5 eV/atom have ben performed on highly oriented pyrolytic graphite (HOPG) substrates, indicating that copper clusters are evidently mobile on the HOPG-surface until they reach cleavage steps, dislocation lines or other surface defects. In order to lower the cluster mobility on the HOPG-surface, we have first irradiated HOPG samples with slow highly charged ions (high dose) in order to create superficial defects. In a second step we have deposited mass-selected copper clusters on these pre-irradiated samples. The first analysis by AFM (Atomic Force Microscopy) techniques showed that the copper clusters are trapped on the defects produced by the highly charged ions. (author)

  12. Computer simulation of sputtering of graphite target in magnetron sputtering device with two zones of erosion

    Directory of Open Access Journals (Sweden)

    Bogdanov R.V.

    2015-03-01

    Full Text Available A computer simulation program for discharge in a magnetron sputtering device with two erosion zones was developed. Basic laws of the graphite target sputtering process and transport of sputtered material to the substrate were taken into account in the Monte Carlo code. The results of computer simulation for radial distributions of density and energy flux of carbon atoms on the substrate (at different values of discharge current and pressure of the working gas confirmed the possibility of obtaining qualitative homogeneous films using this magnetron sputtering device. Also the discharge modes were determined for this magnetron sputtering device, in which it was possible to obtain such energy and density of carbon atoms fluxes, which were suitable for deposition of carbon films containing carbon nanotubes and other nanoparticles.

  13. Sputtering of water ice

    DEFF Research Database (Denmark)

    Baragiola, R.A.; Vidal, R.A.; Svendsen, W.

    2003-01-01

    We present results of a range of experiments of sputtering of water ice together with a guide to the literature. We studied how sputtering depends on the projectile energy and fluence, ice growth temperature, irradiation temperature and external electric fields. We observed luminescence from...

  14. Surface engineering with ion beams: from self-organized nanostructures to ultra-smooth surfaces

    International Nuclear Information System (INIS)

    Frost, F.; Ziberi, B.; Schindler, A.; Rauschenbach, B.

    2008-01-01

    Low-energy ion-beam sputtering, i.e. the removal of atoms from a surface due to the impact of energetic ions or atoms, is an inherent part of numerous surface processing techniques. Besides the actual removal of material, this surface erosion process often results in a pronounced alteration of the surface topography. Under certain conditions, sputtering results in the formation of well-ordered patterns. This self-organized pattern formation is related to a surface instability between curvature-dependent sputtering that roughens the surface and smoothing by different surface relaxation mechanisms. If the evolution of surface topography is dominated by relaxation mechanisms, surface smoothing can occur. In this presentation the current status of self-organized pattern formation and surface smoothing by low-energy ion-beam erosion of Si and Ge is summarized. In detail it will be shown that a multitude of patterns as well as ultra-smooth surfaces can develop, particularly on Si surfaces. Additionally, the most important experimental parameters that control these processes are discussed. Finally, examples are given for the application of low-energy ion beams as a novel approach for passive optical device engineering for many advanced optical applications. (orig.)

  15. The beam based alignment technique for the measurements of beam position monitors offsets and beam offsets from quadrupoles in the Pohang Light Source

    International Nuclear Information System (INIS)

    Kim, K.H.; Huang, J.Y.; Ko, I.S.

    1999-01-01

    The beam based alignment (BBA) technique is applied to the 2-GeV storage ring of the Pohang Light Source to measure the offsets of beam position monitors. This measurement is particularly necessary for beam position monitors (BPMs) plugged into a long (∼10 m) aluminum chamber, since the mechanical deformation of the vacuum chamber is experienced after repeated heating for the outgassing process, and the BPM positions are changed accordingly. A part of the excitation current of each quadrupole magnet is shunted through an electronic shunt circuit. Then, the closed orbit receives a perturbation due to the current reduction. Using two quadrupole magnets, we can measure the offset of each BPM. Also, the BBA technique is applied to measure the beam offsets from the center of quadrupole magnets, and gives information to the survey team about which quadrupole magnets should be aligned mostly. In this process, we introduce the merit function to reduce various errors such as BPM characteristic changes and the lattice imperfection. By minimizing the merit function, we can get the beam offset as the maximized expectation value. This paper presents the BBA technique used and experimental results taken from the 2-GeV Pohang Light Source (PLS) storage ring. When the BPM offset is measured, it is observed that a 3% of the shunt current is suitable. (author)

  16. High-resolution spectral analysis of light from neutral beams and ion source plasmas

    International Nuclear Information System (INIS)

    McNeill, D.H.; Kim, J.

    1980-05-01

    The spectral distributions of Balmer alpha emission from 7- and 22-cm-diam neutral hydrogen beams have been measured with a Fabry-Perot interferometer to obtain information on the beam energy, divergence, and species composition. Results of these measurements are compared with other data on the beam properties to evaluate high-resolution spectroscopy as a beam diagnostic technique. Measurements on ion source plasmas and on beam-produced background plasmas yield average neutral atom energies of approximately 0.3 and 2.5 eV, respectively

  17. Beam property measurement of a 300-kV ion source test stand for a 1-MV electrostatic accelerator

    Science.gov (United States)

    Park, Sae-Hoon; Kim, Dae-Il; Kim, Yu-Seok

    2016-09-01

    The KOMAC (Korea Multi-purpose Accelerator Complex) has been developing a 300-kV ion source test stand for a 1-MV electrostatic accelerator for industrial purposes. A RF ion source was operated at 200 MHz with its matching circuit. The beam profile and emittance were measured behind an accelerating column to confirm the beam property from the RF ion source. The beam profile was measured at the end of the accelerating tube and at the beam dump by using a beam profile monitor (BPM) and wire scanner. An Allison-type emittance scanner was installed behind the beam profile monitor (BPM) to measure the beam density in phase space. The measurement results for the beam profile and emittance are presented in this paper.

  18. Dependence of proton beam polarization on ion source transition configurations; Determination de la polarisation du faisceau de protons pour les quatre configurations des transitions de la source d`ions

    Energy Technology Data Exchange (ETDEWEB)

    Arvieux, J.; Ausset, P.; Ball, J.; Beauvais, P.Y.; Bedfer, Y.; Chamouard, P.A.; Fontaine, J.M.; Kunne, R.; Lagniel, J.M.; Sans, J.L. [Laboratoire National Saturne - Centre d`Etudes Nucleaires de Saclay, 91 - Gif-sur-Yvette (France); Allgower, Ch.; Kasprzyk, T.E.; Spinka, H.M. [ANL-HEP, Argonne (United States); Bystricky, J.; Lehar, F.; Lesquen, A. de [CEA Centre d`Etudes de Saclay, 91 - Gif-sur-Yvette (France). Dept. d`Astrophysique, de la Physique des Particules, de la Physique Nucleaire et de l`Instrumentation Associee; Demiere, Ph.; Rapin, D.; Teglia, A. [Geneva Univ. (Switzerland). Dept. de Physique Nucleaire et Corpusculaire; Janout, Z. [Czech Technical Univ., Prague (Czech Republic). Faculty of Civil Engineering; Kalinnikov, V.A.; Khachaturov, B.A.; Popov, A.A. [Laboratory of Nuclear Problems, JINR, Moscow (Russian Federation); Prokofiev, A.N.; Vikhrov, V.V.; Zhdanov, A.A. [Nuclear Physics Inst., St. Petersburg (Russian Federation)

    1997-12-31

    Considerable anomalies were observed in recorded pp elastic scattering spin dependent data at several proton beam energies at SATURNE II. These results suggested that the discrepancies are related to the polarized ion source. In order to understand the observed effects, the proton beam polarizations for each ion source state were determined separately. Convenient procedures, allowing to determine the beam polarization from a beam-line polarimeter are presented. Two distinct experiments are necessary for this purpose. In the first one the LEFT-RIGHT instrumental asymmetry of the beam polarimeter arms is determined. In the second one this correction factor is applied to asymmetries measured with the beam from the polarized ion source in different polarization states. Both experiments determined the scattering asymmetries for all four polarized source states as functions of different source parameters. The measurements were carried out at the proton beam kinetic energy 0.80 GeV, where the pp elastic scattering analyzing power is at its maximum. Results show that the so called `unpolarized states` of the source are polarized, whereas the absolute values of the beam polarizations in `polarized states` are equal. It was observed that the hexapole lens of the ion source provides some beam polarization in the absence of any transition. The beam polarization as function of the hexapole current, of the transition efficiencies and of the rotation solenoid current has been studied. It is also shown, how one way obtain a strictly unpolarized beam using the polarized source only. The results obtained with the SATURNE II ion source HYPERION may be also valid for other accelerators and sources. (authors) 10 refs.

  19. Beam position feedback system for the advanced photon source

    International Nuclear Information System (INIS)

    Chung, Y.

    1994-01-01

    The Advanced Photon Source (APS) will implement both global and local beam position feedback systems to stabilize the particle and x-ray beams for the storage ring. The systems consist of 20 VME crates distributed around the ring, each running multiple digital signal processors (DSP) running at 4 kHz sampling rate with a proportional, integral, and derivative (PID) control algorithm. The particle and x-ray beam position data is shared by the distributed processors through networked reflective memory. A theory of closed orbit correction using the technique of singular value decomposition (SVD) of the response matrix and simulation of its application to the APS storage ring will be discussed. This technique combines the global and local feedback systems and resolves the conflict among multiple local feedback systems due to local bump closure error. Maximum correction efficiency is achieved by feeding back to the global orbit data to the local feedback systems. The effect of the eddy current induced in the relatively thick (1/2 in.) vacuum chamber by the ac corrector magnet field for local feedback systems is compensated by digital filters. Results of experiments conducted on the x-ray ring of the National Synchrotron Light Source and the SPEAR at Stanford Synchrotron Radiation Laboratory will also be presented

  20. Beam position feedback system for the advanced photon source

    International Nuclear Information System (INIS)

    Chung, Y.

    1994-01-01

    The Advanced Photon Source (APS) will implement both global and local beam position feedback systems to stabilize the particle and X-ray beams for the storage ring. The systems consist of 20 VME crates distributed around the ring, each running multiple digital signal processors (DSP) running at 4 kHz sampling rate with a proportional, integral, and derivative (PID) control algorithm. The particle and X-ray beam position data is shared by the distributed processors through networked reflective memory. A theory of closed orbit correction using the technique of singular value decomposition (SVD) of the response matrix and simulation of its application to the APS storage ring will be discussed. This technique combines the global and local feedback systems and resolves the conflict among multiple local feedback systems due to local bump closure error. Maximum correction efficiency is achieved by feeding back the global orbit data to the local feedback systems. The effect of the vacuum chamber eddy current induced by the AC corrector magnet field for local feedback systems is compensated by digital filters. Results of experiments conducted on the X-ray ring of the National Synchrotron Light Source and the SPEAR at Stanford Synchrotron Radiation Laboratory will be presented. copyright 1994 American Institute of Physics