WorldWideScience

Sample records for beam processing technology

  1. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  2. Status of electron beam processing technology in Malaysia

    International Nuclear Information System (INIS)

    Ghazali, Zulkafli; Dahlan, Khairul Zaman; Aiasah, S.H.; Khomsaton, A.B.; Ting, T.M.

    2003-01-01

    The electron beam processing in Malaysia starting in 1991 at MINT (Malaysian Institute for Nuclear Technology Research) has been focussed on medical product sterilization, curing of surface coating and polymer modifications. Subsequent installation of accelerators by private companies promoted the development of radiation processing technologies for the use of production of heat-shrinkable products, pilot-scale flue gas purification, as well as wires, cables, tubes and hydrogels. Decomposition of a wide range of volatile organic compounds from industrial exhausts (car painting lines, volatile dioxin and furan from municipal waste incinerators) and purification of liquid wastewater and drinking water are also being under R and D work. Malaysia will continue to play an active part in the program on radiation technology to strengthen environmentally sustainable development in line with FNCA objectives. (S. Ohno)

  3. FY 2000 report on the results of the research and development project for new industry creation type industrial science technologies. Cluster ion beam process technology; 2000 nendo shinki sangyo soshutsugata sangyo kagaku gijutsu kenkyu kaihatsu seido seika hokokusho. Cluster ion beam process technology

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of cluster ion beams. This technology generates the strong ion beams of atom and molecule clusters, and irradiate them onto the solid surfaces, to create new materials or treat materials. It allows the nano-level treatment. The program for high-current cluster ion beam generation/irradiation technology for industrial purposes attains the target high-current beam of 500{mu}m. It is necessary to establish the optimum cluster size, irradiated energy and ion species for the highly functional surface treatment, for which applicable technologies, e.g., those related to time of flight and molecular dynamics, are developed. Studies on high-current, large-area irradiation technologies are started. The program for material processing technologies involves evaluation of crystalline defects formed during the beam implantation by photoluminescence spectroscopy, and studies on semiconductor surface processing technologies. The surface smoothening technology is investigated to reduce crystalline defects and stress-induced strains for difficult-to-process materials, e.g., SiC and diamond, and the good results are produced. The program for development of superflat/superhard thin film formation technology involves irradiation of the Ar ion beams during the deposition of C{sub 60}(fullerene), to produce the superhard thin film. (NEDO)

  4. High energy beam manufacturing technologies

    International Nuclear Information System (INIS)

    Geskin, E.S.; Leu, M.C.

    1989-01-01

    Technological progress continues to enable us to utilize ever widening ranges of physical and chemical conditions for material processing. The increasing cost of energy, raw materials and environmental control make implementation of advanced technologies inevitable. One of the principal avenues in the development of material processing is the increase of the intensity, accuracy, flexibility and stability of energy flow to the processing site. The use of different forms of energy beams is an effective way to meet these sometimes incompatible requirements. The first important technological applications of high energy beams were welding and flame cutting. Subsequently a number of different kinds of beams have been used to solve different problems of part geometry control and improvement of surface characteristics. Properties and applications of different specific beams were subjects of a number of fundamental studies. It is important now to develop a generic theory of beam based manufacturing. The creation of a theory dealing with general principles of beam generation and beam-material interaction will enhance manufacturing science as well as practice. For example, such a theory will provide a format approach for selection and integration of different kinds of beams for a particular application. And obviously, this theory will enable us to integrate the knowledge bases of different manufacturing technologies. The War of the Worlds by H. G. Wells, as well as a number of more technical, although less exciting, publications demonstrate both the feasibility and effectiveness of the generic approach to the description of beam oriented technology. Without any attempt to compete with Wells, we still hope that this volume will contribute to the creation of the theory of beam oriented manufacturing

  5. Fiscal 2000 survey report on the survey of trends of quantum beam process technologies for development of high-speed large-capacity digital electronic information devices; 2000 nendo kosoku daiyoryo digital denshi joho device kaihatsu no tame no ryoshi beam process technology no doko chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The effort clarifies the tasks and problems of the next-generation WDM (wavelength division multiplexing) device, the tasks and problems of domestic information digital devices, and the characteristics, and matters wanting further development, of quantum beam technologies that are to contribute to the development of the said devices. In concrete terms, quantum process technologies involving the ultralow energy ion beam, gas cluster ion beam, electron beam, laser beam, radiation, and the like, are to be studied and developed as device processes, and the product of the effort will be utilized for accelerating the currently difficult development of the semiconductor laser diode, high-speed photoelectric conversion diode, optical circuit device, and the next-generation plastic liquid crystal display device. That is to say, process technologies for a high-speed undamaged compound semiconductor device, high-precision optical circuit device, and a totally plastic liquid crystal display device will be established, and verified as valid. Furthermore, novel digital devices will be developed. In this research and development work, manufacturing process technologies will also be established, which as practical technologies will clear the rigorous goals that the industry demand for process stability, process yield, process amount, and the like. (NEDO)

  6. Electron beam processing of combustion flue gases

    International Nuclear Information System (INIS)

    1987-07-01

    This report contains the papers presented at the consultants' meeting on electron beam processing of combustion flue gases. The meeting provided an excellent opportunity for exchanging information and reviewing the current status of technology development. Characteristics of the electron beam processing recognized by the meeting are: capability of simultaneous removals of SO 2 and NO x , safe technology and simplicity of control, dry process without waste water to be treated, cost benefit of electron beam processing compared with conventional technology and the conversion of SO 2 and NO x to a by-product that can be used as agricultural fertilizer. A separate abstract was prepared for each of the 22 papers in this technical report

  7. Focused ion beam technology

    International Nuclear Information System (INIS)

    Gamo, K.

    1993-01-01

    Focussed ion beam (FIB) technology has the advantage of being a maskless process compatible with UHV processing. This makes it attractive for use in in situ processing and has been applied to the fabrication of various mesoscopic structures. The present paper reviews these results whilst putting emphasis on in situ processing by a combined FIB and molecular beam epitaxy system. The typical performance of present FIB systems is also presented. In order to utilize the potential advantages of FIB processing, reduction of damage and improvement of throughput are important, and much effort has been devoted to developing processing techniques which require a reduced dose. The importance of low-energy FIB is discussed. (author)

  8. Figuring process of potassium dihydrogen phosphate crystal using ion beam figuring technology.

    Science.gov (United States)

    Li, Furen; Xie, Xuhui; Tie, Guipeng; Hu, Hao; Zhou, Lin

    2017-09-01

    Currently, ion beam figuring (IBF) technology has presented many excellent performances in figuring potassium dihydrogen phosphate (KDP) crystals, such as it is a noncontact figuring process and it does not require polishing fluid. So, it is a very clean figuring process and does not introduce any impurities. However, the ion beam energy deposited on KDP crystal will heat the KDP crystal and may generate cracks on it. So, it is difficult directly using IBF technology to figure KDP crystal, as oblique incident IBF (OI-IBF) has lower heat deposition, higher removal rate, and smoother surface roughness compared to normal incident IBF. This paper studied the process of using OI-IBF to figure KDP crystal. Removal rates and removal functions at different incident angles were first investigated. Then heat depositions on a test work piece were obtained through experiments. To validate the figuring process, a KDP crystal with a size of 200  mm×200  mm×12  mm was figured by OI-IBF. After three iterations using the OI-IBF process, the surface error decreases from the initial values with PV 1.986λ RMS 0.438λ to PV 0.215λ RMS 0.035λ. Experimental results indicate that OI-IBF is feasible and effective to figure KDP crystals.

  9. Industrial applications of electron beam technology

    International Nuclear Information System (INIS)

    Khairul Zaman Mohd Dahlan

    1997-01-01

    Electron beam technology was first introduced in Malaysia in 1989 with the conclusion of the bilateral cooperation between the Malaysian Institute for Nuclear Technology Research (MINT) and Japan International Co-operation Agency (JICA) on Radiation Application Projects. Two electron beam accelerators with energy of 3.0 MeV and 200 keV were installed at MINT. These two accelerators pave the way for R and D to be carried out in radiation processing of polymers for cross-linking and surface curing. In 1994, another electron beam accelerator was installed in the private sector for cross-linking of home appliance wires. Since then, two more accelerators were installed in the private sector for cross-linking of heat shrinkable plastic films. Recently, a local company has acquired a low energy electron beam machine for cross-linking of plastic film. Within a period of 7 years, industrial applications of electron beam technology in Malaysia have increased significantly

  10. Electron beam processing of wastewater in Malaysia

    International Nuclear Information System (INIS)

    Zulkafli Ghazali; Khairul Zaman Dahlan; Ting Teo Ming; Khomsaton A. Bakar

    2006-01-01

    Electron beam processing technology started in Malaysia in 1991 when two accelerators were installed through JICA cooperation to perform medical product sterilization project. Since then several private companies have installed electron accelerators to develop in removing volatile organic materials and to demonstrate flue gas treatment. In this country report, effort on electron beam processing of wastewater or contaminated groundwater is presented: After de-coloration tests using gamma rays as function of radiation doses, electron beam treatment of textile industry wastewater as function of beam energy and current intensity as well as with combined treatment such as aeration or biological treatment to examine the effectiveness in color and BOD or COD change has been carried out and the main results are reported. Furthermore, the present technique was examined to apply in river water treatment for use as drinking water. Techno-economic feasibility study for recycling of industrial waste water using electron beam technology is now underway. (S. Ohno)

  11. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  12. Electron beam processing of fresh produce - A critical review

    Science.gov (United States)

    Pillai, Suresh D.; Shayanfar, Shima

    2018-02-01

    To meet the increasing global demand for fresh produce, robust processing methods that ensures both the safety and quality of fresh produce are needed. Since fresh produce cannot withstand thermal processing conditions, most of common safety interventions used in other foods are ineffective. Electron beam (eBeam) is a non-thermal technology that can be used to extend the shelf life and ensure the microbiological safety of fresh produce. There have been studies documenting the application of eBeam to ensure both safety and quality in fresh produce, however, there are still unexplored areas that still need further research. This is a critical review on the current literature on the application of eBeam technology for fresh produce.

  13. Electron beam application in industrial polymer processing - Review and outlook

    International Nuclear Information System (INIS)

    Gielenz, G.

    2001-01-01

    Full text: The various established industrial electron beam (EB) applications as related to polymers, their corresponding material and process fundamentals are discussed in this paper. The basics of nowadays most common irradiation processes, which are for continuous stranded products: Single Beam, Rotary Technique; Single Beam, Multiple Pass Technique; Dual Beam, Multiple Pass Technique; and Single Beam, Single (Multiple) Pass Technique by means of a conveyor belt or cart system for discontinuous goods are briefly addressed together with some typical examples for illustration. Some comments on the (dis)advantages and the future economic optimization potential which EB processing technologies could provide to the respective polymer processing industries are presented with respect to material, accelerator equipment and related product handling hardware. The future competitiveness of irradiation crosslinking technologies, which offer numerous advantages in comparison to conventional CV curing and silane crosslinking technologies, only can be maintained by increasing their economic attractiveness, which is: high processing speeds, high material throughput at low production costs and comparatively low capital investment of the hardware involved. Other, more sophisticated irradiation process proposals found in the literature and respective patent publications will be briefly presented, although all of which lack more or less practical evidence for industrial economic and reliable application. Finally, the authors vision of a more efficient, economical EB-process design, by combining quasi state of the art EB-equipment components with a novel beam deflection system to practically achieve a 'Dual Beam, Four Side Crossfiring Process' for continuous strand-products, will be presented. (author)

  14. Applications of electron beam technology for healthcare and environment

    International Nuclear Information System (INIS)

    Varshney, Lalit

    2013-01-01

    Radiation technology has matured from lab scale to industrial scale in many areas of interests to industry, healthcare, agriculture and environment. Some of the well established applications include radiation sterilization, wires and cable, composites for automobiles, radiation surface curing, nanomaterials, hydrogels and special materials for nuclear and aerospace industry, radiation treatment of effluents, sewage sludge etc. These applications are as a result of characteristics of high energy radiation like gamma and electron beams which are able to deliver energy directly at molecular level. Unlike nuclear based radiations, electron beam accelerator technology is amenable to easy acceptance by public as well has capability to manipulate processes and product treatment to produce varieties of advanced/smart materials for healthcare and environment. Faster dose rates and depth profiling are the important characteristics of electron beam technology which gives it an edge over gamma radiation processing. Department of Atomic Energy has an ambitious program to indigenously develop accelerator technology and utilize them for national progress. In the presentation some important applications of radiation technology will be discussed. (author)

  15. Electron beam additive manufacturing with wire - Analysis of the process

    Science.gov (United States)

    Weglowski, Marek St.; Błacha, Sylwester; Pilarczyk, Jan; Dutkiewicz, Jan; Rogal, Łukasz

    2018-05-01

    The electron beam additive manufacturing process with wire is a part of global trend to find fast and efficient methods for producing complex shapes elements from costly metal alloys such as stainless steels, nickel alloys, titanium alloys etc. whose production by other conventional technologies is unprofitable or technically impossible. Demand for additive manufacturing is linked to the development of new technologies in the automotive, aerospace and machinery industries. The aim of the presented work was to carried out research on electron beam additive manufacturing with a wire as a deposited (filler) material. The scope of the work was to investigate the influence of selected technological parameters such as: wire feed rate, beam current, travelling speed, acceleration voltage on stability of the deposition process and geometric dimensions of the padding welds. The research revealed that, at low beam currents, the deposition process is unstable. The padding weld reinforcement is non-uniform. Irregularity of the width, height and straightness of the padding welds can be observed. At too high acceleration voltage and beam current, burn-through of plate and excess penetration weld can be revealed. The achieved results and gained knowledge allowed to produce, based on EBAM with wire process, whole structure from stainless steel.

  16. Development of high-current pulsed heavy-ion-beam technology for applications to materials processing

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Hiroaki; Ochiai, Yasushi; Masugata, Katsumi [University of Toyama, Toyama (Japan)

    2011-12-15

    Development of intense pulsed heavy ion beam technology for applications to materials processing is described. We have developed a magnetically insulated ion diode for the generation of intense pulsed metallic ion beams in which a vacuum arc plasma gun is used as the ion source. When the ion diode was successfully operated at a diode voltage of 220 kV and a diode current of 10 kA, an ion beam with an ion current density of >200 A/cm{sup 2} and a pulse duration of 40 ns was obtained. The ion composition was evaluated by using a Thomson parabola spectrometer, and the ion beam consisted of aluminum ions (Al{sup (1-3)+}) with an energy of 140 - 740 keV and protons with an energy of 160 - 190 keV; the purity was estimated to be 89%, which was much higher than that of the pulsed ion beam produced in a conventional ion diode. The development of a bipolar pulse accelerator (BPA) was reported in order to improve the purity of intense pulsed ion beams. A double coaxial type bipolar pulse generator was developed as the power supply of the BPA. When a bipolar pulse with a voltage of {+-}90 kV and a pulse duration of about 65 ns was applied to the drift tube of the BPA, the ion beam with an ion current density of 2 A/cm{sup 2} and a pulse duration of 30 ns was observed 25 mm downstream from the cathode surface, which suggested bipolar pulse acceleration.

  17. Real-time particle size analysis using focused beam reflectance measurement as a process analytical technology tool for a continuous granulation-drying-milling process.

    Science.gov (United States)

    Kumar, Vijay; Taylor, Michael K; Mehrotra, Amit; Stagner, William C

    2013-06-01

    Focused beam reflectance measurement (FBRM) was used as a process analytical technology tool to perform inline real-time particle size analysis of a proprietary granulation manufactured using a continuous twin-screw granulation-drying-milling process. A significant relationship between D20, D50, and D80 length-weighted chord length and sieve particle size was observed with a p value of 0.05).

  18. Electron beam processing technology for modification of different types of cellulose pulps for production of derivatives

    International Nuclear Information System (INIS)

    Iller, E.; Kukielka, A.; Mikolajczyk, W.; Starostka, P.; Stupinska, H.

    2002-01-01

    Institute of Nuclear Chemistry and Technology, Pulp and Paper Research Institute and Institute of Chemical Fibers carry out a joint research project in order to develop the radiation methods modification of cellulose pulps for production of cellulose derivatives such as carbamate (CC), carboxymethyl cellulose (CMC) and methylcellulose (MC). Three different types of textile pulps: Alicell (A); Borregaard (B), Ketchikan (K) and Kraft softwood (PSS) and hardwood (PSB) pulps have been irradiated with 10 MeV electron beam from LAE 13/9 linear accelerator with doses of 5, 10, 15, 20, 25 and 50 kGy. After electron beam treatment the samples of cellulose pulps have been examined by using of structural and physico-chemical methods. Electron paramagnetic resonance spectroscopy (EPR), gel permeation chromatography (GPC) and infrared spectroscopy (IRS) were applied for determination of structural changes in irradiated cellulose pulps. By means of analytical methods, such parameters as: viscosity, average degree of polymerization (DP) and α-cellulose contents were evaluated. Based on EPR and GPC investigations the relationship between concentrations of free radicals and decreasing polymerization degrees in electron beam treatment pulps has been confirmed. The carboxymethylcellulose, methylcellulose and cellulose carbamate were prepared using the raw material of radiation modified pulps. Positive results of investigations will allow for determination of optimum conditions for electron beam modification of selected cellulose paper and textile pulps. Such procedure leads to limit the amounts of chemical activators used in methods for preparation cellulose derivatives. The proposed electron beam technology is new approaches in technical solution and economic of process of cellulose derivatives preparation. (author)

  19. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  20. Ion beams in silicon processing and characterization

    International Nuclear Information System (INIS)

    Chason, E.; Picraux, S.T.; Poate, J.M.; Borland, J.O.; Current, M.I.; Diaz de la Rubia, T.; Eaglesham, D.J.; Holland, O.W.; Law, M.E.; Magee, C.W.; Mayer, J.W.; Melngailis, J.; Tasch, A.F.

    1997-01-01

    General trends in integrated circuit technology toward smaller device dimensions, lower thermal budgets, and simplified processing steps present severe physical and engineering challenges to ion implantation. These challenges, together with the need for physically based models at exceedingly small dimensions, are leading to a new level of understanding of fundamental defect science in Si. In this article, we review the current status and future trends in ion implantation of Si at low and high energies with particular emphasis on areas where recent advances have been made and where further understanding is needed. Particularly interesting are the emerging approaches to defect and dopant distribution modeling, transient enhanced diffusion, high energy implantation and defect accumulation, and metal impurity gettering. Developments in the use of ion beams for analysis indicate much progress has been made in one-dimensional analysis, but that severe challenges for two-dimensional characterization remain. The breadth of ion beams in the semiconductor industry is illustrated by the successful use of focused beams for machining and repair, and the development of ion-based lithographic systems. This suite of ion beam processing, modeling, and analysis techniques will be explored both from the perspective of the emerging science issues and from the technological challenges. copyright 1997 American Institute of Physics

  1. Accelerators in industrial electron beam processing

    International Nuclear Information System (INIS)

    Becker, R.C.

    1984-01-01

    High power electron beam accelerators are being used for a variety of industrial processes. Such machines can process a wide range of products at very high thruput rates and at very low unit processing costs. These industrial accelerators are now capable of producing up to 200 kW of electron beam power at 4.0 MV and 100 kW at 5.0 MV. At this writing, even larger units are contemplated. The reliability of these high power devices also makes it feasible to consider bremsstrahlung (x-ray) processing as well. In addition to the advance of accelerator technology, microprocessor control systems now provide the capability to coordinate all the operations of the irradiation facility, including the accelerator, the material handling system, the personnel safety system and various auxiliary services. Facility designs can be adapted to many different industrial processes, including use of the dual purpose electron/x-ray accelerator, to ensure satisfactory product treatment with good dose uniformity, high energy efficiency and operational safety and simplicity. In addition, equipment manufacturers like RDI are looking beyond their conventional DC accelerator technology; looking at high power 10-12 MeV linear accelerators with power levels up to 25 kW or more. These high power linear accelerators could be the ideal processing tool for many sterilization and food irradiation applications. (author)

  2. A new process of electron beam refining of niobium

    International Nuclear Information System (INIS)

    Pinatti, D.G.

    1981-01-01

    A review of thermodynamic equilibrium, the kinetic theory and experimental results of the metal-gas interaction in refractory metals is presented. N 2 , H 2 and CO absorption and desorption take place by a reversible process while O 2 takes place by a irreversible process with atom absorption and metal oxide desorption. A new technology of electron beam refining of Niobium is proposed based on four points: 1) preparation of the aluminothermic reduced electrode, 2) zone refining in the first melt, 3) kinetic theory of refining in the following melts and 4) design of a compact furnace. Experimental results in a pilot plant of 300 KW have shown complete agreement with the proposed technology yielding a productivity 2.4 times larger than the value predicted by the conventional technology of electron beam refining of Niobium. (Author) [pt

  3. Current status of electron beam processing applications and accelerator technology in India

    International Nuclear Information System (INIS)

    Sarma, K.S.S.; Lavale, D.S.; Sabharwal, S.

    2001-01-01

    Full text: Electron Beam (EB) processing is now a well established technology world over in a few specific sections of the industry, particularly the polymer industry. The actual use of the technology however is dependent upon the specific socioeconomic needs of the individual country. In India, an industrial type EB accelerator has been operative since 1988 at Bhabha Atomic Research Centre, Mumbai. This 2 MeV, 20 kW machine is being utilized to develop and optimize process and material process techniques for research, development and industry in the fields viz., crosslinking, degradation and grafting of polymers; color enhancement in precious and semi-precious stones, lifetime control in semi-conductor devices; food irradiation. Some of these processes have developed into products that are now being carried out on regular commercial basis, meeting the requirements of the Indian industry. These include crosslinked high temperature PE 'O' rings, wire and cable insulation, heat shrinkable tubes; micro-fine PTFE powder, degraded viscose rayon pulp and color diamonds, With the collaboration of Indian cable industry, EB crosslinkable insulation formulations were developed. Suitable irradiation parameters and techniques have been studied, optimized and standardized. Over 100 km length of cables based on PE, PVC and elastomer blends has been irradiated and the results were found to be very encouraging. Since the main parameters to be monitored in the radiation processing is the absorbed dose and its uniformity in the product, dose evaluation and optimization hb been carried out specific to the process and the product under treatment. EB dosimetry based on the graphite calorimetry, thin film and alanine powder dosimeters has been standardized and being used in the facility for dose evaluation and optimization studies. An endless stainless steel mesh conveyor is available in the facility to carry out product irradiation. An eight type cable irradiation gadget has been

  4. Prospects for applications of electron beams in processing of gas and oil hydrocarbons

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, A. V., E-mail: ponomarev@ipc.rssi.ru [Russian Academy of Sciences, Frumkin Institute of Physical Chemistry and Electrochemistry (Russian Federation); Pershukov, V. A. [ROSATOM National Nuclear Corporation (Russian Federation); Smirnov, V. P. [CJSC “Nauka i Innovatsii” (Russian Federation)

    2015-12-15

    Waste-free processing of oil and oil gases can be based on electron-beam technologies. Their major advantage is an opportunity of controlled manufacturing of a wide range of products with a higher utility value at moderate temperatures and pressures. The work considers certain key aspects of electron beam technologies applied for the chain cracking of heavy crude oil, for the synthesis of premium gasoline from oil gases, and also for the hydrogenation, alkylation, and isomerization of unsaturated oil products. Electronbeam processing of oil can be embodied via compact mobile modules which are applicable for direct usage at distant oil and gas fields. More cost-effective and reliable electron accelerators should be developed to realize the potential of electron-beam technologies.

  5. Utilization of electron beam accelerators for polymer processing

    International Nuclear Information System (INIS)

    Sarma, K.S.S.

    2013-01-01

    During the last decade, electron beam processing has been amply demonstrated to the Indian cable industry by BARC using 2 MeV/20 kW electron beam (EB) accelerator (ILU-6 EBA facility) located at BARC-BRIT complex, Vashi. The electron beam accelerator is a machine producing high energy electrons which are made to impinge on the materials for inducing physical, chemical and biological modifications. The process is carried out at room temperature and in ambient atmospheric conditions. Lately, quite a few numbers of accelerators have been installed by the private cable industry and carrying out cross-linking of cable insulations for high performance viz. high temperature stability, good flame retardancy, lesser solvent-swelling, thinner insulations etc. The indigenously made accelerators at EB centre, particularly the 3 MeV/30 kW accelerator will be of much help for Indian industry for polymer processing as the market is poised to grow by adapting the technology

  6. Radiation processing of polymers with high energy electron beams: novel materials and processes

    International Nuclear Information System (INIS)

    Sarma, K.S.S.; Sabharwal, Sunil

    2002-01-01

    High-energy ionizing radiation available from electron beam (EB) accelerators has the ability to create extremely reactive species like free radicals or ions at room temperature or even at low temperature in any phase and in a variety of substrates without addition of external additives. This unique advantage of high energy has been utilized in the recent years to produce better quality materials in an environment friendly and cost-effective manner. The availability of high power and reliable EB accelerators has provided new tools to modify the materials and/or processes for a variety of applications. At BARC, a 2 MeV, 20 kW electron beam accelerator has been the nucleus of developing industrial applications of radiation processing in India for last 10 years. The focus has been on developing technologies that are of relevance to Indian socio-economic conditions and also provide economic benefits to the industry. In the areas of polymer processing industry, commercial success has already been achieved while for exploring its applications in the areas of food and agriculture and environment, technology demonstration plants are being set up. The current status of the programme, the new developments and future direction of radiation processing technology shall be presented in this paper. (author)

  7. Development of electron beam flue gas treatment technology

    International Nuclear Information System (INIS)

    Tanaka, T.

    1995-01-01

    The electron beam flue gas treatment technology is expected to bring many advantages such as the simultaneous reduction of SO x and NO x emissions, a dry process without waste water, valuable fertilizer byproducts, etc. In order to verify the feasibility and performances of the process, a practical application test is carried out with a pilot plant which treats the actual flue gas from a coal-fired boiler. Results are presented. 4 figs., 2 tabs

  8. Fiscal 2000 survey report on technological trends. Survey on trend of high-density energy beam technology concerning conservation of energy; 2000 nendo gijutsu doko nado chosa hokokusho. Energy shiyo gorika ni kakawaru komitsudo energy beam technology no doko chosa

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    There is a possibility that machining technology using high-density energy beam will bring an epoch-making change to micro-nano area processing. In particular, a laser beam having high controllability is indispensable. This is a report of the fiscal 2000 survey. The survey was conducted on laser beam generation, control and nano-diagnostic techniques, micro-nano optics technologies and machining technologies, with the development problems and targets summarized. Laser beam generation/control technologies, which become the basic tools for micro-nano manufacturing, were investigated, as were inspection technologies for the purpose of checking the functions of nano structures created. Particularly, the investigation elucidated the significance of development of a femtosecond solid state laser based on a semiconductor laser and the control techniques of their phase. Further, necessity was emphasized in developing X-ray probing, infrared and terahertz spectroscopy which are essential for nano-diagnostic techniques. In optics technologies, the paper described the importance of photonic crystals which enable less-than-wavelength machining or electrical beam control using interference effect. The possibility of fabricating photocatalysts with nano-particles was also mentioned, as was the manufacturing of nano-functional structures. (NEDO)

  9. Use of mathematical modelling in electron beam processing: A guidebook

    International Nuclear Information System (INIS)

    2010-01-01

    The use of electron beam irradiation for industrial applications, like the sterilization of medical devices or cross-linking of polymers, has a long and successful track record and has proven itself to be a key technology. Emerging fields, including environmental applications of ionizing radiation, the sterilization of complex medical and pharmaceutical products or advanced material treatment, require the design and control of even more complex irradiators and irradiation processes. Mathematical models can aid the design process, for example by calculating absorbed dose distributions in a product, long before any prototype is built. They support process qualification through impact assessment of process variable uncertainties, and can be an indispensable teaching tool for technologists in training in the use of radiation processing. The IAEA, through various mechanisms, including its technical cooperation programme, coordinated research projects, technical meetings, guidelines and training materials, is promoting the use of radiation technologies to minimize the effects of harmful contaminants and develop value added products originating from low cost natural and human made raw materials. The need to publish a guidebook on the use of mathematical modelling for design processes in the electron beam treatment of materials was identified through the increased interest of radiation processing laboratories in Member States and as a result of recommendations from several IAEA expert meetings. In response, the IAEA has prepared this report using the services of an expert in the field. This publication should serve as both a guidebook and introductory tutorial for the use of mathematical modelling (using mostly Monte Carlo methods) in electron beam processing. The emphasis of this guide is on industrial irradiation methodologies with a strong reference to existing literature and applicable standards. Its target audience is readers who have a basic understanding of electron

  10. Pre Design of Beam Parameter Control System for Electron Beam Machine (EBM) 350 keV/10 mA in the Center for Accelerator and Material Process Technology - BATAN Yogyakarta

    International Nuclear Information System (INIS)

    Sutanto

    2009-01-01

    Pre design of beam parameter control system for Electron Beam Machine (EBM) 350 keV/10 mA had an objective to find a control system algorithm for EBM in The Center For Accelerator and Material Process Technology (Pusat Teknologi dan Proses Bahan/PTAPB) - BATAN Yogyakarta. The design was based on the beam parameter model of EBM. The model shown a relationship between the dose parameter setting and the beam energy setting which it was being a problem in setting the beam parameters.The control system algorithm was found by getting compensator equations from the beam parameter model of EBM. The equations would omit the relation between the radiation dose parameter and beam energy parameter, so that the parameters could be adjusted easily. The result of the control system algorithm examine based on simulation shown that the setting of beam parameter value could be done by giving the accelerating voltage value and the filament current value as the operator had determined the value. The value of radiation dose and beam energy would be adjusted as its function of the filament current value and the accelerating voltage value. (author)

  11. HIGH VOLTAGE ENVIRONMENTAL APPLICATIONS, INC.ELECTRON BEAM TECHNOLOGY - INNOVATIVE TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    This report evaluates a high-voltage electron beam (E-beam) technology's ability to destroy volatile organic compounds (VOCs) and other contaminants present in liquid wastes. Specifically, this report discusses performance and economic data from a Superfund Innovative Technology...

  12. Electron beam irradiation technology for environmental conservation

    International Nuclear Information System (INIS)

    Tokunaga, Okihiro; Arai, Hidehiko; Hashimoto, Shoji

    1992-01-01

    This paper reviews research and development of application of electron beam (EB) irradiation technology for treatment of flue gas and waste water, and for disinfection of sewage sludge. Feasibility studies on EB purification of flue gases have been performed with pilot-scale experiments in Japan, the USA and Germany, and is being carried out in Poland for flue gases from iron-sintering furnaces or coal burning boilers. Based on results obtained by experiments using simulated flue gas, pilot scale test for treatment of flue gas of low-sulfur containing coal combustion has recently started in Japan. Organic pollutants in waste water and ground water have been found to be decomposed by EB irradiation. Synergetic effect of EB irradiation and ozone addition was found to improve the decomposition efficiency. Electron beam irradiation technology for disinfection of water effluent from water treatment plants was found to avoid formation of chlorinated organic compounds which are formed in using chlorine. Efficient process for composting of sewage sludge disinfected by EB irradiation has been developed by small scale and pilot scale experiments. In the new process, disinfection by EB irradiation and composing can be done separately and optimum temperature for composting can be, therefore, selected to minimize period of composting. (author)

  13. Study on laser beam welding technology for nuclear power plants

    International Nuclear Information System (INIS)

    Chida, Itaru; Shiihara, Katsunori; Fukuda, Takeshi; Kono, Wataru; Obata, Minoru; Morishima, Yasuo

    2012-01-01

    Laser beam welding is one of the jointing processes by irradiating laser beam on the material surface locally and widely used at various industrial fields. Toshiba has developed various laser-based maintenance and repair technologies and already applied them to several existing nuclear power plants. Laser cladding is a technique to weld the corrosion resistant metal onto a substrate surface by feeding filler wire to improve the corrosion resistance. Temper-bead welding is the heat input process to provide the desired microstructure properties of welded low alloy steels without post weld heat treatment, by inducing proper heat cycle during laser welding. Both laser welding technologies would be performed underwater by blowing the shielding gas for creating the local dry area. In this report, some evaluation results of material characteristics by temper-bead welding to target at Reactor Coolant System nozzle of PWR are presented. (author)

  14. Possibility for implementation of e-beam technology in TPS Sviloza

    International Nuclear Information System (INIS)

    Dutskinov, N.; Pelovski, Y.; Nikolov, K.; Stamatov, L.

    2011-01-01

    The electron beam flue gas treatment process is one of the most promising technologies in the modern environmental protection. The technology allows the simultaneous removal of acidic pollutants such as SO 2 and NO x with high efficiency and decomposition of VOC (volatile organic compounds) without generating any wastes. These pollutants are named “acid gases” that cause acid rain and damage forests, agriculture fields and flora as well as public health. After successful operation of Electron Beam Flue Gas Treatment Pilot Plant at Maritsa East 2 TPS, the Bulgarian Ministry of Economy and Energy has taken decision for implementation EB technology in the industrial scale at “Sviloza” TPS in Svishtov, Bulgaria. The Industrial Electron Beam Flue Gas Treatment Plant (IEBFGTP) covers 100% of the flue gases generated from all units of TPS “Sviloza” JSC, Svishtov. This Thermal Power Station generates flue gases from all boilers – 600 000 Nm3/h, with emission of SO 2 → 2800 – 4800 mg/Nm 3 , NOx → 1200 – 1600 mg/Nm 3 and dust → 200 – 1400 mg/Nm3. The major objective of the electron beam project is to reduce harmful emissions of SO x , NO x and VOC by 85%. The byproduct generated by the electron beam plant is ammonium sulfate and ammonium nitrate and it can be used as fertilizer in the Bulgarian agriculture sector and abroad. (author)

  15. Possibility for implementation of e-beam technology in TPS Sviloza

    Energy Technology Data Exchange (ETDEWEB)

    Dutskinov, N. [Ministry of Energy, NEK, Sofia (Bulgaria); Pelovski, Y. [University of Chemical Technology and Metallurgy, Sofia (Bulgaria); Nikolov, K.; Stamatov, L. [Sviloza Power Station, Svishtov (Bulgaria)

    2011-07-01

    The electron beam flue gas treatment process is one of the most promising technologies in the modern environmental protection. The technology allows the simultaneous removal of acidic pollutants such as SO{sub 2} and NO{sub x} with high efficiency and decomposition of VOC (volatile organic compounds) without generating any wastes. These pollutants are named “acid gases” that cause acid rain and damage forests, agriculture fields and flora as well as public health. After successful operation of Electron Beam Flue Gas Treatment Pilot Plant at Maritsa East 2 TPS, the Bulgarian Ministry of Economy and Energy has taken decision for implementation EB technology in the industrial scale at “Sviloza” TPS in Svishtov, Bulgaria. The Industrial Electron Beam Flue Gas Treatment Plant (IEBFGTP) covers 100% of the flue gases generated from all units of TPS “Sviloza” JSC, Svishtov. This Thermal Power Station generates flue gases from all boilers – 600 000 Nm3/h, with emission of SO{sub 2} → 2800 – 4800 mg/Nm{sup 3}, NOx → 1200 – 1600 mg/Nm{sup 3} and dust → 200 – 1400 mg/Nm3. The major objective of the electron beam project is to reduce harmful emissions of SO{sub x}, NO{sub x} and VOC by 85%. The byproduct generated by the electron beam plant is ammonium sulfate and ammonium nitrate and it can be used as fertilizer in the Bulgarian agriculture sector and abroad. (author)

  16. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  17. Beam processing of advanced materials

    International Nuclear Information System (INIS)

    Singh, J.; Copley, S.M.

    1993-01-01

    International Conference on Beam Processing of Advanced Materials was held at the Fall TMS/ASM Materials Week at Chicago, Illinois, November 2--5, 1992. The symposium was devoted to the recent advances in processing of materials by an energy source such as laser, electron, ion beams, etc. The symposium served as a forum on the science of beam-induced materials processing and implications of this science to practical implementation. An increased emphasis on obtaining an understanding of the fundamental mechanisms of beam-induced surface processes was a major trend observed at this years symposium. This has resulted in the increased use of advanced diagnostic techniques and modeling studies to determine the rate controlling steps in these processes. Individual papers have been processed separately for inclusion in the appropriate data bases

  18. Electron Beam Processing of Polymers: Facts and Opportunities

    International Nuclear Information System (INIS)

    Gielenz, G.

    2006-01-01

    Electron Beam (EB) processing of polymers is a well established and mature technology in a multitude of industrial polymer applications for more than 40 years. Constant research effort in combination with emerging tailored EB process technologies, have led to numerous new (niche) applications and products within the past decade. Nonetheless, and despite the fact, that nowadays a large variety of EB and related process equipment is readily available for use by the respective industries, EB processing of polymers still takes up only a small niche in comparison to the overall polymer business. In this lecture the author attempts to present a short overview on the current industrial established and emerging radiation processing applications and the related EB equipment suppliers. Then some selected plastics business facts and figures with a forecast of the global plastics consumption situation up to 2010 will be shown. As a conclusion from these facts, some comments will be deduced, regarding the future potential, attractiveness and economical relevance of irradiation processing technologies in present day competitive global markets

  19. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  20. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  1. Pulsed high current ion beam processing equipment

    International Nuclear Information System (INIS)

    Korenev, S.A.; Perry, A.

    1995-01-01

    A pulsed high voltage ion source is considered for use in ion beam processing for the surface modification of materials, and deposition of conducting films on different substrates. The source consists of an Arkad'ev-Marx high voltage generator, a vacuum ion diode based on explosive ion emission, and a vacuum chamber as substrate holder. The ion diode allows conducting films to be deposited from metal or allow sources, with ion beam mixing, onto substrates held at a pre-selected temperature. The main variables can be set in the ranges: voltage 100-700 kV, pulse length 0.3 μs, beam current 1-200 A depending on the ion chosen. The applications of this technology are discussed in semiconductor, superconductor and metallizing applications as well as the direction of future development and cost of these devices for commercial application. 14 refs., 6 figs

  2. Process analytical technology (PAT) in insect and mammalian cell culture processes: dielectric spectroscopy and focused beam reflectance measurement (FBRM).

    Science.gov (United States)

    Druzinec, Damir; Weiss, Katja; Elseberg, Christiane; Salzig, Denise; Kraume, Matthias; Pörtner, Ralf; Czermak, Peter

    2014-01-01

    Modern bioprocesses demand for a careful definition of the critical process parameters (CPPs) already during the early stages of process development in order to ensure high-quality products and satisfactory yields. In this context, online monitoring tools can be applied to recognize unfavorable changes of CPPs during the production processes and to allow for early interventions in order to prevent losses of production batches due to quality issues. Process analytical technologies such as the dielectric spectroscopy or focused beam reflectance measurement (FBRM) are possible online monitoring tools, which can be applied to monitor cell growth as well as morphological changes. Since the dielectric spectroscopy only captures cells with intact cell membranes, even information about dead cells with ruptured or leaking cell membranes can be derived. The following chapter describes the application of dielectric spectroscopy on various virus-infected and non-infected cell lines with respect to adherent as well as suspension cultures in common stirred tank reactors. The adherent mammalian cell lines Vero (African green monkey kidney cells) and hMSC-TERT (telomerase-immortalized human mesenchymal stem cells) are thereby cultured on microcarrier, which provide the required growth surface and allow the cultivation of these cells even in dynamic culture systems. In turn, the insect-derived cell lines S2 and Sf21 are used as examples for cells typically cultured in suspension. Moreover, the FBRM technology as a further monitoring tool for cell culture applications has been included in this chapter using the example of Drosophila S2 insect cells.

  3. Advanced neutral-beam technology

    International Nuclear Information System (INIS)

    Berkner, K.H.

    1980-09-01

    Extensive development will be required to achieve the 50- to 75-MW, 175- to 200-keV, 5- to 10-sec pulses of deuterium atoms envisioned for ETF and INTOR. Multi-megawatt injector systems are large (and expansive); they consist of large vacuum tanks with many square meters of cryogenic pumping panels, beam dumps capable of dissipating several megawatts of un-neutralized beam, bending magnets, electrical power systems capable of fast turnoff with low (capacity) stored energy, and, of course, the injector modules (ion sources and accelerators). The technology requirements associated with these components are described

  4. Radiation processing technology for preparation of fine shaped biomedical materials

    Energy Technology Data Exchange (ETDEWEB)

    Kumakura, M.; Yoshida, M.; Asano, M. (Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment); Yamanaka, H. (Gunma Univ., Maebashi (Japan). School of Medicine)

    1992-06-01

    Radiation processing technology for the preparation of fine shaped biomedical materials was studied from the aspect of a development of the technology and its application. Electron beam irradiation technology was applied to the preparation of fine shaped biomedical materials such as thin polymer films in diagnosis, in which enzyme and antibody were used as a bioactive substance. Electron beam cast-polymerization and electron beam repeat surface-polymerization, that are surface irradiation techniques of homogeneous hydrophilic monomer solution containing enzymes made it possible to form the immobilized antibody films. In this technique, the films with various thicknesses (50-500 [mu]m) were obtained by regulating the electron beam energy. The thin polymer films immobilizing anti-[alpha]-fetoprotein were evaluated from the aspect of immunoagents for diagnosis of liver cancer. (Author).

  5. Ion beams in materials processing and analysis

    CERN Document Server

    Schmidt, Bernd

    2012-01-01

    This book covers ion beam application in modern materials research, offering the basics of ion beam physics and technology and a detailed account of the physics of ion-solid interactions for ion implantation, ion beam synthesis, sputtering and nano-patterning.

  6. Ion-beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R. [Argonne National Lab., IL (United States)

    1993-01-01

    This compilation of figures and diagrams reviews processes for depositing diamond/diamond-like carbon films. Processes addressed are chemical vapor deposition (HFCVD, PACVD, etc.), plasma vapor deposition (plasma sputtering, ion beam sputtering, evaporation, etc.), low-energy ion implantation, and hybrid processes (biased sputtering, IBAD, biased HFCVD, etc.). The tribological performance of coatings produced by different means is discussed.

  7. Study on laser beam welding technology for nuclear power plants title

    International Nuclear Information System (INIS)

    Chida, Itaru; Shiihara, Katsunori; Fukuda, Takeshi; Kono, Wataru; Obata, Minoru; Morishima, Yasuo

    2011-01-01

    Laser beam welding is one of the jointing processes by irradiating laser beam on the material surface locally and widely used at various industrial fields. Toshiba has developed various laser-based maintenance and repair technologies and already applied them to several existing nuclear power plants. Laser cladding is a technique to weld the corrosion resistant metal onto a substrate surface by feeding filler wire to improve the corrosion resistance. Temper-bead welding is the heat input process to provide the desired microstructure properties of welded low alloy steels without post weld heat treatment, by inducing proper heat cycle during laser welding. Both laser welding technologies would be performed underwater by blowing the shielding gas for creating the local dry area. In this report, some evaluation results of material characteristics by temper-bead welding to target at Reactor Coolant System nozzle of PWR are presented. (author)

  8. Intense pulsed heavy ion beam technology

    International Nuclear Information System (INIS)

    Masugata, Katsumi; Ito, Hiroaki

    2010-01-01

    Development of intense pulsed heavy ion beam accelerator technology is described for the application of materials processing. Gas puff plasma gun and vacuum arc discharge plasma gun were developed as an active ion source for magnetically insulated pulsed ion diode. Source plasma of nitrogen and aluminum were successfully produced with the gas puff plasma gun and the vacuum arc plasma gun, respectively. The ion diode was successfully operated with gas puff plasma gun at diode voltage 190 kV, diode current 2.2 kA and nitrogen ion beam of ion current density 27 A/cm 2 was obtained. The ion composition was evaluated by a Thomson parabola spectrometer and the purity of the nitrogen ion beam was estimated to be 86%. The diode also operated with aluminum ion source of vacuum arc plasma gun. The ion diode was operated at 200 kV, 12 kA, and aluminum ion beam of current density 230 A/cm 2 was obtained. The beam consists of aluminum ions (Al (1-3)+ ) of energy 60-400 keV, and protons (90-130 keV), and the purity was estimated to be 89%. The development of the bipolar pulse accelerator (BPA) was reported. A double coaxial type bipolar pulse generator was developed as the power supply of the BPA. The generator was tested with dummy load of 7.5 ohm, bipolar pulses of -138 kV, 72 ns (1st pulse) and +130 kV, 70 ns (2nd pulse) were successively generated. By applying the bipolar pulse to the drift tube of the BPA, nitrogen ion beam of 2 A/cm 2 was observed in the cathode, which suggests the bipolar pulse acceleration. (author)

  9. Status report on the relativistic electron beam technology

    International Nuclear Information System (INIS)

    Iyyengar, S.K.; Ron, P.H.; Rohatgi, V.K.

    1974-01-01

    The status of technology of the pulsed relativistic electron beam (REB) has been examined and summarised in this report. With the present technology the beam generator can be used either as a source of intense electron burst or to produce bursts of positive ions x and γ-rays, and neutrons by suitable secondary reactions. A large number of applications have been identified where this technology can play an important role. Typical applications of the technology include : (a) generation and heating of fusion plasma (b) development of high power laser and (c) sterilisation and radiation sources. The present day cost of radiation produced by REB is competitive with the cost of radiation produced from Co 60 source. At the same time there are indications that the cost of radiation from REB source can be significantly reduced with advanced technology. The type of equipment developed by various laboratories to study realitivistic electron beams is also included in this report. (author)

  10. Laser Processing Technology using Metal Powders

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jeong-Hwan; Moon, Young-Hoon [Pusan National University, Busan (Korea, Republic of)

    2012-03-15

    The purpose of this paper is to review the state of laser processing technology using metal powders. In recent years, a series of research and development efforts have been undertaken worldwide to develop laser processing technologies to fabricate metal-based parts. Layered manufacturing by the laser melting process is gaining ground for use in manufacturing rapid prototypes (RP), tools (RT) and functional end products. Selective laser sintering / melting (SLS/SLM) is one of the most rapidly growing rapid prototyping techniques. This is mainly due to the processes's suitability for almost any materials, including polymers, metals, ceramics and many types of composites. The interaction between the laser beam and the powder material used in the laser melting process is one of the dominant phenomena defining feasibility and quality. In the case of SLS, the powder is not fully melted during laser scanning, therefore the SLS-processed parts are not fully dense and have relatively low strength. To overcome this disadvantage, SLM and laser cladding (LC) processes have been used to enable full melting of the powder. Further studies on the laser processing technology will be continued due to the many potential applications that the technology offers.

  11. Focused ion beam technology and ultimate applications

    International Nuclear Information System (INIS)

    Gierak, Jacques

    2009-01-01

    In this topical review, the potential of the focused ion beam (FIB) technology and ultimate applications are reviewed. After an introduction to the technology and to the operating principles of liquid metal ion sources (LMIS), of ion optics and instrument architectures, several applications are described and discussed. First, the application of FIB for microcircuit inspection, metrology and failure analysis is presented. Then, we introduce and illustrate some advanced patterning schemes we propose as next generation FIB processing examples. These patterning schemes are (i) local defect injection or smoothing in magnetic thin film direct patterning, (ii) functionalization of graphite substrates to guide organization of clusters, (iii) local and selective epitaxy of III–V semiconductor quantum dots and (iv) FIB patterned solid-state nanopores for biological molecules manipulation and analysis. We conclude this work by giving our vision of the future developments for FIB technology. (topical review)

  12. Civilian applications of particle-beam-initiated inertial confinement fusion technology

    International Nuclear Information System (INIS)

    Varnado, S.G.; Mitchiner, J.L.

    1977-05-01

    Electrical power generation by controlled fusion may provide a partial solution to the world's long-term energy supply problem. Achievement of a fusion reaction requires the confinement of an extremely hot plasma for a time long enough to allow fuel burnup. Inertial confinement of the plasma may be possible through the use of tightly focused, relativistic electron or ion beams to compress a fuel pellet. The Sandia Particle Beam Fusion program is developing the particle-beam accelerators necessary to achieve fuel ignition. In this report we review the status of the particle-beam fusion technology development program and identify several potential civilian applications for this technology. We describe program objectives, discuss the specific accelerators presently under development, and briefly review the results of beam-focusing and target-irradiation experiments. Then we identify and discuss applications for the beam technology and for the fusion neutrons. The applications are grouped into near-term, intermediate-term, and long-term categories. Near-term applications for the beam technology include electron-beam (e-beam) pumping of gas lasers and several commercial applications. Intermediate-term applications (pellet gain less than 50) include hybrid reactors for electrical power production and fissile fuel breeding, pure fusion reactors for electrical power production, and medical therapy using ion accelerators. In the long term, complex, high-gain pellets may be used in pure fusion reactors

  13. Electron-beam flue-gas treatment system

    International Nuclear Information System (INIS)

    Aoki, Sinji; Suzuki, Ryoji

    1994-01-01

    The damage of forests in the world due to acid rain has become serious problems, and the development of high efficiency and economical desulfurization and denitration technologies for combustion exhaust gas has been desired. Japan leads the world in exhaust gas treatment technology. The conventional technologies have been the desulfurization by lime gypsum process and the denitration by ammonia catalytic reduction process. The solution by entirely new concept is the electron beam treatment technology for exhaust gas. This technology is a dry process without drain, and does not require catalyst. The byproduct from this technology was approved as a fertilizer. The electron beam treatment technology is called EBA (electron beam with ammonia). The exhaust gas treatment technology by electron beam process is constituted by the cooling of exhaust gas, ammonia addition, electron beam irradiation and the separation of byproduct. The features of the technology are the simultaneous removal of sulfur and nitrogen oxides, dry process, the facilities are simple and the operation is easy, easy following to load variation and the utilization of byproduct. The reaction mechanism of desulfurization and denitration, the course of development, the electron beam generator, and the verifying test are reported. (K.I.)

  14. Technological yields of sources for radiation processing

    International Nuclear Information System (INIS)

    Zagorski, Z.P.

    1990-01-01

    The present report is prepared for planners of radiation processing of any material. Sources with cobalt-60 are treated marginally, because most probably, there will be no installation of technically meaningful activity in Poland before the year 2000. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV and accelerators of lower energy, below 2 MeV, of better energetical yield but of limited applications. The calculations are connected with the confrontation of the author's technological expectations during the preparation of the linac project in the late '60s, with the results of twenty years of exploitation of the machine. One has to realize that from the 150 kV input power from the mains, only 5 kV of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, sometimes only a few percent, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of losses at the edges of the scanned area and in the spaces between boxes, and of losses during the dead time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical in case of objects of optimum type. At the first stage, that is of the conversion of electrical power into that of the low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating bremsstrahlung similar to gamma radiation. The advantages of these technologies, which make it possible to control the shape of the processed object are stressed. Ten parameters necessary for a proper calculation of technological yields of radiation processing are listed. Additional conditions which must be taken into account in the comparison of the cost of radiation processing with the cost of other technologies are also

  15. Electron beam technology for multipollutant emissions control from heavy fuel oil-fired boiler.

    Science.gov (United States)

    Chmielewski, Andrzej G; Ostapczuk, Anna; Licki, Janusz

    2010-08-01

    The electron beam treatment technology for purification of exhaust gases from the burning of heavy fuel oil (HFO) mazout with sulfur content approximately 3 wt % was tested at the Institute of Nuclear Chemistry and Technology laboratory plant. The parametric study was conducted to determine the sulfur dioxide (SO2), oxides of nitrogen (NO(x)), and polycyclic aromatic hydrocarbon (PAH) removal efficiency as a function of temperature and humidity of irradiated gases, absorbed irradiation dose, and ammonia stoichiometry process parameters. In the test performed under optimal conditions with an irradiation dose of 12.4 kGy, simultaneous removal efficiencies of approximately 98% for SO2, and 80% for NO(x) were recorded. The simultaneous decrease of PAH and one-ringed aromatic hydrocarbon (benzene, toluene, and xylenes [BTX]) concentrations was observed in the irradiated flue gas. Overall removal efficiencies of approximately 42% for PAHs and 86% for BTXs were achieved with an irradiation dose 5.3 kGy. The decomposition ratio of these compounds increased with an increase of absorbed dose. The decrease of PAH and BTX concentrations was followed by the increase of oxygen-containing aromatic hydrocarbon concentrations. The PAH and BTX decomposition process was initialized through the reaction with hydroxyl radicals that formed in the electron beam irradiated flue gas. Their decomposition process is based on similar principles as the primary reaction concerning SO2 and NO(x) removal; that is, free radicals attack organic compound chains or rings, causing volatile organic compound decomposition. Thus, the electron beam flue gas treatment (EBFGT) technology ensures simultaneous removal of acid (SO2 and NO(x)) and organic (PAH and BTX) pollutants from flue gas emitted from burning of HFO. This technology is a multipollutant emission control technology that can be applied for treatment of flue gas emitted from coal-, lignite-, and HFO-fired boilers. Other thermal processes such

  16. Advances in beam physics and technology: Colliders of the future

    Energy Technology Data Exchange (ETDEWEB)

    Chattopadhyay, S.

    1994-11-01

    Beams may be viewed as directed and focussed flow of energy and information, carried by particles and electromagnetic radiation fields (ie, photons). Often, they interact with each other (eg, in high energy colliders) or with other forms of matter (eg, in fixed targets, sychrotron radiation, neutron scattering, laser chemistry/physics, medical therapy, etc.). The whole art and science of beams revolve around the fundamental quest for, and ultimate implementation of, mechanisms of production, storage, control and observation of beams -- always directed towards studies of the basic structures and processes of the natural world and various practical applications. Tremendous progress has been made in all aspects of beam physics and technology in the last decades -- nonlinear dynamics, superconducting magnets and rf cavities, beam instrumentation and control, novel concepts and collider praradigms, to name a few. We illustrate this progress with a few examples and remark on the emergence of new collider scenarios where some of these progress might come to use -- the Gamma-Gamma Collider, the Muon Collider, laser acceleration, etc. We close with an outline of future oppotunities and outlook.

  17. Advances in beam physics and technology: Colliders of the future

    International Nuclear Information System (INIS)

    Chattopadhyay, S.

    1994-11-01

    Beams may be viewed as directed and focussed flow of energy and information, carried by particles and electromagnetic radiation fields (ie, photons). Often, they interact with each other (eg, in high energy colliders) or with other forms of matter (eg, in fixed targets, sychrotron radiation, neutron scattering, laser chemistry/physics, medical therapy, etc.). The whole art and science of beams revolve around the fundamental quest for, and ultimate implementation of, mechanisms of production, storage, control and observation of beams -- always directed towards studies of the basic structures and processes of the natural world and various practical applications. Tremendous progress has been made in all aspects of beam physics and technology in the last decades -- nonlinear dynamics, superconducting magnets and rf cavities, beam instrumentation and control, novel concepts and collider praradigms, to name a few. We illustrate this progress with a few examples and remark on the emergence of new collider scenarios where some of these progress might come to use -- the Gamma-Gamma Collider, the Muon Collider, laser acceleration, etc. We close with an outline of future oppotunities and outlook

  18. METHOD OF ELECTRON BEAM PROCESSING

    DEFF Research Database (Denmark)

    2003-01-01

    As a rule, electron beam welding takes place in a vacuum. However, this means that the workpieces in question have to be placed in a vacuum chamber and have to be removed therefrom after welding. This is time−consuming and a serious limitation of a process the greatest advantage of which is the o......As a rule, electron beam welding takes place in a vacuum. However, this means that the workpieces in question have to be placed in a vacuum chamber and have to be removed therefrom after welding. This is time−consuming and a serious limitation of a process the greatest advantage of which...... is the option of welding workpieces of large thicknesses. Therefore the idea is to guide the electron beam (2) to the workpiece via a hollow wire, said wire thereby acting as a prolongation of the vacuum chamber (4) down to workpiece. Thus, a workpiece need not be placed inside the vacuum chamber, thereby...... exploiting the potential of electron beam processing to a greater degree than previously possible, for example by means of electron beam welding...

  19. Introduction to electron beam processing

    Energy Technology Data Exchange (ETDEWEB)

    Kawakami, Waichiro [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1994-12-31

    The contents are general features in the irradiation of polymers, electron beam machines - low energy, medium energy, high energy; application of EB machine in industries, engineering of EB processing, dosimetry of EB (electron beam) safe operation of EB machine, recent topics on EB processing under development. 3 tabs., 4 figs., 17 refs.

  20. Introduction to electron beam processing

    International Nuclear Information System (INIS)

    Waichiro Kawakami

    1994-01-01

    The contents are general features in the irradiation of polymers, electron beam machines - low energy, medium energy, high energy; application of EB machine in industries, engineering of EB processing, dosimetry of EB (electron beam) safe operation of EB machine, recent topics on EB processing under development. 3 tabs., 4 figs., 17 refs

  1. Current status of electron beam processing applications and the latest accelerator technologies in Japan

    International Nuclear Information System (INIS)

    Hoshi, Yasuhisa

    1998-01-01

    Electron Beam (EB) processing has been increasing in popularity as a cross-linking process since the beginning of its industrial use. Examples are heat resistance improvement of electric wires, high quality foamed polyethylene (PE) and polypropylene (PP), automotive tire manufacturing and heat shrinkable products. EB is also used in the tire manufacturing process as a pre-vulcanisation of rubber sheet before forming process. Cross-linking of electric wire insulators is the most popular industrial application of electron beam accelerators in Japan. EB cross-linked wires are widely used in electrical appliances and automotive wire harnesses. Curing of inks or coating is a promising application of low energy EB. EB cure is often compared with Ultra-Violet (UV) curing. Both has a common advantage compared with a conventional heat curing process such as no solvent requirement. A typical advantage is that no initiators are required to start curing process. EB can also be used to remove SO 2 and NO x from coal flue gas. This paper reports some of these applications and discusses the latest equipment design. (author)

  2. The CMS Fast Beams Condition Monitor Backend Electronics based on MicroTCA technology

    CERN Document Server

    Zagozdzinska, Agnieszka Anna

    2016-01-01

    The Fast Beams Condition Monitor (BCM1F), upgraded for LHC Run II, is one sub-system of the Beam Radiation Instrumentation and Luminosity Project of the CMS experiment. It is based on 24 single crystal CVD diamond sensors. Each sensor is metallised with two pads, being read out by a dedicated fast frontend chip produced in 130 nm CMOS technology. Signals for real time monitoring are processed by custom-made back-end electronics to measure separately rates corresponding to LHC collision products, machine induced background and residual activation exploiting different arrival times. The system is built in MicroTCA technology and uses high speed analog-to-digital converters. The data processing module designed for the FPGA allows a distinguishing of collision and machine induced background, both synchronous to the LHC clock, from the residual activation products. In operational modes of high rates, consecutive events, spaced in time by less than 12.5 ns, may partially overlap. Hence, novel signal processing tec...

  3. Development of Beam Utilization Technologies and Support for Users

    International Nuclear Information System (INIS)

    Kim, Kyeryung; Jung, Myunghwan; Noh, Yongoh; Lee, Sooyeon; Kim, Hyukwook; Kil, Jaekeun; Lee, Nayoung; Ra, Sekin; Lee, Miejeen; Kim, Sora

    2013-02-01

    The Final goals are to achieve the 2nd goals of the Proton Engineering Frontier Project, development of proton beam utilization technologies, to incubate the potential users, and to develop fundamental technologies. Based on these achievements, we are going to enhance the accelerator utilization and maximize contribution to the local society after accelerator construction completion. For the these goals, we were operating user program reflecting the results of 3rd step planning. We support 38 small projects during 2 years. As results of activation of beam utilization, we acquired 768 users at the end of 2012. We survey proton beam technology proposals, individuals and institutions participation letter of intent through the research of 'Planning of a support program for both basic research by using accelerator and manpower cultivation'. And inaugurated KOPUA (Korea Proton Accelerator User Association) on March 28, 2012 with 152 members. We secured experimental conditions at TR23 and TR103 and reflected in the target room design and operation scenarios via investigate the requirements. Through these requirements, we make a remote sample transfer system, beam regulating system, hot cell and sample transport container. Moreover, we develop proton beam technologies such as in-vivo proton beam irradiation system, comparison of the biological effects for pulse beam and continuous beam, basic experiments for the metal nanopaticle synthesis, research for radioactivatied samples and devices, conceptual design and calculation for neutron source target and calculation of the isotope production yield. Proton accelerator can be utilized in a variety of field, including NT, BT, IT, ST, ET, Nuclear, medical, and some of the user facilities required were constructed through this project, Experience for the construction and operation of these facilities can be reflected to the construction of the rest 8 target room of proton accelerator center

  4. Beam diagnostics based on virtual instrument technology for HLS

    International Nuclear Information System (INIS)

    Sun Baogen; Lu Ping; Wang Xiaohui; Wang Baoyun; Wang Junhua; Gu Liming; Fang Jia; Ma Tianji

    2009-01-01

    The paper introduce the beam diagnostics system using virtual instrument technology for Hefei Light Source (HLS), which includes a GPIB bus-based DCCT measurement system to measure the beam DC current and beam life, a VXIbus-based closed orbit measurement system to measure the beam position, a PCIbus-based beam profile measurement system to measure the beam profile and emittance, a GPIB-LAN based bunch length system using photoelectric method, and a Ethernet-based photon beam position measurement system. The software is programmed by LabVIEW, which reduces much developing work. (authors)

  5. Applications and technology of electron beam accelerators

    International Nuclear Information System (INIS)

    Sethi, R.C.

    2005-01-01

    Traditionally, accelerators have been employed for pursuing research in basic sciences. But over the last couple of decades their uses have proliferated into the applied fields as well. The major credit for which goes to the electron beams. Electron beams or the radiations generated by them are being extensively used in almost all the applied areas. This article is a brief account of the impact made by the accelerator based electron beams and the attempts initiated by DAE for building a base in this technology. (author)

  6. High power electron and ion beam research and technology

    Energy Technology Data Exchange (ETDEWEB)

    Nation, J.A.; Sudan, R.N. (eds.)

    1977-01-01

    Topics covered in volume II include: collective accelerators; microwaves and unneutralized E-beams; technology of high-current E-beam accelerators and laser applications of charged-particle beams. Abstracts of twenty-nine papers from the conference were prepared for the data base in addition to six which appeared previously. (GHT)

  7. Fiscal 1998 research report. Application technology of next-generation high-density energy beams; 1998 nendo chosa hokokusho. Jisedai komitsudo energy beam riyo gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    Survey was made on application technologies of next- generation high-density energy beams. For real application of laser power, application to not exciting source of YAG crystal but machining directly is highly efficient. For generation of semiconductor laser high-power coherent beam, phase synchronization and summing are large technological walls. Short pulse, high intensity and high repeatability are also important. Since ultra-short pulse laser ends before heat transfer to the periphery, it is suitable for precise machining, in particular, ultra-fine machining. To use beam sources as tool for production process, development of transmission, focusing and control technologies, and optical fiber and device is indispensable. Applicable fields are as follows: machining (more than pico seconds), surface modification (modification and functionalization of tribo- materials and biocompatible materials), complex machining, fabrication of quantum functional structured materials (thin film, ultra-fine particle), agriculture, ultra-precise measurement, non-destructive measurement, and coherent chemistry in chemical and environment fields. (NEDO)

  8. Radiation-beam technologies of structural materials treatment

    International Nuclear Information System (INIS)

    Kalin, B.A.

    2001-01-01

    Considered in the paper are the most advanced and prospective radiation-beam technologies (RBT) for treatment of structural materials, as applied to modifying the structural-phase state in the surface layers of half-finished products and articles with the purpose to improve their service properties. Ion-beam, plasma, and ion-plasma, as well as the technologies based on the use of concentrated fluxes of energy, generated by laser radiation, high-power pulsed electron and ion beams, and high-temperature pulsed plasma fluxes are analysed. As applied to improvement of the corrosion and erosion resistance, breaking strength, friction and wear resistance, and crack resistance, the directions of the choice and the use of RBT have been considered for changes of the surface layer state by applying covers and films, and by a change of the surface topography (relief), surface structure and defects, and the element composition and phase state of materials [ru

  9. The use of low energy ion beams for the growth and processing of solid materials

    International Nuclear Information System (INIS)

    Armour, D.G.; Al-Bayati, A.H.; Gordon, J.S.

    1992-01-01

    Low energy ion bombardment forms the basis of ion assisted etching and growth of materials in plasma and ion beam systems. The growing demands for low temperature, highly controlled processing has led a rapid increase in both the application of low energy beams and the study of the fundamental ion surface interactions involved. The growth in the practical applications of ion beams in the few eV to a few hundred eV range has presented new problems in the production and transport of ion beams and has led to the development of highly specialised, ultra-low energy systems. These technological developments, in conjunction with the improvements in understanding of fundamental processes have widened the range of applications of low energy beams. (author) 52 refs

  10. The synchrotron and its related technology for ion beam therapy

    International Nuclear Information System (INIS)

    Hiramoto, Kazuo; Umezawa, Masumi; Saito, Kazuyoshi; Tootake, Satoshi; Nishiuchi, Hideaki; Hara, Shigemistu; Tanaka, Masanobu; Matsuda, Koji; Sakurabata, Hiroaki; Moriyama, Kunio

    2007-01-01

    Hitachi has developed several new technologies for the synchrotron and its related system to realize reliable and flexible operation of a proton therapy system. Especially important among them are a non-resonant RF acceleration cavity using FINEMET core with multiple power feeding and radio frequency driven beam extraction technique (RF-DE) for a synchrotron. Various treatment operations such as variable acceleration energy or respiration gating became possible and simple due to the above technique. For beam transport, a beam steering method for the beam, using transfer matrix realizes quick and precise correction of the beam orbit. A compact microwave ion source has also been developed for the injector to obtain further higher reliability and availability. Most of these technologies are also effective to enhance the reliability and flexibility of other ion beam therapy systems

  11. Developments in broad-beam, ion-source technology and applications

    International Nuclear Information System (INIS)

    Kaufman, H.R.; Harper, J.M.E.; Cuomo, J.J.

    1982-01-01

    Recent advances in broad-beam, ion-source technology are summarized, including low-energy ion optics, improved extraction grid fabrication, a compact ion-source design and a gridless ion-source design. Recent applications have emphasized concepts such as stress modification of vapor deposited films, very low energy ion beams to minimize the physical sputtering portion in reactive etching, and the use of multiple sources and targets to sputter deposit alloys and compounds. A comprehensive critical review by the same authors appears concurrently, describing in detail the developments in broad-beam, ion-source technology 1 and the applications of these sources. 2

  12. The technology of surface coatings by electron-beam (EB) with special reference to the wood industry

    International Nuclear Information System (INIS)

    Dahlan bin Haji Mohd

    1989-01-01

    The use of electron-beam as a processing means in surface coatings is discussed. Special attention has been given to this technology in relation to the surface coatings of wood. The main features of its technology and industrial requirements are outlined. (author)

  13. Technological yields of sources for radiation processing

    International Nuclear Information System (INIS)

    Zagorski, Z.P.

    1993-01-01

    The present report is prepared for planners of radiation processing of any material. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV, and accelerators of lower energy, below 2 MeV, of better energy yield but of limited applications. The calculations are connected with the confrontation of the author's technological expectations during the preparation of the linac project in the late '60s, with the results of 25 years of exploitation of the machine. One has to realize that from the 200 kW input power from the mains, only 5 kW of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of edges of the scanned area and in the spaces between boxes, and of loses during the idle time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical than that of linacs in case of objects of specific type. At the first stage already, that is of the conversion of electrical power into that of low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating Bremsstrahlung similar to gamma radiation. The advantages of technologies, which make possible a control of the shape of the processed object are stressed. Special attention is focused to the relation between the yield of processing and the ratio between the maximum to the minimum dose in the object under the irradiation. (author). 14 refs, 14 figs

  14. Parallel-hierarchical processing and classification of laser beam profile images based on the GPU-oriented architecture

    Science.gov (United States)

    Yarovyi, Andrii A.; Timchenko, Leonid I.; Kozhemiako, Volodymyr P.; Kokriatskaia, Nataliya I.; Hamdi, Rami R.; Savchuk, Tamara O.; Kulyk, Oleksandr O.; Surtel, Wojciech; Amirgaliyev, Yedilkhan; Kashaganova, Gulzhan

    2017-08-01

    The paper deals with a problem of insufficient productivity of existing computer means for large image processing, which do not meet modern requirements posed by resource-intensive computing tasks of laser beam profiling. The research concentrated on one of the profiling problems, namely, real-time processing of spot images of the laser beam profile. Development of a theory of parallel-hierarchic transformation allowed to produce models for high-performance parallel-hierarchical processes, as well as algorithms and software for their implementation based on the GPU-oriented architecture using GPGPU technologies. The analyzed performance of suggested computerized tools for processing and classification of laser beam profile images allows to perform real-time processing of dynamic images of various sizes.

  15. Applications of neutral beam and rf technologies

    International Nuclear Information System (INIS)

    Haselton, H.H.

    1987-04-01

    This presentation provides an update on the applications of neutral beams and radiofrequency (rf) power in the fusion program; highlights of the ion cyclotron heating (ICH) experiments now in progress, as well as the neutral beam experiments; and heating requirements of future devices and some of the available options. Some remarks on current drive are presented because this area of technology is one that is being considered for future devices

  16. Technology Assessment of Laser-Assisted Materials Processing in Space

    Science.gov (United States)

    Nagarathnam, Karthik; Taminger, Karen M. B.

    2001-01-01

    Lasers are useful for performing operations such as joining, machining, built-up freeform fabrication, shock processing, and surface treatments. These attributes are attractive for the supportability of longer-term missions in space due to the multi-functionality of a single tool and the variety of materials that can be processed. However, current laser technology also has drawbacks for space-based applications, specifically size, power efficiency, lack of robustness, and problems processing highly reflective materials. A review of recent laser developments will be used to show how these issues may be reduced and indicate where further improvement is necessary to realize a laser-based materials processing capability in space. The broad utility of laser beams in synthesizing various classes of engineering materials will be illustrated using state-of-the art processing maps for select lightweight alloys typically found on spacecraft. With the advent of recent breakthroughs in diode-pumped solid-state lasers and fiber optic technologies, the potential to perform multiple processing techniques is increasing significantly. Lasers with suitable wavelengths and beam properties have tremendous potential for supporting future space missions to the moon, Mars and beyond.

  17. Fiscal 1999 research report. Research on photonic measurement and processing technology (Development of high- efficiency production process technology); 1999 nendo foton keisoku kako gijutsu seika hokokusho. Kokoritsu seisan process gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 research result on R and D of laser processing technology, in-situ measurement technology, and generation and control technology of photon as laser beam source, for energy saving and efficiency improvement of energy-consumptive production processes such as welding, jointing, surface treatment and fine particle fabrication. The research was carried out by a technical center, 9 companies and a university as contract research. The research themes are as follows: (1) Processing technology: simulation technology for laser welding phenomena, synthesis technology for quantum dot functional structures, and fabrication technology for functional composite materials, (2) In-situ measurement technology: fine particle element and size measurement technology, (3) All- solid state laser technology: efficient rod type LD-pumping laser module, pumping chamber of slab type laser, improvement of E/O efficiency of laser diode, high-quality nonlinear crystal growth technology, fabrication technology for nonlinear crystals, and high-efficiency harmonic generation technology. Comprehensive survey was also made on high- efficiency photon generation technologies. (NEDO)

  18. Shimmed electron beam welding process

    Science.gov (United States)

    Feng, Ganjiang; Nowak, Daniel Anthony; Murphy, John Thomas

    2002-01-01

    A modified electron beam welding process effects welding of joints between superalloy materials by inserting a weldable shim in the joint and heating the superalloy materials with an electron beam. The process insures a full penetration of joints with a consistent percentage of filler material and thereby improves fatigue life of the joint by three to four times as compared with the prior art. The process also allows variable shim thickness and joint fit-up gaps to provide increased flexibility for manufacturing when joining complex airfoil structures and the like.

  19. Materials processing with intense pulsed ion beams

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Olson, J.C.

    1996-01-01

    We review research investigating the application of intense pulsed ion beams (IPIBs) for the surface treatment and coating of materials. The short range (0.1-10 μm) and high-energy density (1-50 J/cm 2 ) of these short-pulsed (≤ 1 μs) beams (with ion currents I = 5 - 50 kA, and energies E = 100 - 1000 keV) make them ideal to flash-heat a target surface, similar to the more familiar pulsed laser processes. IPIB surface treatment induces rapid melt and solidification at up to 10 10 K/s to cause amorphous layer formation and the production of non-equilibrium microstructures. At higher energy density the target surface is vaporized, and the ablated vapor is condensed as coatings onto adjacent substrates or as nanophase powders. Progress towards the development of robust, high-repetition rate IPIB accelerators is presented along with economic estimates for the cost of ownership of this technology

  20. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  1. Development of applications for Indian industry using electron beam technology

    International Nuclear Information System (INIS)

    Sarma, K.S.S.; Khader, S.A.; Sabharwal, S.

    2009-01-01

    This paper presents a report on the industrial applications that have been developed and demonstrated to the Indian industry using 2MeV/20kW Electron Beam accelerator at BARC-BRIT in the field of polymer modifications (crosslinking and degradation), gem stone coloration etc. Technological scale demonstration of the applications citing the benefits in terms of clean technology and better economics, encouraged three companies in private industry to set up EB facilities for the treatment of cable insulations, heat shrinkable products, diamond and gem stones during the last five years. Recent work on EB processing of automobile rubber tires is also included. (author)

  2. Ion Beams in Nanoscience and Technology

    CERN Document Server

    Hellborg, Ragnar

    2010-01-01

    Energetic ion beam irradiation is the basis of a wide plethora of powerful research- and fabrication-techniques for materials characterisation and processing on a nanometre scale. This book is suitable for practitioners, researchers and graduate students working in the field of ion beams and application

  3. Beam-beam dynamics during the injection process at the PEP-II B-Factory

    International Nuclear Information System (INIS)

    Chin, Yong Ho.

    1991-10-01

    This paper is concerned with beam-beam effects during the injection process at the proposed asymmetric SLAC/LBL/LLNL B-Factory based on PEP (PEP-2). For symmetric colliders, the primary source of the beam-beam effect is the head-on collision at the interaction point (IP), and this effect can be mitigated by separating the beams during the injection process. For an asymmetric collider, which intrinsically consists of two separate rings, the bunches not only collide at the IP but experience a long-range beam-beam force on the way into and out of the IP region. These collisions are called ''parasitic crossings (PC).'' The parasitic crossings emerge as a potential source of far stronger beam-beam impact during the injection process for the following reason. In the proposed injection scheme of the APIARY-6.3d design, the bunches are injected horizontally into the two rings with large horizontal offset of 8σ Ox sptm where σ Ox sptm is the nominal horizontal storage ring beam size at the end of the septum magnet. Then, the injected beam starts to travel around the ring oscillating horizontally. For the sake of discussion, let us assume that the beam in the other ring has already been fully stored. When the injected beam arrives at the 1st PC, where the two nominal orbits are separated horizontally by about 7.6 times the nominal horizontal beam size of the low energy ring, it may pass through the other beam far more closely than at the nominal separation distance, or it may even strike the other beam head-on

  4. E-Beam - a new transfer system for isolator technology

    International Nuclear Information System (INIS)

    Sadat, Theo; Huber, Thomas

    2002-01-01

    In every aseptic filling application, the sterile transfer of goods into the aseptic area is a challenge, and there are many different ways to do it. With isolator technology a higher sterility assurance level (SAL) is achieved. This SAL is only as good as the weakest segment in the chain of manufacturing. The transfer of goods into and out of the isolator is one of these critical segments. Today different techniques, some already well established, others still very new, are available on the market like: dry heat tunnel, autoclave, pulsed light, rapid transfer systems (RTP), H 2 O 2 tunnel, UV light, etc. all these systems are either not applicable for continuous transfer, only good for heat-compatible materials like glass, or do not guarantee a 6 log spore reduction. E-Beam opens new perspectives in this field. With E-beam technology it is possible to transfer heat-sensitive (plastic), pre-sterilised materials at high speed, continuously into an aseptic area. E-Beam unifies three different technologies, that result in a very efficient and high-speed decontamination machine designed for the pharmaceutical industry. First, there is the electron beam that decontaminates the goods and an accurate shielding that protects the surrounding from this beam. Second, there is the conveyor system that guarantees the output and the correct exposure time underneath the beam. And third, there is the isolator interface to provide correct differential pressure and clean air inside the tunnel as well as the decontamination of the tunnel with H 2 O 2 prior to production. The E-beam is a low-energy electron beam, capable of decontaminating any kind of surface. It penetrates only a few micrometers into the material and therefore does not deform the packaging media. Currently, machines are being built to transfer pre-sterilised syringes, packed in plastic tubs with a Tyvek cover into an aseptic filling isolator with the following data: decontamination efficiency of 10 6 (6 log spore

  5. Technology of electron beam welding for Zr-4 alloy spacer grid

    International Nuclear Information System (INIS)

    Pei Qiusheng; Wu Xueyi; Yang Qishun

    1989-10-01

    The welding technology for Zr-4 alloy spacer grid by using vacuum electron beam was studied. Through a series of welding technological experiments, metallographic examinations of seam structure and detecting tests for welding defect by X-ray defectoscopy, a good welding technology was selected to meet the requirements. The experimental results indicated that the Zr-4 alloy spacer grid welded by vacuum electron beam welding is feasible

  6. Technical advantages of disk laser technology in short and ultrashort pulse processes

    Science.gov (United States)

    Graham, P.; Stollhof, J.; Weiler, S.; Massa, S.; Faisst, B.; Denney, P.; Gounaris, E.

    2011-03-01

    This paper demonstrates that disk-laser technology introduces advantages that increase efficiency and allows for high productivity in micro-processing in both the nanosecond (ns) and picosecond (ps) regimes. Some technical advantages of disk technology include not requiring good pump beam quality or special wavelengths for pumping of the disk, high optical efficiencies, no thermal lensing effects and a possible scaling of output power without an increase of pump beam quality. With cavity-dumping, the pulse duration of the disk laser can be specified between 30 and hundreds of nanoseconds, but is independent of frequency, thus maintaining process stability. TRUMPF uses this technology in the 750 watts average power laser TruMicro 7050. High intensity, along with fluency, is important for high ablation rates in thinfilm removal. Thus, these ns lasers show high removal rates, above 60 cm2/s, in thin-film solar cell production. In addition, recent results in paint-stripping of aerospace material prove the green credentials and high processing rates inherent with this technology as it can potentially replace toxic chemical processes. The ps disk technology meanwhile is used in, for example, scribing of solar cells, wafer dicing and drilling injector nozzles, as the pulse duration is short enough to minimize heat input in the laser-matter interaction. In the TruMicro Series 5000, the multi-pass regenerative amplifier stage combines high optical-optical efficiencies together with excellent output beam quality for pulse durations of only 6 ps and high pulse energies of up to 0.25 mJ.

  7. The key physics and technology issues in the intense-beam proton accelerators

    International Nuclear Information System (INIS)

    Fu Shinian; Fang Shouxian

    2002-01-01

    Beam power is required to raise one order in the next generation spallation neutron source. There are still some physics and technology difficulties need to be overcome, even though no fatal obstacle exists due to the rapid development of the technology in intense-beam accelerator in recent years. Therefore, it is highly demanded to clarify the key issues and to lunch an R and D program to break through the technological barriers before author start to build the expansive machine. The new technological challenge arises from the high beam current, the high accelerator power and the high demand on the reliability and stability of the accelerator operation. The author will discuss these issues and the means to resolve them, as well as the state of the art in a few of major technological disciplines. Finally, the choice the framework of intense-beam accelerator is discussed

  8. Advances in beam physics and technology: Colliders of the future

    Science.gov (United States)

    Chattopadhyay, Swapan

    1996-02-01

    Beams may be viewed as directed and focussed flow of energy and information, carried by particles and electromagnetic radiation fields (i.e. photons). Often, they are brought into interaction with each other (e.g. in high energy colliders) or with other forms of matter (e.g. in fixed target physics, synchrotron radiation sciences, neutron scattering experiments, laser chemistry and physics, medical therapy, etc.). The whole art and science of beams revolve around the fundamental quest for, and ultimate implementation of, mechanisms of production, storage, control and observation of beams—always directed towards studies of the basic structures and processes of the natural world and various practical applications. Tremendous progress has been made in all aspects of beam physics and technology in the last decades—nonlinear dynamics, superconducting magnets and radio frequency cavities, beam instrumentation and control, novel concepts and collider paradigms, to name a few. We will illustrate this progress via a few examples and remark on the emergence of new collider scenarios where some of these progress might come to use—the Gamma-Gamma Collider, the Muon Collider, laser acceleration, etc. We will close with an outline of future opportunities and outlook.

  9. Laser power beaming applications and technology

    Science.gov (United States)

    Burke, Robert J.; Cover, Ralph A.; Curtin, Mark S.; Dinius, R.; Lampel, Michael C.

    1994-05-01

    Beaming laser energy to spacecraft has important economic potential. It promises significant reduction in the cost of access to space, for commercial and government missions. While the potential payoff is attractive, existing technologies perform the same missions and the keys to market penetration for power beaming are a competitive cost and a schedule consistent with customers' plans. Rocketdyne is considering these questions in the context of a commercial enterprise -- thus, evaluation of the requirements must be done based on market assessments and recognition that significant private funding will be involved. It is in the context of top level business considerations that the technology requirements are being assessed and the program being designed. These considerations result in the essential elements of the development program. Since the free electron laser is regarded as the `long pole in the tent,' this paper summarizes Rocketdyne's approach for a timely, cost-effective program to demonstrate an FEL capable of supporting an initial operating capability.

  10. Potential applications of fusion neutral beam facilities for advanced material processing

    International Nuclear Information System (INIS)

    Williams, J.M.; Tsai, C.C.; Stirling, W.L.; Whealton, J.H.

    1994-01-01

    Surface processing techniques involving high energy ion implantation have achieved commercial success for semiconductors and biomaterials. However, wider use has been limited in good part by economic factors, some of which are related to the line-of-sight nature of the beam implantation process. Plasma source ion implantation is intended to remove some of the limitations imposed by directionality of beam systems and also to help provide economies of scale. The present paper will outline relevant technologies and areas of expertise that exist at Oak Ridge National Laboratory in relation to possible future needs in materials processing. Experience in generation of plasmas, control of ionization states, pulsed extraction, and sheath physics exists. Contributions to future technology can be made either for the immersion mode or for the extracted beam mode. Existing facilities include the High Power Test Facility, which could conservatively operate at 1 A of continuous current at 100 kV delivered to areas of about 1 m 2 . Higher instantaneous voltages and currents are available with a reduced duty cycle. Another facility, the High Heat Flux Facility can supply a maximum of 60 kV and currents of up to 60 A for 2 s on a 10% duty cycle. Plasmas may be generated by use of microwaves, radio-frequency induction or other methods and plasma properties may be tailored to suit specific needs. In addition to ion implantation of large steel components, foreseeable applications include ion implantation of polymers, ion implantation of Ti alloys, Al alloys, or other reactive surfaces

  11. Expectations for prospective applications of new beam technology to atomic energy research

    International Nuclear Information System (INIS)

    Tomimasu, Takio; Yamazaki, Tetsuo; Tanaka, Ryuichi; Tanigawa, Shoichiro; Konashi, Kenji; Mizumoti, Motoharu.

    1991-01-01

    Recently, the new beam technology based on high energy electron beam, for example free electron laser, low speed positrons and so on, has developed remarkably. Moreover, also in the field of ion beams, toward the utilization of further high level, the plans of using micro-beams, heightening energy, increasing electric current and so on are in progress. In near future, it is expected that the advanced application of such new beam technology expands more and more in the fields of materials, physical properties, isotope separation, biology, medical science, medical treatment and so on. In this report, placing emphasis on the examples of application, the development and application of new beam technology are described. Takasaki ion accelerators for advanced radiation application in Japan Atomic Energy Research Institute, the generation of low speed positrons and the utilization for physical property studies, the annihilation treatment of long life radioactive nuclides, and the generation of free electron laser and its application are reported. (K.I.)

  12. Low energy electron beam processing in Europe at the end of the 20th century

    International Nuclear Information System (INIS)

    Lauppi, U.V.

    1999-01-01

    Overview of low energy electron beam processing in Europe was presented. The presentation contained the following topics: the early installations, years of growth, stagnation, status 1999 and the future of this technology

  13. Electron beam technology as a new industrial processing tool in Malaysia

    International Nuclear Information System (INIS)

    Zaman, K.

    1996-01-01

    Electron beam cross-linked products such as heat resistant automobile and home appliance wires, heat shrinkable tubes, sleeves, end caps for power and electronic industries, plastic packaging and semiconductors are commercially available in Malaysia and most of them are imported products. However, recently there are three newly established in-house industrial electron beam accelerators, in operation in Malaysia for cross-linking of home appliance wires and plastic packaging. Another electron beam accelerator of 3.0 MV, 90 kW is stationed in MINT which is used for research as well as for irradiation services. Research on electron beam cross-linking of natural polymer is one of the main subjects of interest. (author)

  14. Electron beam processing of polymers

    International Nuclear Information System (INIS)

    Silva, Leonardo G. Andrade e; Dias, Djalma B.; Calvo, Wilson A.P.; Miranda, Leila F. de

    2011-01-01

    The aim of this work is the use of electron beam produced by industrial electron accelerators to process polymers. There are several applications, such as, irradiation of wires and electric cables for automotive, aerospace, household appliance, naval and computing industries. The effect of different radiation doses in low density polyethylene (LDPE) was also studied. After irradiation and crosslinking it was thermally expanded forming LDPE foam. In addition, poly(N-vinyl-2-pyrrolidone) (PVP) hydrogels using electron beam processing were prepared. In all cases studied crosslinking percentages of the samples were determined. (author)

  15. Processing of food and agricultural commodities with electron beam from microtron

    International Nuclear Information System (INIS)

    Sharma, Arun; Behere, Arun; Jadhav, S.S.; Bongirwar, D.R.; Kaul, Ahinsa; Soni, H.C.; Ganesh, S.

    2001-01-01

    A microtron machine source installed by the Centre for Advanced Technology (CAT), Indore, at Mangalore University, was used in the study. The machine was operated at a beam power of 1.8 W, beam energy of 8.6 MeV, and a beam current of 20 mA. After initial standardization, the irradiation of commodities was carried out. The doses employed were 0.06 kGy for onion, 0.10 kGy for potato, 0.25 kGy for rawa, and 8 kGy for spices. The desired dose was delivered by exposing the samples from the two opposite sides of the box. The microbial load in spice samples was determined immediately after the experiment, as well as after six months of storage at the ambient temperature (26±2 degC). Onion and potato samples were stored for a six months period both at ambient temperature and 15 degC for observing the effect of electron beam irradiation on sprouting in these commodities. Rawa samples were stored at ambient temperature for observing the effect of electron beam irradiation on insect disinfestation. The electron beam irradiation at the recommended doses was found to be as effective as gamma radiation in bringing down the microbial load of the tested spices to the desired level, disinfestations of rawa, and inhibition of sprouting in onion. In the case of potato even four-side irradiation of the product box did not inhibit the sprouting completely. This indicated the necessity of standardization of machine parameters for uniform dose distribution in the product box for each commodity. These lab-scale studies showed that electron beam could in principle be used for processing of various food products after standardizing the machine parameters and ensuring uniform dose distribution in the product. Use of this technology on commercial scale would need standardization on larger machines

  16. FY 2000 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. Development of high-efficiency production process technologies; 2000 nendo photon keisoku kako gijutsu seika hokokusho. Kokoritsu seisan process gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of the photon-aided instrumentation and processing technologies, aimed at improving efficiency of the production processes which have been massively consuming energy, e.g., those for welding, joining, surface treatment and granulation for producing fine particles. The program for production of the functional composite compounds by the microscopic processing technologies prepares the electrically resistant films and dielectric films by in-situ mixing two types of the ultrafine particles. The program for the in-situ measuring technology is aimed at measuring contents of the constituent components of fine particles, 30nm or less in size, to an accuracy of 10% by the emission spectroscopy, after making them plasmatic. The program for the high-power, all-solid-state laser technology is developing the excited chamber for the high-power, all-solid-state slab type laser, in order to realize the energy-efficient laser-aided processing. The program for the tightly-focusing, all-solid-state laser technology develops the highly uniform crystals by growing the GLBO crystals for producing the high-power ultraviolet laser beams, is developing the techniques for production of the wavelength converting elements, including the GLBO crystal package, and develops the wavelength conversion method by the fourth-harmonic generation with the all-solid-state laser beams as the fundamental wave, realizing the high harmonic power of 23W, for generating the high-power ultraviolet laser beams at a high efficiency. (NEDO)

  17. METI/NEDO Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Matsuo, Jiro; Toyoda, Noriaki

    2003-01-01

    Since the initial study of gas cluster ion beams (GCIB) was started in the Ion Beam Engineering Experimental Laboratory of Kyoto University, more than 15 years have passed. Some of the results of that study have already been applied for industrial use. Unique characteristics of gas cluster ion bombardment have been found to offer potential for various other industrial applications. The impact of an accelerated cluster ion upon a target surface imparts very high energy densities into the impact area and produces non-linear effects that are not associated with the impacts of atomic ions. Among prospective applications for these effects are included shallow ion implantation, high rate sputtering, surface cleaning and smoothing, and low temperature thin film formation

  18. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  19. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  20. Electron beam accelerators—trends in radiation processing technology for industrial and environmental applications in Latin America and the Caribbean

    Science.gov (United States)

    Parejo Calvo, Wilson A.; Duarte, Celina L.; Machado, Luci Diva B.; Manzoli, Jose E.; Geraldo, Aurea Beatriz C.; Kodama, Yasko; Silva, Leonardo Gondim A.; Pino, Eddy S.; Somessari, Elizabeth S. R.; Silveira, Carlos G.; Rela, Paulo R.

    2012-08-01

    The radiation processing technology for industrial and environmental applications has been developed and used worldwide. In Latin America and the Caribbean and particularly in Brazil there are 24 and 16 industrial electron beam accelerators (EBA) respectively with energy from 200 keV to 10 MeV, operating in private companies and governmental institutions to enhance the physical and chemical properties of materials. However, there are more than 1500 high-current electron beam accelerators in commercial use throughout the world. The major needs and end-use markets for these electron beam (EB) units are R and D, wire and electric cables, heat shrinkable tubes and films, PE foams, tires, components, semiconductors and multilayer packaging films. Nowadays, the emerging opportunities in Latin America and the Caribbean are paints, adhesives and coatings cure in order to eliminate VOCs and for less energy use than thermal process; disinfestations of seeds; and films and multilayer packaging irradiation. For low-energy EBA (from 150 keV to 300 keV). For mid-energy EBA (from 300 keV to 5 MeV), they are flue gas treatment (SO2 and NOX removal); composite and nanocomposite materials; biodegradable composites based on biorenewable resources; human tissue sterilization; carbon and silicon carbide fibers irradiation; irradiated grafting ion-exchange membranes for fuel cells application; electrocatalysts nanoparticles production; and natural polymers irradiation and biodegradable blends production. For high-energy EBA (from 5 MeV to 10 MeV), they are sterilization of medical, pharmaceutical and biological products; gemstone enhancement; treatment of industrial and domestic effluents and sludge; preservation and disinfestations of foods and agricultural products; soil disinfestations; lignocellulosic material irradiation as a pretreatment to produce ethanol biofuel; decontamination of pesticide packing; solid residues remediation; organic compounds removal from wastewater; and

  1. Electron beam accelerators—trends in radiation processing technology for industrial and environmental applications in Latin America and the Caribbean

    International Nuclear Information System (INIS)

    Parejo Calvo, Wilson A.; Duarte, Celina L.; Machado, Luci Diva B.; Manzoli, Jose E.; Geraldo, Aurea Beatriz C.; Kodama, Yasko; Silva, Leonardo Gondim A.; Pino, Eddy S.; Somessari, Elizabeth S.R.; Silveira, Carlos G.

    2012-01-01

    The radiation processing technology for industrial and environmental applications has been developed and used worldwide. In Latin America and the Caribbean and particularly in Brazil there are 24 and 16 industrial electron beam accelerators (EBA) respectively with energy from 200 keV to 10 MeV, operating in private companies and governmental institutions to enhance the physical and chemical properties of materials. However, there are more than 1500 high-current electron beam accelerators in commercial use throughout the world. The major needs and end-use markets for these electron beam (EB) units are R and D, wire and electric cables, heat shrinkable tubes and films, PE foams, tires, components, semiconductors and multilayer packaging films. Nowadays, the emerging opportunities in Latin America and the Caribbean are paints, adhesives and coatings cure in order to eliminate VOCs and for less energy use than thermal process; disinfestations of seeds; and films and multilayer packaging irradiation. For low-energy EBA (from 150 keV to 300 keV). For mid-energy EBA (from 300 keV to 5 MeV), they are flue gas treatment (SO 2 and NO X removal); composite and nanocomposite materials; biodegradable composites based on biorenewable resources; human tissue sterilization; carbon and silicon carbide fibers irradiation; irradiated grafting ion-exchange membranes for fuel cells application; electrocatalysts nanoparticles production; and natural polymers irradiation and biodegradable blends production. For high-energy EBA (from 5 MeV to 10 MeV), they are sterilization of medical, pharmaceutical and biological products; gemstone enhancement; treatment of industrial and domestic effluents and sludge; preservation and disinfestations of foods and agricultural products; soil disinfestations; lignocellulosic material irradiation as a pretreatment to produce ethanol biofuel; decontamination of pesticide packing; solid residues remediation; organic compounds removal from wastewater; and

  2. Plasma ion sources and ion beam technology in microfabrications

    International Nuclear Information System (INIS)

    Ji, Lili

    2007-01-01

    For over decades, focused ion beam (FIB) has been playing a very important role in microscale technology and research, among which, semiconductor microfabrication is one of its biggest application area. As the dimensions of IC devices are scaled down, it has shown the need for new ion beam tools and new approaches to the fabrication of small-scale devices. In the meanwhile, nanotechnology has also deeply involved in material science research and bioresearch in recent years. The conventional FIB systems which utilize liquid gallium ion sources to achieve nanometer scale resolution can no longer meet the various requirements raised from such a wide application area such as low contamination, high throughput and so on. The drive towards controlling materials properties at nanometer length scales relies on the availability of efficient tools. In this thesis, three novel ion beam tools have been developed and investigated as the alternatives for the conventional FIB systems in some particular applications. An integrated focused ion beam (FIB) and scanning electron microscope (SEM) system has been developed for direct doping or surface modification. This new instrument employs a mini-RF driven plasma source to generate focused ion beam with various ion species, a FEI two-lens electron (2LE) column for SEM imaging, and a five-axis manipulator system for sample positioning. An all-electrostatic two-lens column has been designed to focus the ion beam extracted from the source. Based on the Munro ion optics simulation, beam spot sizes as small as 100 nm can be achieved at beam energies between 5 to 35 keV if a 5 (micro)m-diameter extraction aperture is used. Smaller beam spot sizes can be obtained with smaller apertures at sacrifice of some beam current. The FEI 2LE column, which utilizes Schottky emission, electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance

  3. Materials processing with superposed Bessel beams

    Science.gov (United States)

    Yu, Xiaoming; Trallero-Herrero, Carlos A.; Lei, Shuting

    2016-01-01

    We report experimental results of femtosecond laser processing on the surface of glass and metal thin film using superposed Bessel beams. These beams are generated by a combination of a spatial light modulator (SLM) and an axicon with >50% efficiency, and they possess the long depth-of-focus (propagation-invariant) property as found in ordinary Bessel beams. Through micromachining experiments using femtosecond laser pulses, we show that multiple craters can be fabricated on glass with single-shot exposure, and the 1+(⿿1) superposed beam can reduce collateral damage caused by the rings in zero-order Bessel beams in the scribing of metal thin film.

  4. Integrated control system for electron beam processes

    Science.gov (United States)

    Koleva, L.; Koleva, E.; Batchkova, I.; Mladenov, G.

    2018-03-01

    The ISO/IEC 62264 standard is widely used for integration of the business systems of a manufacturer with the corresponding manufacturing control systems based on hierarchical equipment models, functional data and manufacturing operations activity models. In order to achieve the integration of control systems, formal object communication models must be developed, together with manufacturing operations activity models, which coordinate the integration between different levels of control. In this article, the development of integrated control system for electron beam welding process is presented as part of a fully integrated control system of an electron beam plant, including also other additional processes: surface modification, electron beam evaporation, selective melting and electron beam diagnostics.

  5. Electron beam processing of carbon fibre reinforced braided composites beams

    International Nuclear Information System (INIS)

    Halasz, L.; Zsigmond, B.; Czvikovszky, T.

    2002-01-01

    Complete text of publication follows. In this paper the possibility of producing a new type carbon fiber reinforced composite is examined by applying braiding, a well-known process of textile technology. The appearance of the new Hungarian carbon fiber with excellent mechanical properties in the market enables the development of newer type carbon fiber reinforced composites in the continuously widening range of engineering applications. Advanced hollow profiles, pipes and other composite products can be manufactured in continuous operation. A new way of composite production of this kind is the manufacturing of reinforcing structure by braiding technology producing a composite with sufficient mechanical properties from this cross directional fabric-like textile structure by impregnation. This manufacturing process can complete the variety of hollow products serving the same purpose as pultrusion or filament winding. This way a profile type framework element with a hollow cross section is manufactured having favorable mechanical properties. Owing to its small mass and high specific strength this product can be applied in dynamically loaded structures e.g. in the automotive industry. For crosslinking of the matrix the method of high-speed electron beam curing has been examined in order to reach continuous operation. The field of use and application of carbon fiber braided structures has a great chance especially in machine engineering and in the automotive industry. The main reason for this is that braiding processes are capable of producing structures having good mechanical properties at a low processing price. The mass of the composite load-bearing structure produced this way is one fifth of the steel product having similar geometry, and its specific mechanical properties are nearly as good as that of the most commonly applied semiproduct and structural component, the welded steel profile

  6. Study of device mass production capability of the character projection based electron beam direct writing process technology toward 14 nm node and beyond

    Science.gov (United States)

    Kojima, Yoshinori; Takahashi, Yasushi; Takakuwa, Masaki; Ohshio, Shuzo; Sugatani, Shinji; Tujimura, Ryo; Takita, Hiroshi; Ogino, Kozo; Hoshino, Hiromi; Ito, Yoshio; Miyajima, Masaaki; Kon, Jun-ichi

    2012-03-01

    Techniques to appropriately control the key factors for a character projection (CP) based electron beam direct writing (EBDW) technology for mass production are shown and discussed. In order to achieve accurate CD control, the CP technique using the master CP is adopted. Another CP technique, the Packed CP, is used to obtain suitable shot count. For the alignment on the some critical layers which have the normally an even surface, the alignment methodology differ from photolithography is required. The process that etches the SiO2 material in the shallow trench isolation is added and then the alignment marks can be detected using electron beam even at the gate layer, which is normally on an even surface. The proximity effect correction using the simplified electron energy flux model and the hybrid exposure are used to obtain enough process margins. As a result, the sufficient CD accuracy, overlay accuracy, and yield are obtained on the 65 nm node device. The condition in our system is checked using self-diagnosis on a regular basis, and scheduled maintenances have been properly performed. Due to the proper system control, more than 10,000 production wafers have been successfully exposed so far without any major system downtime. It is shown that those techniques can be adapted to the 32 nm node production with slight modifications. For the 14 nm node and beyond, however, the drastic increment of the shot count becomes more of a concern. The Multi column cell (MCC) exposure method, the key concept of which is the parallelization of the electron beam columns with a CP, can overcome this concern. It is expected that by using the MCC exposure system, those techniques will be applicable to the rapid establishment for the 14 nm node technology.

  7. A three-dimensional laser vibration measurement technology realized on five laser beam and its calibration

    Science.gov (United States)

    Li, Lu-Ke; Zhang, Shen-Feng

    2018-03-01

    Put forward a kind of three-dimensional vibration information technology of vibrating object by the mean of five laser beam of He-Ne laser, and with the help of three-way sensor, measure the three-dimensional laser vibration developed by above mentioned technology. The technology based on the Doppler principle of interference and signal demodulation technology, get the vibration information of the object, through the algorithm processing, extract the three-dimensional vibration information of space objects, and can achieve the function of angle calibration of five beam in the space, which avoid the effects of the mechanical installation error, greatly improve the accuracy of measurement. With the help of a & B K4527 contact three axis sensor, measure and calibrate three-dimensional laser vibrometer, which ensure the accuracy of the measurement data. Summarize the advantages and disadvantages of contact and non-contact sensor, and analysis the future development trends of the sensor industry.

  8. ICFA Beam Dynamics Newsletter

    Energy Technology Data Exchange (ETDEWEB)

    Pikin, A. [Brookhaven National Lab. (BNL), Upton, NY (United States)

    2017-11-21

    Electron beam ion sources technology made significant progress since 1968 when this method of producing highly charged ions in a potential trap within electron beam was proposed by E. Donets. Better understanding of physical processes in EBIS, technological advances and better simulation tools determined significant progress in key EBIS parameters: electron beam current and current density, ion trap capacity, attainable charge states. Greatly increased the scope of EBIS and EBIT applications. An attempt is made to compile some of EBIS engineering problems and solutions and to demonstrate a present stage of understanding the processes and approaches to build a better EBIS.

  9. Materials processing with superposed Bessel beams

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Xiaoming [Department of Industrial and Manufacturing Systems Engineering, Kansas State University, Manhattan, KS 66506 (United States); Trallero-Herrero, Carlos A. [J. R. Macdonald Laboratory, Department of Physics, Kansas State University, Manhattan, KS 66506 (United States); Lei, Shuting, E-mail: lei@ksu.edu [Department of Industrial and Manufacturing Systems Engineering, Kansas State University, Manhattan, KS 66506 (United States)

    2016-01-01

    Graphical abstract: - Highlights: • Superpositions of Bessel beams can be generated with >50% efficiency using an SLM and an axicon. • These beams have orders-of-magnitude increase in depth-of-focus compared to Gaussian beams. • Multiple craters can be fabricated on glass with single-shot exposure. • The 1+(−1) superposition can reduce collateral damage caused by the rings in the zero-order Bessel beams. - Abstract: We report experimental results of femtosecond laser processing on the surface of glass and metal thin film using superposed Bessel beams. These beams are generated by a combination of a spatial light modulator (SLM) and an axicon with >50% efficiency, and they possess the long depth-of-focus (propagation-invariant) property as found in ordinary Bessel beams. Through micromachining experiments using femtosecond laser pulses, we show that multiple craters can be fabricated on glass with single-shot exposure, and the 1+(−1) superposed beam can reduce collateral damage caused by the rings in zero-order Bessel beams in the scribing of metal thin film.

  10. Processing of food and agricultural commodities with electron beam from microtron

    International Nuclear Information System (INIS)

    Sharma, Arun; Behere, Arun; Jadhav, S.S.; Bongirwar, D.R.; Kaul, Ahinsa; Soni, H.C.; Ganesh, S.

    2001-01-01

    A microtron machine source installed by the Centre for Advanced Technology (CAT), Indore, at Mangalore University, was used to study effects of irradiation on onion, potato, rava, and spices. The microbial load in spice samples was determined immediately after the experiment, as well as after six months of storage at the ambient temperature (26±2 deg C). Onion and potato samples were stored for a six months period both at ambient temperature and 15 deg C for observing the effect of electron beam irradiation on sprouting in these commodities. Rawa samples were stored at ambient temperature for observing the effect of electron beam irradiation on insect disinfestation. The results are discussed in detail in this paper. These lab-scale studies showed that electron beam could in principle be used for processing of various food products after standardizing the machine parameters and ensuring uniform dose distribution in the product. (author)

  11. Technology choices for the Integrated Beam Experiment (IBX)

    Energy Technology Data Exchange (ETDEWEB)

    Leitner, M.A.; Celata, C.M.; Lee, E.P.; Sabbi, G.; Waldron, W.L.; Barnard, J.J.

    2002-10-31

    Over the next three years the research program of the Heavy Ion Fusion Virtual National Laboratory (HIF-VNL), a collaboration among LBNL, LLNL, and PPPL, is focused on separate scientific experiments in the injection, transport and focusing of intense heavy ion beams at currents from 100 mA to 1 A. As a next major step in the HIF-VNL program, we aim for a complete ''source-to-target'' experiment, the Integrated Beam Experiment (IBX). By combining the experience gained in the current separate beam experiments IBX would allow the integrated scientific study of the evolution of a single heavy ion beam at high current ({approx}1 A) through all sections of a possible heavy ion fusion accelerator: the injection, acceleration, compression, and beam focusing. This paper describes the main parameters and technology choices of the planned IBX experiment. IBX will accelerate singly charged potassium or argon ion beams up to 10 MeV final energy and a longitudinal beam compression ratio of 10, resulting in a beam current at target of more than 10 Amperes. Different accelerator cell design options are described in detail: Induction cores incorporating either room temperature pulsed focusing-magnets or superconducting magnets.

  12. A multi-component evaporation model for beam melting processes

    Science.gov (United States)

    Klassen, Alexander; Forster, Vera E.; Körner, Carolin

    2017-02-01

    In additive manufacturing using laser or electron beam melting technologies, evaporation losses and changes in chemical composition are known issues when processing alloys with volatile elements. In this paper, a recently described numerical model based on a two-dimensional free surface lattice Boltzmann method is further developed to incorporate the effects of multi-component evaporation. The model takes into account the local melt pool composition during heating and fusion of metal powder. For validation, the titanium alloy Ti-6Al-4V is melted by selective electron beam melting and analysed using mass loss measurements and high-resolution microprobe imaging. Numerically determined evaporation losses and spatial distributions of aluminium compare well with experimental data. Predictions of the melt pool formation in bulk samples provide insight into the competition between the loss of volatile alloying elements from the irradiated surface and their advective redistribution within the molten region.

  13. The dose distribution determination in two kinds of polyethylene materials irradiated by electron beams-an experimental method for optimizing technology of radiation processing

    International Nuclear Information System (INIS)

    Zhang Daming

    2000-01-01

    The dose distribution in two kinds of polyethylene materials were determined by use of electron beam from 1.0-3.0 MeV electron accelerator. The effects of four different metal base-plate such as Al, Fe, Cu and Pb for dose depth distribution in materials were compared. And the boundary effects of absorbed dose were also observed. The expand uncertainty of absorbed dose measurement was 7.8%. This work is a useful experimental method for optimizing technology of radiation processing and realizing quality control of irradiation products

  14. History and status of beamed power technology and applications at 2.45 Gigahertz

    Science.gov (United States)

    Brown, William C.

    1989-01-01

    Various applications of beamed power technology are discussed. An experimental microwave powered helicopter, rectenna technology, the use of the Solar Power Satellite to beam energy to Earth via microwaves, the use of cyclotron resonance devices, microwave powered airships, and electric propulsion are discussed.

  15. Ion beam processing of advanced electronic materials

    International Nuclear Information System (INIS)

    Cheung, N.W.; Marwick, A.D.; Roberto, J.B.

    1989-01-01

    This report contains research programs discussed at the materials research society symposia on ion beam processing of advanced electronic materials. Major topics include: shallow implantation and solid-phase epitaxy; damage effects; focused ion beams; MeV implantation; high-dose implantation; implantation in III-V materials and multilayers; and implantation in electronic materials. Individual projects are processed separately for the data bases

  16. Flue gas cleaning by electron beam technology in 21st

    International Nuclear Information System (INIS)

    Xu Guang; Luo Jingyu; Zhang Ming

    2005-01-01

    China is paying great attention to the pollution caused by flue gases including sulfur oxides, nitrogen oxides, fine particles, and volatile organic compounds (VOC) for the environmental protection and sustainable development of China economy for 21st century. Among several promising processes, applicable to industrial scale, the electron beam (EB) scrubbing process can simultaneously remove SO 2 , NOx, PM-10 (particulate matter 10 μm or less in diameter), VOC and CO 2 from the flue gas is a new high technology combined with radiation chemistry and electron accelerator technique. The EB flue gas purification process consists of the producing ionization in the EB irradiated gases followed by the formation of free radicals and active species which ultimately forming foggy sulfur acid and nitrate acid. These acids react further with added ammonia to form ammonium sulfate and nitrates as by-products, which can be fertilizer usable in agriculture. The next stage for this technology is its optimization for the reduction of electricity energy consumption and an effective collection of by-products. Lastly the investment cost for EB method is shown to be the most economic compared with other competing methods. (S. Ohno)

  17. Recent developments in electron beam machine technology

    International Nuclear Information System (INIS)

    Sadat, T.; Ross, A.; Leveziel, H.

    1994-01-01

    Electron beam accelerator provides ionisation energy for industrial processing. Electron beam accelerators are increasingly used for decontamination, conservation and disinfestation of food, for sterilization of medical products, and for polymerisation of materials. These machines are easy to install into a production factory as the radiation stops as soon as the machine is switched off. This safety advantage, together with the flexibility of use of these highly automated machines, has allowed the electron beam accelerator to become an important production tool. (author). 23 refs., 6 figs., 2 tabs

  18. Process variation in electron beam sterilization

    International Nuclear Information System (INIS)

    Beck, Jeffrey A.

    2012-01-01

    The qualification and control of electron beam sterilization can be improved by the application of proven statistical analysis techniques such as Analysis of Variance (ANOVA) and Statistical Tolerance Limits. These statistical techniques can be useful tools in: •Locating and quantifying the minimum and maximum absorbed dose in a product. •Estimating the expected process maximum dose, given a minimum sterilizing dose. •Setting a process minimum dose target, based on an allowance for random measurement and process variation. •Determining the dose relationship between a reference dosimeter and process minimum and maximum doses. This study investigates and demonstrates the application of these tools in qualifying electron beam sterilization, and compares the conclusions obtained with those obtained using practices recommended in Guide for Process Control in Radiation Sterilization. The study supports the following conclusions for electron beam processes: 1.ANOVA is a more effective tool for evaluating the equivalency of absorbed doses than methods suggested in . 2.Process limits computed using statistical tolerance limits more accurately reflect actual process variability than the AAMI method, which applies +/−2 sample standard deviations (s) regardless of sample size. 3.The use of reference dose ratios lends itself to qualification using statistical tolerance limits. The current AAMI recommended approach may result in an overly optimistic estimate of the reference dose adjustment factor, as it is based on application of +/−2(s) tolerances regardless of sample size.

  19. Structural testing of the technology integration box beam

    Science.gov (United States)

    Griffin, C. F.

    1992-01-01

    A full-scale section of a transport aircraft wing box was designed, analyzed, fabricated, and tested. The wing box section, which was called the technology integration box beam, contained blade stiffened covers and T-stiffened channel spars constructed using graphite/epoxy materials. Covers, spars, and the aluminum ribs were assembled using mechanical fasteners. The box beam was statically tested for several loading conditions to verify the stiffness and strength characteristics of the composite wing design. Failure of the box beam occurred at 125 percent of design limit load during the combined upbending and torsion ultimate design load test. It appears that the failure initiated at a stiffener runout location in the upper cover which resulted in rupture of the upper cover and portions of both spars.

  20. Cure Behavior and Thermal Properties of Diepoxidized Cardanol Resin Cured by Electron Beam Process

    International Nuclear Information System (INIS)

    Cho, Donghwan; Cheon, Jinsil

    2013-01-01

    Thermal curing of epoxy resin requires high temperature, time-consuming process and the volatilization of hardener. It has known that electron beam curing of epoxy resin is a fast process and occurs at low or room temperature that help reduce residual mechanical stresses in thermosetting polymers. Diepoxidized cardanol (DEC) can be synthesized by an enzymatic method from cashew nut shell liquid (CNSL), that constitutes nearly one-third of the total nut weight. A large amount of CNSL can be formed as a byproduct of the mechanical processes used to render the cashew kerneledible and its total production approaches one million tons annually, which can be bio-degradable and replace the industrial thermosetting plastics. It is expected that DEC may be cured as in an epoxy resin, which was constituted on two epoxide group and long alkyl chain, and two-types of onium salts (cationic initiator) were used as a photo-initiator. The experimental variables of this study are type and concentration of photo-initiators and electron beam dosage. In this study, the effects of initiator type and concentration on the cure behavior and the thermal properties of DEC resin processed by using electron beam technology were studied using FT-IR, TGA, TMA, DSC, and DMA. Figure 1 is the FT-IR results, showing the change of chemical structure of pure DEC and electron beam cured DEC. The characteristic absorption peak of epoxide group appeared at 850cm -1 . The shape and the height were reduced when the sample was irradiated with electron beam. From this result, the epoxide groups is DEC were opened by electron beam and cured. After then, electron beam cured DEC was investigated the effect of forming 3-dimensional network

  1. Cure Behavior and Thermal Properties of Diepoxidized Cardanol Resin Cured by Electron Beam Process

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Donghwan; Cheon, Jinsil [Kumoh National Institute of Technology, Gumi (Korea, Republic of)

    2013-07-01

    Thermal curing of epoxy resin requires high temperature, time-consuming process and the volatilization of hardener. It has known that electron beam curing of epoxy resin is a fast process and occurs at low or room temperature that help reduce residual mechanical stresses in thermosetting polymers. Diepoxidized cardanol (DEC) can be synthesized by an enzymatic method from cashew nut shell liquid (CNSL), that constitutes nearly one-third of the total nut weight. A large amount of CNSL can be formed as a byproduct of the mechanical processes used to render the cashew kerneledible and its total production approaches one million tons annually, which can be bio-degradable and replace the industrial thermosetting plastics. It is expected that DEC may be cured as in an epoxy resin, which was constituted on two epoxide group and long alkyl chain, and two-types of onium salts (cationic initiator) were used as a photo-initiator. The experimental variables of this study are type and concentration of photo-initiators and electron beam dosage. In this study, the effects of initiator type and concentration on the cure behavior and the thermal properties of DEC resin processed by using electron beam technology were studied using FT-IR, TGA, TMA, DSC, and DMA. Figure 1 is the FT-IR results, showing the change of chemical structure of pure DEC and electron beam cured DEC. The characteristic absorption peak of epoxide group appeared at 850cm{sup -1}. The shape and the height were reduced when the sample was irradiated with electron beam. From this result, the epoxide groups is DEC were opened by electron beam and cured. After then, electron beam cured DEC was investigated the effect of forming 3-dimensional network.

  2. Molecular-beam studies of primary photochemical processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Y.T.

    1982-12-01

    Application of the method of molecular-beam photofragmentation translational spectroscopy to the investigation of primary photochemical processes of polyatomic molecules is described. Examples will be given to illustrate how information concerning the energetics, dynamics, and mechanism of dissociation processes can be obtained from the precise measurements of angular and velocity distributions of products in an experiment in which a well-defined beam of molecules is crossed with a laser.

  3. Molecular-beam studies of primary photochemical processes

    International Nuclear Information System (INIS)

    Lee, Y.T.

    1982-12-01

    Application of the method of molecular-beam photofragmentation translational spectroscopy to the investigation of primary photochemical processes of polyatomic molecules is described. Examples will be given to illustrate how information concerning the energetics, dynamics, and mechanism of dissociation processes can be obtained from the precise measurements of angular and velocity distributions of products in an experiment in which a well-defined beam of molecules is crossed with a laser

  4. Photon technology. Laser processing technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    Survey has been conducted to develop laser processing technology utilizing the interaction between substance and photon. This is a part of the leading research on photon technology development. The photon technology development is aimed at novel technology development highly utilizing the quantum nature of photons. In the field of laser processing, high quality photons are used as tools, special functions of atoms and molecules will be discovered, and processing for functional fabrication (photon machining) will be established. A role of laser processing in industries has become significant, which is currently spreading not only into cutting and welding of materials and scalpels but also into such a special field as ultrafine processing of materials. The spreading is sometimes obstructed due to the difficulty of procurement of suitable machines and materials, and the increase of cost. The purpose of this study is to develop the optimal laser technology, to elucidate the interaction between substance and photon, and to develop the laser system and the transmission and regulation systems which realize the optimal conditions. 387 refs., 115 figs., 25 tabs.

  5. Quantitative analysis of beam delivery parameters and treatment process time for proton beam therapy

    International Nuclear Information System (INIS)

    Suzuki, Kazumichi; Gillin, Michael T.; Sahoo, Narayan; Zhu, X. Ronald; Lee, Andrew K.; Lippy, Denise

    2011-01-01

    Purpose: To evaluate patient census, equipment clinical availability, maximum daily treatment capacity, use factor for major beam delivery parameters, and treatment process time for actual treatments delivered by proton therapy systems. Methods: The authors have been recording all beam delivery parameters, including delivered dose, energy, range, spread-out Bragg peak widths, gantry angles, and couch angles for every treatment field in an electronic medical record system. We analyzed delivery system downtimes that had been recorded for every equipment failure and associated incidents. These data were used to evaluate the use factor of beam delivery parameters, the size of the patient census, and the equipment clinical availability of the facility. The duration of each treatment session from patient walk-in and to patient walk-out of the treatment room was measured for 82 patients with cancers at various sites. Results: The yearly average equipment clinical availability in the last 3 yrs (June 2007-August 2010) was 97%, which exceeded the target of 95%. Approximately 2200 patients had been treated as of August 2010. The major disease sites were genitourinary (49%), thoracic (25%), central nervous system (22%), and gastrointestinal (2%). Beams have been delivered in approximately 8300 treatment fields. The use factor for six beam delivery parameters was also evaluated. Analysis of the treatment process times indicated that approximately 80% of this time was spent for patient and equipment setup. The other 20% was spent waiting for beam delivery and beam on. The total treatment process time can be expressed by a quadratic polynomial of the number of fields per session. The maximum daily treatment capacity of our facility using the current treatment processes was estimated to be 133 ± 35 patients. Conclusions: This analysis shows that the facility has operated at a high performance level and has treated a large number of patients with a variety of diseases. The use

  6. Electron beam welding: study of process capabilities and limitations towards development of nuclear components

    International Nuclear Information System (INIS)

    Vadolia, Gautam; Singh, Kongkham Premjit

    2015-01-01

    Electron beam (EB) welding technology is an established and widely adopted technique in nuclear research and development area. Electron Beam welding is thought of as a candidate process for ITER Vacuum Vessel Fabrication. Dhruva Reactor @ BARC, Mumbai and Niobium Superconducting accelerator Cavitity @ BARC has adopted the EB welding technique as a fabrication route. The highly concentrated energy input of the electron beam has added the advantages over the conventional welding as being less HAZ and provided smooth and clean surface. EB Welding has also been used for the joining of various reactive and refractory materials. EB system as heat source has also been used for vacuum brazing application. The Welding Institute (TWI) has demonstrated that EBW is potentially suitable to produce high integrity joints in 50 mm pure copper. TWI has also examined 150 kV Reduced Pressure Electron Beam (RPEB) gun in welding 140 mm and 147 mm thickness Nuclear Reactor Pressure Vessel Steel (SA 508 grade). EBW in 10 mm thick SS316 plates were studied at IPR and results were encouraging. In this paper, the pros and cons and role of electron beam process will be studied to analyze the importance of electron beam welding in nuclear components fabrication. Importance of establishing the high precision Wire Electro Discharge Machining (WEDM) facility will also be discussed. (author)

  7. Polyelectrolytes processing at pilot scale level by electron beam irradiation

    International Nuclear Information System (INIS)

    Martin, D.; Cirstea, E.; Craciun, G.; Ighigeanu, D.; Marin, Gheorghe G.

    2002-01-01

    conditions, is rather small, of about 1 kGy, that makes the use of electron beam processing very economically attractive in this type of application. Thus, if all auxiliary systems are made and suitable adapted, the estimation of processing rate is 3600 kg/h. The acrylamide - acrylic acid copolymers are used in the range of 4 to 8 g per 1 m 3 of wastewater. A vegetable oil plant which processes 100 000 ton/year of sunflower produces about 1 260 000 m 3 /year wastewater. The necessary amount of polyelectrolytes is 315-630 kg/year. This value can by ensured by our technology in a very short time, from 315 s to 630 s. (authors)

  8. Manufacturing prepainted steel sheet by electron beam curing

    International Nuclear Information System (INIS)

    Oka, Joji

    1987-01-01

    Several advantages are offered by electron beam curing. A formidably hard and stain resistant paint film which is difficult to obtain by heat curing paint is developed. As a result, a unique new prepainted steel is produced. Four technologies are involved: development high-quality paint, selection of optimum electron beam processor, technology to control electron beam processing atmosphere and secondary X-ray shield technology. These technologies are described in detail. (A.J.)

  9. Some novel concepts in radiation processing technology applications

    International Nuclear Information System (INIS)

    Varshney, Lalit

    2014-01-01

    Search for better materials and processes has been a part of the evolution of mankind and it still continues to be so as it is being realized that earth's resources are not everlasting and effect of rapid growth on environment may adversely affect the future development. Sustainable development is the only choice for today for long term survival. Better quality and high functional materials, made by superior technologies are being demanded by the society. Radiation processing technology has significantly contributed to meet the expectation of the people in providing superior products and processes while preserving the environment. Processes are being developed where resources are fully utilized with maximum advantages and little disturbance to the environment. More than 1500 electron beam accelerators and about 500 Gamma Irradiators are presently in use and many are being deployed for radiation processing of medical supplies, pharmaceuticals and herbal materials, treat effluents and preserve food and agricultural products and several industrial products. DAE has an ambitious plan to deploy radiation technology for societal benefits in India. In the presentations some interesting applications of Radiation Processing Technology will be discussed which includes (1) Radiation Processing of Cashew Apple fruit for bio-ethanol production (2) High Energy Battery separators (3) Plant Growth Promoters and (4) Tunable biodegradability. The discussion would reveal how a waste product like cashew apple can be converted to useful materials and advanced materials like HEB separators and Tunable Biodegradable films can be made using radiation technology. Use of radiation de-polymerized polysaccharides in some experiments have shown unexpected increase in agriculture output giving new concepts to increase the productivity. (author)

  10. Photon technology. Laser process technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    For developing laser process technology by interaction between substance and photon, the present state, system, R and D issues and proposal of such technology were summarized. Development of the photon technology aims at the modification of bonding conditions of substances by quantum energy of photon, and the new process technology for generating ultra- high temperature and pressure fields by concentrating photon on a minute region. Photon technology contributes to not only the conventional mechanical and thermal forming and removal machining but also function added machining (photon machining) in quantum level and new machining technology ranging from macro- to micro-machining, creating a new industrial field. This technology extends various fields from the basis of physics and chemistry to new bonding technology. Development of a compact high-quality high-power high-efficiency photon source, and advanced photon transmission technology are necessary. The basic explication of an unsolved physicochemical phenomenon related to photon and substance, and development of related application technologies are essential. 328 refs., 147 figs., 13 tabs.

  11. Potential ceramics processing applications with high-energy electron beams

    International Nuclear Information System (INIS)

    Struve, K.W.; Turman, B.N.

    1993-01-01

    High-energy, high-current electron beams may offer unique features for processing of ceramics that are not available with any other heat source. These include the capability to instantaneously heat to several centimeters in depth, to preferentially deposit energy in dense, high-z materials, to process at atmospheric pressures in air or other gases, to have large control over heating volume and heating rate, and to have efficient energy conversion. At a recent workshop organized by the authors to explore opportunities for electron beam processing of ceramics, several applications were identified for further development. These were ceramic joining, fabrication of ceramic powders, and surface processing of ceramics. It may be possible to join ceramics by either electron-beam brazing or welding. Brazing with refractory metals might also be feasible. The primary concern for brazing is whether the braze material can wet to the ceramic when rapidly heated by an electron beam. Raw ceramic powders, such as silicon nitride and aluminum nitride, which are difficult to produce by conventional techniques, could possibly be produced by vaporizing metals in a nitrogen atmosphere. Experiments need to be done to verify that the vaporized metal can fully react with the nitrogen. By adjusting beam parameters, high-energy beams can be used to remove surface flaws which are often sites of fracture initiation. They can also be used for surface cleaning. The advantage of electron beams rather than ion beams for this application is that the heat deposition can be graded into the material. The authors will discuss the capabilities of beams from existing machines for these applications and discuss planned experiments

  12. TECHNOLOGIES FOR DELIVERY OF PROTON AND ION BEAMS FOR RADIOTHERAPY

    CERN Document Server

    Owen, H; Alonso, J; Mackay, R

    2014-01-01

    Recent developments for the delivery of proton and ion beam therapy have been significant, and a number of technological solutions now exist for the creation and utilisation of these particles for the treatment of cancer. In this paper we review the historical development of particle accelerators used for external beam radiotherapy and discuss the more recent progress towards more capable and cost-effective sources of particles.

  13. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  14. Deterministic ion beam material adding technology for high-precision optical surfaces.

    Science.gov (United States)

    Liao, Wenlin; Dai, Yifan; Xie, Xuhui; Zhou, Lin

    2013-02-20

    Although ion beam figuring (IBF) provides a highly deterministic method for the precision figuring of optical components, several problems still need to be addressed, such as the limited correcting capability for mid-to-high spatial frequency surface errors and low machining efficiency for pit defects on surfaces. We propose a figuring method named deterministic ion beam material adding (IBA) technology to solve those problems in IBF. The current deterministic optical figuring mechanism, which is dedicated to removing local protuberances on optical surfaces, is enriched and developed by the IBA technology. Compared with IBF, this method can realize the uniform convergence of surface errors, where the particle transferring effect generated in the IBA process can effectively correct the mid-to-high spatial frequency errors. In addition, IBA can rapidly correct the pit defects on the surface and greatly improve the machining efficiency of the figuring process. The verification experiments are accomplished on our experimental installation to validate the feasibility of the IBA method. First, a fused silica sample with a rectangular pit defect is figured by using IBA. Through two iterations within only 47.5 min, this highly steep pit is effectively corrected, and the surface error is improved from the original 24.69 nm root mean square (RMS) to the final 3.68 nm RMS. Then another experiment is carried out to demonstrate the correcting capability of IBA for mid-to-high spatial frequency surface errors, and the final results indicate that the surface accuracy and surface quality can be simultaneously improved.

  15. Quantum-beam technology: A versatile tool for developing polymer electrolyte fuel-cell membranes

    Energy Technology Data Exchange (ETDEWEB)

    Yamaki, Tetsuya [Quantum Beam Science Directorate, Japan Atomic Energy Agency (JAEA), 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)

    2010-09-15

    This paper describes the versatile application of quantum beam-based technology to the development of proton exchange membranes (PEMs) for fuel-cell applications. The {gamma}-ray or electron-beam induced radiation grafting offers a way to prepare PEMs; typically, the radical-initiated polymerization of a styrene or styrene-derivative monomer on a base polymer is followed by a sulfonation step. Novel PEMs were previously obtained using radiation-crosslinked fluoropolymers as the base material. Interestingly, combining this radiation-crosslinking process with the well-known chemical crosslinker method enabled one to obtain the ''multiply''-crosslinked PEMs, in which both the main and grafted chains have covalently bridged structures leading to a high durability. The bombardment of heavy ions accelerated to MeV or higher energies produces a continuous trail of excited and ionized molecules in polymers, which is known as a latent track. The approach using this ion-track technology is based on the chemical etching and/or modification of each track with diameters of tens to hundreds of nanometers. The resulting ''nano-structure controlled'' PEM was found to have perfect one-dimensional proton-conductive pathways parallel to its thickness direction, while, in contrast, other existing PEMs mostly exhibited proton transport in the three-dimensional random media. The hierarchical structures of the PEMs, ranging from nanometers to micrometers, were revealed by small-angle neutron scattering experiments using a cold or thermal neutron beam. The information in such a wide length scale led to a deep insight into the dynamic properties inside the PEM from a molecular to macroscopic level, which can provide feedback for the reconsideration and optimization of the preparation procedure. As demonstrated above in the author's studies, it is important to understand that every quantum beam is different, thereby making the right beam choice

  16. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  17. DEMONSTRATION BULLETIN: HIGH VOLTAGE ELECTRON BEAM TECHNOLOGY - HIGH VOLTAGE ENVIRONMENTAL APPLICATIONS, INC.

    Science.gov (United States)

    The high energy electron beam irradiation technology is a low temperature method for destroying complex mixtures of hazardous organic chemicals in solutions containing solids. The system consists of a computer-automated, portable electron beam accelerator and a delivery system. T...

  18. Effects of parasitic beam-beam interaction during the injection process at the PEP-II B Factory

    International Nuclear Information System (INIS)

    Chin, Y.H.

    1992-06-01

    This paper is concerned with beam-beam effects during the injection process at the proposed asymmetric SLAC/LBL/LLNL B-Factory, PEP-II. It is shown that the parasitic beam-beam interaction can lead to a significant blowup in the vertical size of the injected beam. Simulation results for the horizontal and the vertical injection schemes are presented, and their performances are studied

  19. Purification and treatment of industrial wastewater by electron beam process: it's potential and effectiveness evaluation

    International Nuclear Information System (INIS)

    Zulkafli Ghazali; Khomsaton Abu Bakar; Ting Teo Ming; Siti Aiasah Hashim; Khairul Zaman Mohd Dahlan

    2002-01-01

    Demand for water has grown dramatically globally. We have seen how acute is the demand for treated water in Malaysia during dry spell of late. Between 1900 and 1995, water consumption increased by over six times, globally, more than double the rate of population growth. This rapid growth in water demand is due to the increasing reliance on irrigation to achieve food security, the growth of industries, and the increasing use for domestic purposes. Given the seriousness of the situation and future risk of crises, there is an urgent need to develop the water-efficient technologies including economical treatment methods of wastewater and polluted water. Electron beam treatment (E-Beam treatment) is a comparatively new method of wastewater purification. E-beam treatment is also an environment-friendly approach for the cleanup of contaminated groundwater and industrial wastewater. E-beam treatment treats multi-components waste streams and does not require any hazardous chemical additives nor does it create any secondary wastes. It uses fast formation of short-lived reactive particles, which are capable of efficient decomposition of pollutants inside wastewater. This paper highlights the practical treatment of wastewater using E-Beam method that gives essential conveniences and advantages of the followings: - strongest reducing and oxidizing agents; - universality and interchangeability of redox agents; - variety of paths for pollutant conversion; - process controllability; - wide choice of equipment and technological regimes; - compatibility with conventional methods. (Author)

  20. Electron beam processing system

    International Nuclear Information System (INIS)

    Kashiwagi, Masayuki

    2004-01-01

    Electron beam Processing Systems (EPS) are used as useful and powerful tools in many industrial application fields such as the production of cross-linked wire, rubber tire, heat shrinkable film and tubing, curing, degradation of polymers, sterilization and environmental application. In this paper, the feature and application fields, the selection of machine ratings and safety measures of EPS will be described. (author)

  1. Effect of electron beam radiation processing on mechanical and thermal properties of fully biodegradable crops straw/poly (vinyl alcohol) biocomposites

    Science.gov (United States)

    Guo, Dan

    2017-01-01

    Fully biodegradable biocomposites based on crops straw and poly(vinyl alcohol) was prepared through thermal processing, and the effect of electron beam radiation processing with N,N-methylene double acrylamide as radiation sensitizer on mechanical and thermal properties of the biocomposites were investigated. The results showed that, when the radiation dose were in the range of 0-50 kGy, the mechanical and thermal properties of the biocomposites could be improved significantly through the electron beam radiation processing, and the interface compatibility was also improved because of the formation of stable cross-linked network structure, when the radiation dose were above the optimal value (50 kGy), the comprehensive properties of the biocomposites were gradually destroyed. EB radiation processing could be used as an effective technology to improve the comprehensive performance of the biocomposites, and as a green and efficient processing technology, radiation processing takes place at room temperature, and no contamination and by-product are possible.

  2. Induction Accelerator Technology Choices for the Integrated Beam Experiment (IBX)

    International Nuclear Information System (INIS)

    Leitner, M.A.; Celata, C.M.; Lee, E.P.; Logan, B.G.; Sabbi, G.; Waldron, W.L.; Barnard, J.J.

    2003-01-01

    Over the next three years the research program of the Heavy Ion Fusion Virtual National Laboratory (HIF-VNL), a collaboration among LBNL, LLNL, and PPPL, is focused on separate scientific experiments in the injection, transport and focusing of intense heavy ion beams at currents from 100 mA to 1 A. As a next major step in the HIF-VNL program, we aim for a complete 'source-to-target' experiment, the Integrated Beam Experiment (IBX). By combining the experience gained in the current separate beam experiments IBX would allow the integrated scientific study of the evolution of a single heavy ion beam at high current (∼1 A) through all sections of a possible heavy ion fusion accelerator: the injection, acceleration, compression, and beam focusing.This paper describes the main parameters and technology choices of the planned IBX experiment. IBX will accelerate singly charged potassium or argon ion beams up to 10 MeV final energy and a longitudinal beam compression ratio of 10, resulting in a beam current at target of more than 10 Amperes. Different accelerator cell design options are described in detail: Induction cores incorporating either room temperature pulsed focusing-magnets or superconducting magnets

  3. Electron backscattering for process control in electron beam welding

    International Nuclear Information System (INIS)

    Ardenne, T. von; Panzer, S.

    1983-01-01

    A number of solutions to the automation of electron beam welding is presented. On the basis of electron backscattering a complex system of process control has been developed. It allows an enlarged imaging of the material's surface, improved adjustment of the beam focusing and definite focus positioning. Furthermore, both manual and automated positioning of the electron beam before and during the welding process has become possible. Monitoring of the welding process for meeting standard welding requirements can be achieved with the aid of a control quantity derived from the results of electronic evaluation of the high-frequency electron backscattering

  4. Binary codes storage and data encryption in substrates with single proton beam writing technology

    International Nuclear Information System (INIS)

    Zhang Jun; Zhan Furu; Hu Zhiwen; Chen Lianyun; Yu Zengliang

    2006-01-01

    It has been demonstrated that characters can be written by proton beams in various materials. In contributing to the rapid development of proton beam writing technology, we introduce a new method for binary code storage and data encryption by writing binary codes of characters (BCC) in substrates with single proton beam writing technology. In this study, two kinds of BCC (ASCII BCC and long bit encrypted BCC) were written in CR-39 by a 2.6 MeV single proton beam. Our results show that in comparison to directly writing character shapes, writing ASCII BCC turned out to be about six times faster and required about one fourth the area in substrates. The approach of writing long bit encrypted BCC by single proton beams supports preserving confidential information in substrates. Additionally, binary codes fabricated by MeV single proton beams in substrates are more robust than those formed by lasers, since MeV single proton beams can make much deeper pits in the substrates

  5. Electron beam processing programme: Wastewater and sludge treatment in Brazil

    International Nuclear Information System (INIS)

    Sampa, M.H.O.; Rela, P.R.; Duarte, C.L.; Borrely, S.I.; Vieira, J.M.

    1998-01-01

    The Institute for Energetic and Nuclear Research, working on environmental applications, has an extensive research programme using high energy electron beam in treating industrial wastewater and sludge. The experiments are being conducted in a pilot plant using an industrial electron beam 1.5MeV, 25mA, where the streams are presented to the scanned electron beam in counter flow. This pilot plant is designed to process approximately 3.0m 3 /h with an average dose 5kGy and the absorbed dose measurement is performed continuously by calorimetric system in real time. Combined biological and radiation treatment of domestic sewage and sludge were carried out to investigate disinfestation and removal of organic matter. The experiments showed that total and fecal coliforms were decreased by about 5 logs cycles with a 3.0kGy radiation dose in raw sewage and biological effluents, respectively. Concerning the industrial wastewater in the first stage of the programme, the irradiation was conducted using batch systems with samples originating from a Governmental Wastewater Treatment Plant. The data showed a significant color reduction effect when delivered dose was increased, and the opposite was noted for turbidity and total suspended solids. Other experiments were focused to process real industrial effluents from one of the most important chemical and pharmaceutical industries in Brazil. A special transport truck was used to transfer the liquid waste from the Industry to the Electron Beam Pilot Plant. Large quantities of liquid waste were irradiated with and without air addition with the doses from 2kGy to 20kGy. Such experiences performed in association with the Industry demonstrated that this technology has a great potential to be transferred and to contribute with a permanent cleanup alternative for hazardous wastes

  6. The development of MEMS device packaging technology using proton beam

    International Nuclear Information System (INIS)

    Hyeon, J. W.; Kong, Y. J.; Kim, E. H.; Kim, H. S.; No, S. J.

    2006-05-01

    Wafer-bonding techniques are key issues for the commercialization of MEMS(MicroElectroMechanical Systems) devices. The anodic bonding method and the wafer direct-bonding method are well-known major techniques for wafer bonding. Due to the anodic bonding method includes high voltage processes above 1.5 kV, the MEMS devices can be damaged during the bonding process or malfunctioned while long-term operation. On the other hand, since the wafer direct-bonding method includes a high temperature processes above 1000 .deg. C, temperature-sensitive materials and integrated circuits will be damaged or degraded during the bonding processes. Therefore, high-temperature bonding processes are not applicable for fabricating or packaging devices where temperature-sensitive materials exist. During the past few years, much effort has been undertaken to find a reliable bonding process that can be conducted at a low temperature. Unfortunately, these new bonding processes depend highly on the bonding material, surface treatment and surface flatness. In this research, a new packaging method using proton beam irradiation is proposed. While the energy loss caused in an irradiated material by X-rays or electron beams decreases with the surface distance, the energy loss caused by proton beams has a maximum value at the Bragg peak. Thus, the localized energy produced at the Bragg peak of the proton beams can be used to bond pyrex glass on a silicon wafer, so the MEMS damage is expected to be minimized. The localized heating caused by as well as the penetration depth, or the proton beam has been investigated. The energy absorbed in a stack of pyrex glass/silicon wafers due to proton-beam irradiation was numerically calculated for various proton energies by using the SRIM program. The energy loss was shown to be sufficiently localized at the interface between the pyrex glass and the silicon wafer. Proton beam irradiation was performed in the common environment of room temperature and

  7. Statistical process control for electron beam monitoring.

    Science.gov (United States)

    López-Tarjuelo, Juan; Luquero-Llopis, Naika; García-Mollá, Rafael; Quirós-Higueras, Juan David; Bouché-Babiloni, Ana; Juan-Senabre, Xavier Jordi; de Marco-Blancas, Noelia; Ferrer-Albiach, Carlos; Santos-Serra, Agustín

    2015-07-01

    To assess the electron beam monitoring statistical process control (SPC) in linear accelerator (linac) daily quality control. We present a long-term record of our measurements and evaluate which SPC-led conditions are feasible for maintaining control. We retrieved our linac beam calibration, symmetry, and flatness daily records for all electron beam energies from January 2008 to December 2013, and retrospectively studied how SPC could have been applied and which of its features could be used in the future. A set of adjustment interventions designed to maintain these parameters under control was also simulated. All phase I data was under control. The dose plots were characterized by rising trends followed by steep drops caused by our attempts to re-center the linac beam calibration. Where flatness and symmetry trends were detected they were less-well defined. The process capability ratios ranged from 1.6 to 9.3 at a 2% specification level. Simulated interventions ranged from 2% to 34% of the total number of measurement sessions. We also noted that if prospective SPC had been applied it would have met quality control specifications. SPC can be used to assess the inherent variability of our electron beam monitoring system. It can also indicate whether a process is capable of maintaining electron parameters under control with respect to established specifications by using a daily checking device, but this is not practical unless a method to establish direct feedback from the device to the linac can be devised. Copyright © 2015 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  8. A capacitive power sensor based on the MEMS cantilever beam fabricated by GaAs MMIC technology

    Science.gov (United States)

    Yi, Zhenxiang; Liao, Xiaoping

    2013-03-01

    In this paper, a novel capacitive power sensor based on the microelectromechanical systems (MEMS) cantilever beam at 8-12 GHz is proposed, fabricated and tested. The presented design can not only realize a cantilever beam instead of the conventional fixed-fixed beam, but also provide fine compatibility with the GaAs monolithic microwave integrated circuit (MMIC) process. When the displacement of the cantilever beam is very small compared with the initial height of the air gap, the capacitance change between the measuring electrode and the cantilever beam has an approximately linear dependence on the incident radio frequency (RF) power. Impedance compensating technology, by modifying the slot width of the coplanar waveguide transmission line, is adopted to minimize the effect of the cantilever beam on the power sensor; its validity is verified by the simulation of high frequency structure simulator software. The power sensor has been fabricated successfully by Au surface micromachining using polyimide as the sacrificial layer on the GaAs substrate. Optimization of the design with impedance compensating technology has resulted in a measured return loss of less than -25 dB and an insertion loss of around 0.1 dB at 8-12 GHz, which shows the slight effect of the cantilever beam on the microwave performance of this power sensor. The measured capacitance change starts from 0.7 fF to 1.3 fF when the incident RF power increases from 100 to 200 mW and an approximate linear dependence has been obtained. The measured sensitivities of the sensor are about 6.16, 6.27 and 6.03 aF mW-1 at 8, 10 and 12 GHz, respectively.

  9. Fabrication of nano structures in thin membranes with focused ion beam technology

    NARCIS (Netherlands)

    Gadgil, V.J.; Tong, D.H.; Cesa, Y.; Bennink, Martin L.

    2009-01-01

    In recent years, Focused Ion Beam (FIB) technology has emerged as an important tool for nanotechnology [V.J. Gadgil, F. Morrissey, Encyclopaedia of Nanoscience and Nanotechnology, vol. 1, American Science Publishers, ISBN: 1-58883-057-8, 2004, p101.]. In this paper, applications of focused ion beam

  10. The Through Process Simulation of Mold filling, Solidification, and Heat Treatment of the Al Alloy Bending Beam Low-pressure Casting

    International Nuclear Information System (INIS)

    Yin, Yajun; Guo, Zhao; Wang, Huan; Liao, Dunming; Chen, Tao; Zhou, Jianxin

    2015-01-01

    The research on the simulation for the through process of low-pressure casting and heat treatment is conducive to combine information technology and advanced casting technology, which will help to predict the defects and mechanical properties of the castings in the through process. In this paper, we focus on the simulation for through process of low-pressure casting and heat treatment of ZL114A Bending beam. Firstly, we analyzethe distribution of the shrinkage and porosities in filling and solidification process, and simulate the distribution of stress and strain in the late solidification of casting. Then, the numerical simulation of heat treatment process for ZL114A Bending beam is realized according to the heat treatment parameters and the corresponding simulation results of temperature field, stress, strain, and aging performance are given. Finally, we verify that simulation platform for the through process of low-pressure casting and heat treatment can serve the production practice perfectly and provide technical guidance and process optimization for the through process of low-pressure casting and heat treatment. (paper)

  11. Aspects of input processing in the numerical control of electron beam machines

    International Nuclear Information System (INIS)

    Chowdhury, A.K.

    1981-01-01

    A high-performance Numerical Control has been developed for an Electron Beam Machine. The system is structured into 3 hierarchial levels: Input Processing, Realtime Processing (such as Geometry Interpolation) and the Interfaces to the Electron Beam Machine. The author considers the Input Processing. In conventional Numerical Controls the Interfaces to the control is given by the control language as defined in DIN 66025. State of the art in NC-technology offers programming systems of differing competence covering the spectra between manual programming in the control language to highly sophisticated systems such as APT. This software interface has been used to define an Input Processor that in cooperation with the Hostcomputer meets the requirements of a sophisticated NC-system but at the same time provides a modest stand-alone system with all the basic functions such as interactive program-editing, program storage, program execution simultaneous with the development of another program, etc. Software aspects such as adapting DIN 66025 for Electron Beam Machining, organisation and modularisation of Input Processor Software has been considered and solutions have been proposed. Hardware aspects considered are interconnections of the Input Processor with the Host and the Realtime Processors. Because of economical and development-time considerations, available software and hardware has been liberally used and own development has been kept to a minimum. The proposed system is modular in software and hardware and therefore very flexible and open-ended to future expansion. (Auth.)

  12. Development of beam utilization/application technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, B H; Kim, Y K; Song, T Y [and others

    1999-05-01

    High power proton accelerator is considered as one of national fundamental research facilities and a key to advanced nuclear technology development, having been widely used in an un detachable relationship with nuclear research in advanced countries. The high power proton accelerator will be installed in several phases as an up front facility of the nuclear waste transmutation system. It is expected that a common understanding and a general agreement over proper utilization of the accelerator should be deduced and that a user program for beam utilization and application should be firmly established in time for the completion of each phase of the accelerator. This high power proton accelerator will consist of several component accelerators and, from up front, accelerators such as injector, RFQ, CCDTL, etc. will be installed in sequence and deliver respectively at each stage beams of 3MeV, 20MeV, 100Mev, etc. to be variously utilized forindustries, defence industry, medical treatment, environmental protection and basic science research. In order for the accelerator to be fully utilized as a national fundamental research facility beyond nuclear field, it is necessary to formulate a proceeding plan of the user program for the accelerator and to cultivate industrial utilization/application studies of proton beams accelerated by injector or RFQ of the accelerator. (author). 38 refs., 84 tabs., 39 figs.

  13. Development of beam utilization/application technology

    International Nuclear Information System (INIS)

    Choi, B. H.; Kim, Y.K.; Song, T.Y.

    1999-05-01

    High power proton accelerator is considered as one of national fundamental research facilities and a key to advanced nuclear technology development, having been widely used in an un detachable relationship with nuclear research in advanced countries. The high power proton accelerator will be installed in several phases as an up front facility of the nuclear waste transmutation system. It is expected that a common understanding and a general agreement over proper utilization of the accelerator should be deduced and that a user program for beam utilization and application should be firmly established in time for the completion of each phase of the accelerator. This high power proton accelerator will consist of several component accelerators and, from up front, accelerators such as injector, RFQ, CCDTL, etc. will be installed in sequence and deliver respectively at each stage beams of 3MeV, 20MeV, 100Mev, etc. to be variously utilized for industries, defence industry, medical treatment, environmental protection and basic science research. In order for the accelerator to be fully utilized as a national fundamental research facility beyond nuclear field, it is necessary to formulate a proceeding plan of the user program for the accelerator and to cultivate industrial utilization/application studies of proton beams accelerated by injector or RFQ of the accelerator. (author). 38 refs., 84 tabs., 39 figs

  14. Advanced Technology Composite Fuselage - Materials and Processes

    Science.gov (United States)

    Scholz, D. B.; Dost, E. F.; Flynn, B. W.; Ilcewicz, L. B.; Nelson, K. M.; Sawicki, A. J.; Walker, T. H.; Lakes, R. S.

    1997-01-01

    The goal of Boeing's Advanced Technology Composite Aircraft Structures (ATCAS) program was to develop the technology required for cost and weight efficient use of composite materials in transport fuselage structure. This contractor report describes results of material and process selection, development, and characterization activities. Carbon fiber reinforced epoxy was chosen for fuselage skins and stiffening elements and for passenger and cargo floor structures. The automated fiber placement (AFP) process was selected for fabrication of monolithic and sandwich skin panels. Circumferential frames and window frames were braided and resin transfer molded (RTM'd). Pultrusion was selected for fabrication of floor beams and constant section stiffening elements. Drape forming was chosen for stringers and other stiffening elements. Significant development efforts were expended on the AFP, braiding, and RTM processes. Sandwich core materials and core edge close-out design concepts were evaluated. Autoclave cure processes were developed for stiffened skin and sandwich structures. The stiffness, strength, notch sensitivity, and bearing/bypass properties of fiber-placed skin materials and braided/RTM'd circumferential frame materials were characterized. The strength and durability of cocured and cobonded joints were evaluated. Impact damage resistance of stiffened skin and sandwich structures typical of fuselage panels was investigated. Fluid penetration and migration mechanisms for sandwich panels were studied.

  15. Photon technology. Hard photon technology; Photon technology. Hard photon gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    Research results of hard photon technology have been summarized as a part of novel technology development highly utilizing the quantum nature of photon. Hard photon technology refers to photon beam technologies which use photon in the 0.1 to 200 nm wavelength region. Hard photon has not been used in industry due to the lack of suitable photon sources and optical devices. However, hard photon in this wavelength region is expected to bring about innovations in such areas as ultrafine processing and material synthesis due to its atom selective reaction, inner shell excitation reaction, and spatially high resolution. Then, technological themes and possibility have been surveyed. Although there are principle proposes and their verification of individual technologies for the technologies of hard photon generation, regulation and utilization, they are still far from the practical applications. For the photon source technology, the laser diode pumped driver laser technology, laser plasma photon source technology, synchrotron radiation photon source technology, and vacuum ultraviolet photon source technology are presented. For the optical device technology, the multi-layer film technology for beam mirrors and the non-spherical lens processing technology are introduced. Also are described the reduction lithography technology, hard photon excitation process, and methods of analysis and measurement. 430 refs., 165 figs., 23 tabs.

  16. Efficient composite fabrication using electron-beam rapidly cured polymers engineered for several manufacturing processes

    International Nuclear Information System (INIS)

    Walton, T.C.; Crivello, J.V.

    1995-01-01

    Low cost, efficiently processed ultra high specific strength and stiffness graphite fiber reinforced polymeric composite materials are of great interest to commercial transportation, construction and aerospace industries for use in various components with enhanced degrees of weight reduction, corrosion/erosion resistance and fatigue resistance. 10 MeV Electron Beam cure processing has been found to increase the cure rate by an order of magnitude over thermally cured systems yet provide less molded in stresses and high T g s. However, a limited range of resins are available which are easily processed with low shrinkage and with performance properties equal or exceeding those of state of the art toughened epoxies and BMI's. The technology, introduced by an academia-industry partnership sparked by Langley Research Center utilizes a cost effective, rapid curing polymeric composite processing technique which effectively reduces the need for expensive tooling and energy inefficient autoclave processing and can cure the laminate in seconds (compared to hours for thermal curing) in ambient or sub-ambient conditions. The process is based on electron beam (E-Beam) curing of a new series of (65 to 1,000,000 cPs.) specially formulated resins that have been shown to exhibit excellent mechanical and physical properties once cured. Fabrication processes utilizing these specially formulated and newly commercialized resins, (e.g. including Vacuum Assist Resin Transfer molding (VARTM), vacuum bag prepreg layup, pultrusion and filament winding grades) are engineered to cure with low shrinkage, provide excellent mechanical properties, be processed solventless (environmentally friendly) and are inherently non toxic

  17. Two-process approach to electron beam welding control

    International Nuclear Information System (INIS)

    Lastovirya, V.N.

    1987-01-01

    The analysis and synthesis of multi-dimensional welding control systems, which require the usage of computers, should be conducted within the temporal range. From the general control theory point two approaches - one-process and two-process - are possible to electron beam welding. In case of two-process approach, subprocesses of heat source formation and direct metal melting are separated. Two-process approach leads to two-profile control system and provides the complete controlability of electron beam welding within the frameworks of systems with concentrated, as well as, with distributed parameters. Approach choice for the given problem solution is determined, first of all, by stability degree of heat source during welding

  18. Optimizing laser beam profiles using micro-lens arrays for efficient material processing: applications to solar cells

    Science.gov (United States)

    Hauschild, Dirk; Homburg, Oliver; Mitra, Thomas; Ivanenko, Mikhail; Jarczynski, Manfred; Meinschien, Jens; Bayer, Andreas; Lissotschenko, Vitalij

    2009-02-01

    High power laser sources are used in various production tools for microelectronic products and solar cells, including the applications annealing, lithography, edge isolation as well as dicing and patterning. Besides the right choice of the laser source suitable high performance optics for generating the appropriate beam profile and intensity distribution are of high importance for the right processing speed, quality and yield. For industrial applications equally important is an adequate understanding of the physics of the light-matter interaction behind the process. In advance simulations of the tool performance can minimize technical and financial risk as well as lead times for prototyping and introduction into series production. LIMO has developed its own software founded on the Maxwell equations taking into account all important physical aspects of the laser based process: the light source, the beam shaping optical system and the light-matter interaction. Based on this knowledge together with a unique free-form micro-lens array production technology and patented micro-optics beam shaping designs a number of novel solar cell production tool sub-systems have been built. The basic functionalities, design principles and performance results are presented with a special emphasis on resilience, cost reduction and process reliability.

  19. Prospects for utilization of Electron Beam Accelerators (EBAs) for processing of food products

    International Nuclear Information System (INIS)

    Sarma, K.S.

    2014-01-01

    Radiation processing using gamma radiation and high energy electron beams has been in practice for more than three decades in the industry. Since gamma radiation has the ability of higher penetration in the material, large scale irradiators (mainly based on mega curies of 60 Co radioactive source) are successfully employed for treating bulk products in sterilization and food preservation applications. Electron beam, due to its low penetration, has been exploited exclusively for applications involving polymer modifications to irradiate thin finished end products like electrical cable insulations, heat shrinkable sheets, tubes, automobile tyres etc using high power EBAs (energies 0.5 MeV-4 MeV and powers around ∼100 kW). Out of around 2500 industrial EB units currently employed worldwide (with total installed power above 150 MWL 90% are in the low to medium energy range (0.5 MeV to 4 MeV) being used for polymer modifications. However, recent technological advances in the manufacturing sector of industrial high energy EBAs and product handling systems resulted in widening utilization of EB technology for applications involving bulk product irradiation

  20. Particle beam technology for control of atomic-bonding state in materials

    Energy Technology Data Exchange (ETDEWEB)

    Ishikawa, Junzo [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    The atomic-bonding state in materials can be controlled through `kinetic bonding` process by energetic particle beams which have a sufficient atomic kinetic energy. In order to clarify the `kinetic bonding` process the negative-ion beam deposition is considered as an ideal method because the negative ion has no additional active energies. Sputter type heavy negative-ion sources can be used for this purpose. Carbon films prepared by carbon negative-ion beam deposition have a strong dependency of the film properties on ion beam kinetic energy and have a quite high thermal conductivity which is comparable to that of the IIb diamond at a kinetic energy of 50-100 eV/atom. It suggests that new or metastable materials could be formed through the `kinetic bonding` process. Negative-ion beams can also be used for ion implantation, in which charging problems are perfectly reduced. (author)

  1. A capacitive power sensor based on the MEMS cantilever beam fabricated by GaAs MMIC technology

    International Nuclear Information System (INIS)

    Yi, Zhenxiang; Liao, Xiaoping

    2013-01-01

    In this paper, a novel capacitive power sensor based on the microelectromechanical systems (MEMS) cantilever beam at 8–12 GHz is proposed, fabricated and tested. The presented design can not only realize a cantilever beam instead of the conventional fixed–fixed beam, but also provide fine compatibility with the GaAs monolithic microwave integrated circuit (MMIC) process. When the displacement of the cantilever beam is very small compared with the initial height of the air gap, the capacitance change between the measuring electrode and the cantilever beam has an approximately linear dependence on the incident radio frequency (RF) power. Impedance compensating technology, by modifying the slot width of the coplanar waveguide transmission line, is adopted to minimize the effect of the cantilever beam on the power sensor; its validity is verified by the simulation of high frequency structure simulator software. The power sensor has been fabricated successfully by Au surface micromachining using polyimide as the sacrificial layer on the GaAs substrate. Optimization of the design with impedance compensating technology has resulted in a measured return loss of less than −25 dB and an insertion loss of around 0.1 dB at 8–12 GHz, which shows the slight effect of the cantilever beam on the microwave performance of this power sensor. The measured capacitance change starts from 0.7 fF to 1.3 fF when the incident RF power increases from 100 to 200 mW and an approximate linear dependence has been obtained. The measured sensitivities of the sensor are about 6.16, 6.27 and 6.03 aF mW −1 at 8, 10 and 12 GHz, respectively. (paper)

  2. Synchrotron accelerator technology for proton beam therapy with high accuracy

    International Nuclear Information System (INIS)

    Hiramoto, Kazuo

    2009-01-01

    Proton beam therapy was applied at the beginning to head and neck cancers, but it is now extended to prostate, lung and liver cancers. Thus the need for a pencil beam scanning method is increasing. With this method radiation dose concentration property of the proton beam will be further intensified. Hitachi group has supplied a pencil beam scanning therapy system as the first one for M. D. Anderson Hospital in United States, and it has been operational since May 2008. Hitachi group has been developing proton therapy system to correspond high-accuracy proton therapy to concentrate the dose in the diseased part which is located with various depths, and which sometimes has complicated shape. The author described here on the synchrotron accelerator technology that is an important element for constituting the proton therapy system. (K.Y.)

  3. Improved laser damage threshold performance of calcium fluoride optical surfaces via Accelerated Neutral Atom Beam (ANAB) processing

    Science.gov (United States)

    Kirkpatrick, S.; Walsh, M.; Svrluga, R.; Thomas, M.

    2015-11-01

    Optics are not keeping up with the pace of laser advancements. The laser industry is rapidly increasing its power capabilities and reducing wavelengths which have exposed the optics as a weak link in lifetime failures for these advanced systems. Nanometer sized surface defects (scratches, pits, bumps and residual particles) on the surface of optics are a significant limiting factor to high end performance. Angstrom level smoothing of materials such as calcium fluoride, spinel, magnesium fluoride, zinc sulfide, LBO and others presents a unique challenge for traditional polishing techniques. Exogenesis Corporation, using its new and proprietary Accelerated Neutral Atom Beam (ANAB) technology, is able to remove nano-scale surface damage and particle contamination leaving many material surfaces with roughness typically around one Angstrom. This surface defect mitigation via ANAB processing can be shown to increase performance properties of high intensity optical materials. This paper describes the ANAB technology and summarizes smoothing results for calcium fluoride laser windows. It further correlates laser damage threshold improvements with the smoothing produced by ANAB surface treatment. All ANAB processing was performed at Exogenesis Corporation using an nAccel100TM Accelerated Particle Beam processing tool. All surface measurement data for the paper was produced via AFM analysis on a Park Model XE70 AFM, and all laser damage testing was performed at Spica Technologies, Inc. Exogenesis Corporation's ANAB processing technology is a new and unique surface modification technique that has demonstrated to be highly effective at correcting nano-scale surface defects. ANAB is a non-contact vacuum process comprised of an intense beam of accelerated, electrically neutral gas atoms with average energies of a few tens of electron volts. The ANAB process does not apply mechanical forces associated with traditional polishing techniques. ANAB efficiently removes surface

  4. Experimental studies on beam-plasma interaction

    International Nuclear Information System (INIS)

    Kiwamoto, Y.

    1977-01-01

    Beam-handling technology has reached now at such a level as to enable highly controlled experiments of beam-plasma interaction. Varieties of hypotheses and suppositions about the beam propagation and interaction in space plasma can be proved and often be corrected by examining the specific processes in laboratory plasma. The experiments performed in this way by the author are briefed: ion beam instability in unmagnetized plasma; ion beam instability perpendicular to magnetic field; and electron beam instability. (Mori, K.)

  5. Sensorial analysis of peanuts processed by e-beam

    International Nuclear Information System (INIS)

    Silva, Priscila V.; Furgeri, Camilo; Salum, Debora C.; Rogovschi, Vladimir D.; Villavicencio, Anna Lucia C.H.

    2007-01-01

    The development of the sensorial analysis was influenced by frequent changes in the technology of production and distribution of foods. Currently the sensorial analysis has represented a decisive part in some sectors of the nourishing industry with the purpose to improve the quality of its products. The food irradiation has as purpose to improve the product quality, in order to eliminate the diverse microorganisms that can spoil the food. The process of irradiation in the recommended doses causes very few chemical alterations in some foods, the nutritional losses are considered insignificant and some of the alterations known found in irradiated foods is not harmful or dangerous. The present study evaluated the sensorial characteristics of peanuts processed by electron beam machine and was made a test of acceptance using a hedonic scale. Samples of peanut had been processed in the doses of 0, 5 and 7 kGy. Thirty volunteer panelists had participated of that acceptance study. The evaluating parameters were: appearance, odor and flavor. The result showed that the consumers had approved the peanut in the dose of 5 and 7 kGy, not having significant difference between the samples controlled and irradiated. (author)

  6. Sensorial analysis of peanuts processed by e-beam

    Energy Technology Data Exchange (ETDEWEB)

    Silva, Priscila V.; Furgeri, Camilo; Salum, Debora C.; Rogovschi, Vladimir D.; Villavicencio, Anna Lucia C.H. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)]. E-mail: villavic@ipen.br

    2007-07-01

    The development of the sensorial analysis was influenced by frequent changes in the technology of production and distribution of foods. Currently the sensorial analysis has represented a decisive part in some sectors of the nourishing industry with the purpose to improve the quality of its products. The food irradiation has as purpose to improve the product quality, in order to eliminate the diverse microorganisms that can spoil the food. The process of irradiation in the recommended doses causes very few chemical alterations in some foods, the nutritional losses are considered insignificant and some of the alterations known found in irradiated foods is not harmful or dangerous. The present study evaluated the sensorial characteristics of peanuts processed by electron beam machine and was made a test of acceptance using a hedonic scale. Samples of peanut had been processed in the doses of 0, 5 and 7 kGy. Thirty volunteer panelists had participated of that acceptance study. The evaluating parameters were: appearance, odor and flavor. The result showed that the consumers had approved the peanut in the dose of 5 and 7 kGy, not having significant difference between the samples controlled and irradiated. (author)

  7. Electron beam accelerator at BARC-BRIT complex - electron beam processing of materials and industrial utilization

    International Nuclear Information System (INIS)

    Khader, S.A.; Patkari, R.K.; Sharma, K.S.S.

    2013-01-01

    During the last decade, the 2MeV/20kW electron beam (EB) accelerator located at BARC-BRIT complex, Vashi has been successfully utilised for non-thermal applications to develop speciality products useful for the industry. Polymer materials are exposed to high energy electrons to induce crosslinking and degradation reactions in a number of industrial products without the use of external chemicals and additives. Various EB crosslinked products viz. PE O-rings, automotive components, automobile tyres, electrical insulations, etc have been found to be much superior in quality compared to those produced conventionally. A process has been developed to enhance colours in the polished diamonds and gem stones using EB irradiation at the facility which has attracted much attention in the Indian diamond industry as a value-addition process. Recycling of polymer waste processed under EB to produce microfine PTFE powder, to reuse in automobile industry etc. has shown good potential for the industrial use. The process feasibility both in terms of economics and technology have been amply demonstrated on a technological scale by installing special conveyors at our facility for irradiating various industrial products. Around 100 km cable insulations, 1.5 million PE O-rings and more than 40000 carats of polished diamonds have been processed in our facility over a period of time on commercial scale. Encouraged with the results, Indian private entrepreneurs have set up dedicated EB machines in some of the most significant industries producing wire and cables, electrical gadgets based on polymer composites, automobile tyres and diamonds. The products are unique in properties and are in some cases, became import substitutes. The industry is now fully geared up to adapt the technology by realising the advantages viz ease in adaptability, convenient, safe and environmental-friendly nature. Encouraged by the process demonstrations, while five EB accelerators were setup and are in operation

  8. Research and development of superhigh-technological processing systems in fiscal 989 (1.2). Development of devices for highly processing power generating facility parts (1.2.3); Chosentan kako system no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1988-03-01

    Described herein are the results of the research and development project for developing superhigh-technological processing systems, and devices for highly processing power generating facility parts.The R and D project for the superhigh-technological processing systems involves short-wavelength exima lasers for the techniques to expand their serviceability and improve their qualities; highly focused, wide-band energy ion beams for gas-phase focused ion beams and large-capacity cluster ion beams; superprecision machining for investigations on superprecision machining elements; techniques for forming and laminating thin films; high-speed surface modification of the stock materials; supporting techniques; and total systems. The R and D for the devices for highly processing power generating facility parts include investigations on the techniques for expanding serviceability of high-output exima lasers serving repeatedly for extended periods, and the techniques allowing the devices serviceable repeatedly for extended periods. The other R and D items include the techniques for light-resistant, high-strength elements, large-current ion beams, and reshaping by exima laser beams. (NEDO)

  9. Novel neutralized-beam intense neutron source for fusion technology development

    International Nuclear Information System (INIS)

    Osher, J.E.; Perkins, L.J.

    1983-01-01

    We describe a neutralized-beam intense neutron source (NBINS) as a relevant application of fusion technology for the type of high-current ion sources and neutral beamlines now being developed for heating and fueling of magnetic-fusion-energy confinement systems. This near-term application would support parallel development of highly reliable steady-state higher-voltage neutral D 0 and T 0 beams and provide a relatively inexpensive source of fusion neutrons for materials testing at up to reactor-like wall conditions. Beam-target examples described incude a 50-A mixed D-T total (ions plus neutrals) space-charge-neutralized beam at 120 keV incident on a liquid Li drive-in target, or a 50-A T 0 + T + space-charge-neutralized beam incident on either a LiD or gas D 2 target with calculated 14-MeV neutron yields of 2 x 10 15 /s, 7 x 10 15 /s, or 1.6 x 10 16 /s, respectively. The severe local heat loading on the target surface is expected to limit the allowed beam focus and minimum target size to greater than or equal to 25 cm 2

  10. The pilot plant for electron beam food processing

    Science.gov (United States)

    Migdal, W.; Walis, L.; Chmielewski, A. G.

    1993-07-01

    In the frames of the national programme on the application of irradiation for food preservation and hygienization an experimental plant for electron beam processing has been established in INCT. The pilot plant has been constructed inside an old fort what decreases significantly the cost of the investment. The pilot plant is equipped with a small research accelerator Pilot (10 MeV, 1 kW) and an industrial unit Elektronika (10 MeV, 10 kW). This allows both laboratory and full technological scale testing of the elaborated process to be conducted. The industrial unit is being equipped with e-/X conversion target, for high density products irradiation. On the basis of the research there were performed at different scientific institutions in Poland, health authorities have issued permissions for permanent treatment of spices, garlic, onions and temporary permissions for mushrooms, and potatoes. Dosimetric methods have been elaborated for the routine use at the plant. In the INCT laboratory methods for the control of e-/X treated food have been established.

  11. The pilot plant for electron beam food processing

    International Nuclear Information System (INIS)

    Migdal, W.; Kosmal, W.; Malec-Czechowska, K.; Maciszewski, W.

    1992-01-01

    In the frames of the national programme on the application of irradiation for food preservation and hygienization an experimental plant for electron beam processing has been established in INCT. The pilot plant has been constructed inside an old fort what decreases significantly the cost of the investment. The pilot plants is equipped with a small research accelerator Pilot (10 MeV, 1 kW) and an industrial unit Elektronika (10 MeV, 10 kW). This allows both laboratory and full technological scale testing of the elaborated process to be conducted. The industrial unit is being equipped with e-/X conversion target, for high density products irradiation. On the basis of the research there were performed at different scientific institutions in Poland, health authorities have issued permissions for permanent treatment of spices, garlic, onions and temporary permissions for mushrooms, and potatoes. Dosimetric methods have been elaborated for the routine use at the plant. In the INCT laboratory methods for the control of e-/X treated food have been established. (author). 9 refs, 5 figs, 1 tab

  12. Precision gravity measurement utilizing Accelerex vibrating beam accelerometer technology

    Science.gov (United States)

    Norling, Brian L.

    Tests run using Sundstrand vibrating beam accelerometers to sense microgravity are described. Lunar-solar tidal effects were used as a highly predictable signal which varies by approximately 200 billionths of the full-scale gravitation level. Test runs of 48-h duration were used to evaluate stability, resolution, and noise. Test results on the Accelerex accelerometer show accuracies suitable for precision applications such as gravity mapping and gravity density logging. The test results indicate that Accelerex technology, even with an instrument design and signal processing approach not optimized for microgravity measurement, can achieve 48-nano-g (1 sigma) or better accuracy over a 48-h period. This value includes contributions from instrument noise and random walk, combined bias and scale factor drift, and thermal modeling errors as well as external contributions from sampling noise, test equipment inaccuracies, electrical noise, and cultural noise induced acceleration.

  13. Angular scattering in electron capture and loss D- beam formation processes

    International Nuclear Information System (INIS)

    Coggiola, M.J.; Hodges, R.V.; Huestis, D.L.; Peterson, J.R.

    1980-01-01

    The development of high energy (> 150 keV) neutral beams for heating and fueling magnetic fusion devices depends on the ability to produce well-collimated negative ion beams. The double capture charge-exchange technique is a known, scalable method. In order to maximize the overall efficiency of the process and to achieve the desired beam characteristics, it is necessary to examine the optical qualities of the beams as well as the total efficiency of beam production. A combined modeling and experimental study of the angular scattering effects in negative ion formation and loss processes has therefore been undertaken

  14. Development of ion/proton beam equipment for industrial uses

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Ho; Lee, J. H.; Cho, Y. S.; Joo, P. K.; Kang, S. S.; Song, W. S.; Kim, H. J.; Chang, G. H.; Bang, S. W

    1999-12-01

    KAERI has possessed design and fabrication technologies of various ion sources including Duoplasmatron and DuoPiGatron developed by R and D projects of the long-term nuclear technology development program. In order to industrialize ion beam equipments utilizing these ion sources, a technology transfer project for a technology transfer project for a domestic firm has been performed. Under this project, engineers of the firm have been trained through classroom lectures of ion beam principles and OJT, an ion/proton beam equipment (DEMO equipment) has been designed, assembled and commissioned jointly with the engineers. Quality of the ion sources has been quantified, and technologies for ion beam equipment construction, functional test and application research have been developed. The DEMO equipment, which consists of an ion source, power supplies, vacuum, cooling and target systems, has been fabricated and tested to secure stability and reliability for industrial uses. Various characteristic tests including high voltage insulation, beam extraction, beam current measuring, etc. have been performed. This DEMO can be utilized for ion sources development as well as ion beam process development for various industrial products. Engineers of the firm have been trained for the industrialization of ion beam equipment and joined in beam application technology development to create industrial needs of beam equipment. (author)

  15. Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Jindal, Vibhu; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-09-01

    The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow's requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection. To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today's patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the

  16. Application of electron beams to environmental conservation technology

    International Nuclear Information System (INIS)

    Pikaev, A.K.

    1992-01-01

    The paper is a review of current status of the application of electron beams to environmental conservation technology. Different aspects of radiation treatment of natural and polluted drinking water, radiation purification of industrial and municipal wastes, radiation treatment of sewage sludge and radiation purification of exhaust gases are considered. The special attention is paid to the respective pilot and industrial facilities. (author) 70 refs

  17. Six-beam homodyne laser Doppler vibrometry based on silicon photonics technology.

    Science.gov (United States)

    Li, Yanlu; Zhu, Jinghao; Duperron, Matthieu; O'Brien, Peter; Schüler, Ralf; Aasmul, Soren; de Melis, Mirko; Kersemans, Mathias; Baets, Roel

    2018-02-05

    This paper describes an integrated six-beam homodyne laser Doppler vibrometry (LDV) system based on a silicon-on-insulator (SOI) full platform technology, with on-chip photo-diodes and phase modulators. Electronics and optics are also implemented around the integrated photonic circuit (PIC) to enable a simultaneous six-beam measurement. Measurement of a propagating guided elastic wave in an aluminum plate (speed ≈ 909 m/s @ 61.5 kHz) is demonstrated.

  18. A new ion-beam laboratory for materials research at the Slovak University of Technology

    Science.gov (United States)

    Noga, Pavol; Dobrovodský, Jozef; Vaňa, Dušan; Beňo, Matúš; Závacká, Anna; Muška, Martin; Halgaš, Radoslav; Minárik, Stanislav; Riedlmajer, Róbert

    2017-10-01

    An ion beam laboratory (IBL) for materials research has been commissioned recently at the Slovak University of Technology within the University Science Park CAMBO located in Trnava. The facility will support research in the field of materials science, physical engineering and nanotechnology. Ion-beam materials modification (IBMM) as well as ion-beam analysis (IBA) are covered and deliverable ion energies are in the range from tens of keV up to tens of MeV. Two systems have been put into operation. First, a high current version of the HVEE 6 MV Tandetron electrostatic tandem accelerator with duoplasmatron and cesium sputtering ion sources, equipped with two end-stations: a high-energy ion implantation and IBA end-station which includes RBS, PIXE and ERDA analytical systems. Second, a 500 kV implanter equipped with a Bernas type ion source and two experimental wafer processing end-stations. The facility itself, operational experience and first IBMM and IBA experiments are presented together with near-future plans and ongoing development of the IBL.

  19. Medium Energy Industrial Electron Beam Accelerator (ILU-EBA) at Navi Mumbai for technology demonstration and commercial operations

    International Nuclear Information System (INIS)

    Benny, P.G.; Khader, S.A.; Sarma, K.S.S.

    2017-01-01

    BARC in early nineties installed a unique high pulse-powered electron beam accelerator of energy 2 MeV, (for the first time in India), in Trombay for developing industrial applications. The accelerator was capable of delivering powered electron beams up to 20kW average beam power (with 1200kW peak pulse power) with energy range from 1 to 2 MeV. Several applications have been developed and commercially exploited in the field of polymer cross linking, degradation, crystalline alterations etc. In addition, applications pertaining to the environmental remediation using electron beams were also worked out. The facility has been relocated at Navi Mumbai a decade ago operated under BARC safety regulatory body and was developed into a technology demonstration cum commercial plant with several product handling gadgets to evaluate the feasibility of different EB treatment processes for the industry viz. waste water treatment, polymer modifications, recycling to name a few

  20. Experimental characterization of quantum correlated triple beams generated by cascaded four-wave mixing processes

    Science.gov (United States)

    Qin, Zhongzhong; Cao, Leiming; Jing, Jietai

    2015-05-01

    Quantum correlations and entanglement shared among multiple modes are fundamental ingredients of most continuous-variable quantum technologies. Recently, a method used to generate multiple quantum correlated beams using cascaded four-wave mixing (FWM) processes was theoretically proposed and experimentally realized by our group [Z. Qin et al., Phys. Rev. Lett. 113, 023602 (2014)]. Our study of triple-beam quantum correlation paves the way to showing the tripartite entanglement in our system. Our system also promises to find applications in quantum information and precision measurement such as the controlled quantum communications, the generation of multiple quantum correlated images, and the realization of a multiport nonlinear interferometer. For its applications, the degree of quantum correlation is a crucial figure of merit. In this letter, we experimentally study how various parameters, such as the cell temperatures, one-photon, and two-photon detunings, influence the degree of quantum correlation between the triple beams generated from the cascaded two-FWM configuration.

  1. Recent advances in electron beam processing of polymers

    International Nuclear Information System (INIS)

    Gueven, Olgun

    2005-01-01

    The synergy achieved through developments on the design and manufacturing of new low, medium and high energy electron accelerators with relatively high powers and innovative solutions in material formulation better responding to radiation processing has opened new application opportunities in electron beam treatment of polymers. All these developments are still based however, on the major ultimate effects of ionizing radiation on polymers namely, crosslinking, curing, grafting and chain scissionning. The objective of this paper is to provide an overview of recent developments and emerging applications toward commercialization of electron beam processing of polymers. (author)

  2. Diversity and Multiplexing Technologies by 3D Beams in Polarized Massive MIMO Systems

    Directory of Open Access Journals (Sweden)

    Xin Su

    2016-01-01

    Full Text Available Massive multiple input, multiple output (M-MIMO technologies have been proposed to scale up data rates reaching gigabits per second in the forthcoming 5G mobile communications systems. However, one of crucial constraints is a dimension in space to implement the M-MIMO. To cope with the space constraint and to utilize more flexibility in 3D beamforming (3D-BF, we propose antenna polarization in M-MIMO systems. In this paper, we design a polarized M-MIMO (PM-MIMO system associated with 3D-BF applications, where the system architectures for diversity and multiplexing technologies achieved by polarized 3D beams are provided. Different from the conventional 3D-BF achieved by planar M-MIMO technology to control the downtilted beam in a vertical domain, the proposed PM-MIMO realizes 3D-BF via the linear combination of polarized beams. In addition, an effective array selection scheme is proposed to optimize the beam-width and to enhance system performance by the exploration of diversity and multiplexing gains; and a blind channel estimation (BCE approach is also proposed to avoid pilot contamination in PM-MIMO. Based on the Long Term Evolution-Advanced (LTE-A specification, the simulation results finally confirm the validity of our proposals.

  3. Electron beam technology for modifying the functional properties of maize starch

    International Nuclear Information System (INIS)

    Nemtanu, M.R.; Minea, R.; Kahraman, K.; Koksel, H.; Ng, P.K.W.; Popescu, M.I.; Mitru, E.

    2007-01-01

    Maize starch is a versatile biopolymer with a wide field of applications (e.g. foods, pharmaceutical products, adhesives, etc.). Nowadays there is a continuous and intensive search for new methods and techniques to modify its functional properties due to the fact that native form of starch may exhibit some disadvantages in certain applications. Radiation technology is frequently used to change the properties of different polymeric materials. Thus, the goal of the work is to discuss the application of accelerated electron beams on maize starch in the view of changing some of its functional properties. Maize starch has been irradiated with doses up to 52.15 kGy by using electron beam technology and the modifications of differential scanning calorimetry (DSC) and pasting characteristics, paste clarity, freezing and thawing stability as well as colorimetric characteristics have been investigated. The results of the study revealed that the measured properties can be modified by electron beam treatment and, therefore, this method can be an efficient and ecological alternative to obtain modified maize starch

  4. Tritium processing and containment technology for fusion reactors: perspective and status

    International Nuclear Information System (INIS)

    Maroni, V.A.

    1976-01-01

    This paper reviews the status of selected tritium processing and containment technologies that will be required to support the development of the fusion energy program. Considered in order are the fuel conditioning and recycle systems, the containment and cleanup systems, the blanket processing systems, and two unique problems relating to tritium interactions in neutral beam injectors and first wall coolant circuits. The major technical problem areas appear to lie in the development of (1) high-capacity, rapid recycle plasma chamber evacuation systems; (2) large-capacity (greater than or equal to 100,000 cfm) air handling and processing systems for atmospheric detritiation; (3) tritium recovery technology for liquid lithium blanket concepts; (4) tritium compatible neutral injector systems; and (5) an overall approach to tritium handling and containment that guarantees near zero release to the environment at a bearable cost

  5. Ion beam therapy fundamentals, technology, clinical applications

    CERN Document Server

    2012-01-01

    The book provides a detailed, up-to-date account of the basics, the technology, and the clinical use of ion beams for radiation therapy. Theoretical background, technical components, and patient treatment schemes are delineated by the leading experts that helped to develop this field from a research niche to its current highly sophisticated and powerful clinical treatment level used to the benefit of cancer patients worldwide. Rather than being a side-by-side collection of articles, this book consists of related chapters. It is a common achievement by 76 experts from around the world. Their expertise reflects the diversity of the field with radiation therapy, medical and accelerator physics, radiobiology, computer science, engineering, and health economics. The book addresses a similarly broad audience ranging from professionals that need to know more about this novel treatment modality or consider to enter the field of ion beam therapy as a researcher. However, it is also written for the interested public an...

  6. Purification technology for flue/off gases using electron beams

    International Nuclear Information System (INIS)

    Kojima, Takuji

    2004-01-01

    The present paper describes research and development on purification technology using electron beams for flue/off gases containing pollutants: removal of sulfate oxide and nitrogen oxide from flue gases of coal/oil combustion power plants, decomposition of dioxins in waste incineration flue gas, and decomposition/removal of toxic volatile organic compounds from off gas. (author)

  7. Ion beam sputter coatings for laser technology

    Science.gov (United States)

    Ristau, Detlev; Gross, Tobias

    2005-09-01

    The initial motivation for the development of Ion Beam Sputtering (IBS) processes was the need for optical coatings with extremely low optical scatter losses for laser gyros. Especially, backscattering of the gyro-mirrors couples the directional modes in the ring resonator leading to the lock in effect which limits the sensitivity of the gyro. Accordingly, the first patent on IBS was approved for an aircraft company (Litton) in 1978. In the course of the rapid development of the IBS-concept during the last two decades, an extremely high optical quality could be achieved for laser coatings in the VIS- and NIR-spectral region. For example, high reflecting coatings with total optical losses below 1 ppm were demonstrated for specific precision measurement applications with the Nd:YAG-laser operating at 1.064 μm. Even though the high quality level of IBS-coatings had been confirmed in many applications, the process has not found its way into the production environment of most optical companies. Major restrictions are the relatively low rate of the deposition process and the poor lateral homogeneity of the coatings, which are related to the output characteristics of the currently available ion sources. In the present contribution, the basic principles of IBS will be discussed in the context of the demands of modern laser technology. Besides selected examples for special applications of IBS, aspects will be presented for approaches towards rapid manufacturing of coatings and the production of rugate filters on the basis of IBS-techniques.

  8. New Opportunities for eBeam Technologies in One Health. Chapter 2

    Energy Technology Data Exchange (ETDEWEB)

    Pillai, S. D. [National Center for Electron Beam Research, Texas A& M University (United States)

    2014-07-15

    Globally, there is a growing recognition that, in order to address current and emerging risks and provide system-level solutions, one has to look at public health, animal health, and environmental health at a holistic level. Several international and federal agencies such as the United Nations, World Health Organization, the Centers for Disease Control and Prevention (CDC), and the United States Department of Agriculture (USDA) have come to embrace the One Health concept. The One Health concept is based on the premise that the health of humans, animals, and the environment are interconnected. Ionizing radiation technology, especially eBeam (electron beam) technology, can play a major role in providing solutions pertinent to the One Health concept. There can be no discussion of public health without confronting the issue of food safety and quality. There can be no discussion of animal health without tackling the pre-harvest pathogen protection strategies involving vaccination. And there can be no discussion of environmental health without discussing the proper management of the burgeoning levels of animal and human wastes. The salient features of eBeam technology such as high dose rate, economic feasibility, and that it is the ultimate “green technology”, can be exploited commercially to develop materials from natural and man-made sources that can be used for high value agricultural, industrial and therapeutic applications. (author)

  9. Beam shaping as an enabler for new applications

    Science.gov (United States)

    Guertler, Yvonne; Kahmann, Max; Havrilla, David

    2017-02-01

    For many years, laser beam shaping has enabled users to achieve optimized process results as well as manage challenging applications. The latest advancements in industrial lasers and processing optics have taken this a step further as users are able to adapt the beam shape to meet specific application requirements in a very flexible way. TRUMPF has developed a wide range of experience in creating beam profiles at the work piece for optimized material processing. This technology is based on the physical model of wave optics and can be used with ultra short pulse lasers as well as multi-kW cw lasers. Basically, the beam shape can be adapted in all three dimensions in space, which allows maximum flexibility. Besides adaption of intensity profile, even multi-spot geometries can be produced. This approach is very cost efficient, because a standard laser source and (in the case of cw lasers) a standard fiber can be used without any special modifications. Based on this innovative beam shaping technology, TRUMPF has developed new and optimized processes. Two of the most recent application developments using these techniques are cutting glass and synthetic sapphire with ultra-short pulse lasers and enhanced brazing of hot dip zinc coated steel for automotive applications. Both developments lead to more efficient and flexible production processes, enabled by laser technology and open the door to new opportunities. They also indicate the potential of beam shaping techniques since they can be applied to both single-mode laser sources (TOP Cleave) and multi-mode laser sources (brazing).

  10. The development of enabling technologies for producing active interrogation beams.

    Science.gov (United States)

    Kwan, Thomas J T; Morgado, Richard E; Wang, Tai-Sen F; Vodolaga, B; Terekhin, V; Onischenko, L M; Vorozhtsov, S B; Samsonov, E V; Vorozhtsov, A S; Alenitsky, Yu G; Perpelkin, E E; Glazov, A A; Novikov, D L; Parkhomchuk, V; Reva, V; Vostrikov, V; Mashinin, V A; Fedotov, S N; Minayev, S A

    2010-10-01

    A U.S./Russian collaboration of accelerator scientists was directed to the development of high averaged-current (∼1 mA) and high-quality (emittance ∼15 πmm mrad; energy spread ∼0.1%) 1.75 MeV proton beams to produce active interrogation beams that could be applied to counterterrorism. Several accelerator technologies were investigated. These included an electrostatic tandem accelerator of novel design, a compact cyclotron, and a storage ring with energy compensation and electron cooling. Production targets capable of withstanding the beam power levels were designed, fabricated, and tested. The cyclotron/storage-ring system was theoretically studied and computationally designed, and the electrostatic vacuum tandem accelerator at BINP was demonstrated for its potential in active interrogation of explosives and special nuclear materials.

  11. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    Science.gov (United States)

    Taminger, Karen M. (Inventor); Hafley, Robert A. (Inventor); Martin, Richard E. (Inventor); Hofmeister, William H. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  12. Technological yields of sources for radiation processing; Wydajnosci technologiczne zrodel do obrobki radiacyjnej

    Energy Technology Data Exchange (ETDEWEB)

    Zagorski, Z.P. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland)

    1993-12-31

    The present report is prepared for planners of radiation processing of any material. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV, and accelerators of lower energy, below 2 MeV, of better energy yield but of limited applications. The calculations are connected with the confrontation of the author`s technological expectations during the preparation of the linac project in the late `60s, with the results of 25 years of exploitation of the machine. One has to realize that from the 200 kW input power from the mains, only 5 kW of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of edges of the scanned area and in the spaces between boxes, and of loses during the idle time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical than that of linacs in case of objects of specific type. At the first stage already, that is of the conversion of electrical power into that of low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating Bremsstrahlung similar to gamma radiation. The advantages of technologies, which make possible a control of the shape of the processed object are stressed. Special attention is focused to the relation between the yield of processing and the ratio between the maximum to the minimum dose in the object under the irradiation. (author). 14 refs, 14 figs.

  13. Electron beam processing of materials-R and D and industrial utilization

    International Nuclear Information System (INIS)

    Sarma, K.S.S.

    2005-01-01

    The early sixties witnessed the beginning of Electron Beam (EB) processing of materials using high-energy electrons and has emerged as a well established technology, presently being adapted by the industry. The process and the processed materials showed definite and distinct advantages/characteristics over the available conventional methods. Even though the commercial exploitation started initially in polymer modifications for better (and suitable) performance through polymerization, cross-linking, degradation and grafting, the processing fields are now diverged to sterilization of health care, food irradiation, controlled defects in semiconductor devices and semi and/or precious stones, waste water/flue gas treatment etc. The availability of electron accelerators that operate as per the requirement of the industrial needs, easy maintenance, expertise availability etc brought the EB processing industry into a multi dollar business world wide. In USA and Japan there are more than 1200 accelerators currently operative in automobile tire, wire and cable and heat shrinkable industry. Output beam powers exceeding 400 kW with electron energy ranging from few hundred keV up to 10 MeV are made available to the industry. In BARC EB processing started with the 2MeV/20 kW electron accelerator and suitable processing techniques have been developed for applications like polymer cross linking (heat resistant LDPE O-rings, wire and cable insulation), color enhancement in precious stones (diamonds) on industrial scale and polymer curing, grafting, degradation on R and D/pilot scale. The commercial success of the process enabled the private cable industry to set up accelerators at their factories. On research and development front, the accelerator is being utilized to develop new polymer blends for high temperature applications, for solid and liquid waste treatment, polypropylene grafting experiments for uranium extraction from sea water, surface curing etc. This paper gives

  14. Low voltage electron beam accelerators

    International Nuclear Information System (INIS)

    Ochi, Masafumi

    2003-01-01

    Widely used electron accelerators in industries are the electron beams with acceleration voltage at 300 kV or less. The typical examples are shown on manufactures in Japan, equipment configuration, operation, determination of process parameters, and basic maintenance requirement of the electron beam processors. New electron beam processors with acceleration voltage around 100 kV were introduced maintaining the relatively high dose speed capability of around 10,000 kGy x mpm at production by ESI (Energy Science Inc. USA, Iwasaki Electric Group). The application field like printing and coating for packaging requires treating thickness of 30 micron or less. It does not require high voltage over 110 kV. Also recently developed is a miniature bulb type electron beam tube with energy less than 60 kV. The new application area for this new electron beam tube is being searched. The drive force of this technology to spread in the industries would be further development of new application, process and market as well as the price reduction of the equipment, upon which further acknowledgement and acceptance of the technology to societies and industries would entirely depend. (Y. Tanaka)

  15. Low voltage electron beam accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Ochi, Masafumi [Iwasaki Electric Co., Ltd., Tokyo (Japan)

    2003-02-01

    Widely used electron accelerators in industries are the electron beams with acceleration voltage at 300 kV or less. The typical examples are shown on manufactures in Japan, equipment configuration, operation, determination of process parameters, and basic maintenance requirement of the electron beam processors. New electron beam processors with acceleration voltage around 100 kV were introduced maintaining the relatively high dose speed capability of around 10,000 kGy x mpm at production by ESI (Energy Science Inc. USA, Iwasaki Electric Group). The application field like printing and coating for packaging requires treating thickness of 30 micron or less. It does not require high voltage over 110 kV. Also recently developed is a miniature bulb type electron beam tube with energy less than 60 kV. The new application area for this new electron beam tube is being searched. The drive force of this technology to spread in the industries would be further development of new application, process and market as well as the price reduction of the equipment, upon which further acknowledgement and acceptance of the technology to societies and industries would entirely depend. (Y. Tanaka)

  16. Radiation processing of carrageenan using electron beam

    International Nuclear Information System (INIS)

    Abad, L.V.; Aranilla, C.T.; Relleve, L.; Dela Rosa, A.M.

    2005-01-01

    Electron beam accelerator has been widely employed in the modification of natural polymers for the development of materials used in biomedical and agricultural applications. The carrageenans are among these materials that show a vast potential for these types of applications. Previous studies at the Philippine Nuclear Research Institute focused on the utilization of gamma radiation to modify the carrageenans. Radiation degradation of carrageenan found valuable use as plant growth promoter. Hydrogels for burn dressing using blends of carrageenan and synthetic polymers have also been made using gamma radiation. While previous studies have been focused on the use of gamma radiation to modify the carrageenans, recent studies expanded the technology to electron beam. Concretely, researches are along the following two areas: a) Degradation studies of aqueous carrageenan using the LEEB and b) Preparation of blend polysaccharide derivatives such as carboxymethylcellulose (CMC), and hydroxypropylcellulose (HPC) with kappa-carrageenan (KC) by EB radiation. These works were done at the Takasaki Radiation Chemistry Research Establishment (TRCRE) by two PNRI colleagues under the nuclear researcher exchange program of the Japan Ministry of Education, Culture, Sports, Science and Technology (MEXT). The first area had already been reported and discussed in the last project meeting held in Malaysia. (author)

  17. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  18. An Investigation of Sintering Parameters on Titanium Powder for Electron Beam Melting Processing Optimization.

    Science.gov (United States)

    Drescher, Philipp; Sarhan, Mohamed; Seitz, Hermann

    2016-12-01

    Selective electron beam melting (SEBM) is a relatively new additive manufacturing technology for metallic materials. Specific to this technology is the sintering of the metal powder prior to the melting process. The sintering process has disadvantages for post-processing. The post-processing of parts produced by SEBM typically involves the removal of semi-sintered powder through the use of a powder blasting system. Furthermore, the sintering of large areas before melting decreases productivity. Current investigations are aimed at improving the sintering process in order to achieve better productivity, geometric accuracy, and resolution. In this study, the focus lies on the modification of the sintering process. In order to investigate and improve the sintering process, highly porous titanium test specimens with various scan speeds were built. The aim of this study was to decrease build time with comparable mechanical properties of the components and to remove the residual powder more easily after a build. By only sintering the area in which the melt pool for the components is created, an average productivity improvement of approx. 20% was achieved. Tensile tests were carried out, and the measured mechanical properties show comparatively or slightly improved values compared with the reference.

  19. E-beam-pumped semiconductor lasers

    Science.gov (United States)

    Rice, Robert R.; Shanley, James F.; Ruggieri, Neil F.

    1995-04-01

    The collapse of the Soviet Union opened many areas of laser technology to the West. E-beam- pumped semiconductor lasers (EBSL) were pursued for 25 years in several Soviet Institutes. Thin single crystal screens of II-VI alloys (ZnxCd1-xSe, CdSxSe1-x) were incorporated in laser CRTs to produce scanned visible laser beams at average powers greater than 10 W. Resolutions of 2500 lines were demonstrated. MDA-W is conducting a program for ARPA/ESTO to assess EBSL technology for high brightness, high resolution RGB laser projection application. Transfer of II-VI crystal growth and screen processing technology is underway, and initial results will be reported. Various techniques (cathodoluminescence, one- and two-photon laser pumping, etc.) have been used to assess material quality and screen processing damage. High voltage (75 kV) video electronics were procured in the U.S. to operate test EBSL tubes. Laser performance was documented as a function of screen temperature, beam voltage and current. The beam divergence, spectrum, efficiency and other characteristics of the laser output are being measured. An evaluation of the effect of laser operating conditions upon the degradation rate is being carried out by a design-of-experiments method. An initial assessment of the projected image quality will be performed.

  20. Electron beam processing - status and prospects

    International Nuclear Information System (INIS)

    Cleland, M.R.

    1989-01-01

    A variety of commercial products now on the market are being produced by electron beam processing, which involves the treatment of materials with high-energy electrons to obtain beneficial effects. Ongoing applications include the high-speed curing of printing inks, clear and pigmented coatings, release coatings and adhesive films, the crosslinking of plastic film, foam, tubing, pipe, molded parts, electrical wire and cable, the cold vulcanization of rubber sheets for automobile tires and factory roofing as well as the sterilization of medical devices and packaging materials, and the preservation of food. Continuing growth is being driven by some inherent advantages of electron beam processing over alternative chemical and thermal treatment processes, such as enhanced product quality and lower unit costs that result from higher production rates, dynamic process control, quicker process start-up and shutdown, and reductions in scrap loss, energy consumption, floor space requirements, and toxic residues. Other potential applications that have not yet reached commercial fruition are focused on environmental protection and the reclamation of waste materials. These include the disinfection of potable water supplies, municipal waste water, sewage sludge, and the infectious wastes from hospitals and airports, the modification of toxic chemicals, the degradation of cellulosic materials, the cracking of crude oil and residual tars from refineries, and the extraction of sulfur and nitrogen oxides from combustion gases to reduce the effects of acid rain

  1. Status and future outlook for the beam process

    International Nuclear Information System (INIS)

    Frank, N.W.

    1991-10-01

    This work refers to one of the first papers written on the commercial aspects of the electron beam process given on October 1984 at the Fifth International Meeting on Radiation Processing in San Diego, California. (Author)

  2. FY 1999 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. R and D of the photon-aided instrumentation and processing technologies; 1999 nendo photon keisoku kako gijutsu seika hokokusho. Photon keisoku kako gijutsu no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 1999 results of development of the photon-aided instrumentation and processing technologies. The photon technologies will be widely applicable to various industrial areas, e.g., medical, diagnostic, communication, transmission and chemical areas, in addition to instrumentation and processing, and the FY 1999 project is directed to the survey and analysis of the information, and prediction of their effects. The high-sensitivity light-receiving elements enlarged up to 5mm diameter (effective area) are developed, based on the technologies to grow the thin films using an MOVPE (metal-organic vapor-phase epitaxy) device and the results of development of the infrared ray-receiving InGaAs photodiode sensitive in a 2.5 to 2.7{mu}m wavelength range. The surface roughness of 0.4nmRMS is achieved by the bowl feed liquid polishing method, to develop the processing technologies for high-precision substrates for optical mirrors. The results are used to develop the prototype X-ray mirrors with surface accuracy of {lambda}/10 to {lambda}/20 and roughness of 0.3 to 0.5nmRMS. In the development of the technologies for the light sources which can sufficiently supply photons for exciting semiconductor lasers, the technologies are developed to efficiently converge the laser beams. Also developed are the apparatus which can converge the beams to a diameter of around 0.6mm, and the apparatus which uses optical fibers to evaluate the beam diameter. (NEDO)

  3. Diffractive beam shaping for enhanced laser polymer welding

    Science.gov (United States)

    Rauschenberger, J.; Vogler, D.; Raab, C.; Gubler, U.

    2015-03-01

    Laser welding of polymers increasingly finds application in a large number of industries such as medical technology, automotive, consumer electronics, textiles or packaging. More and more, it replaces other welding technologies for polymers, e. g. hot-plate, vibration or ultrasonic welding. At the same rate, demands on the quality of the weld, the flexibility of the production system and on processing speed have increased. Traditionally, diode lasers were employed for plastic welding with flat-top beam profiles. With the advent of fiber lasers with excellent beam quality, the possibility to modify and optimize the beam profile by beam-shaping elements has opened. Diffractive optical elements (DOE) can play a crucial role in optimizing the laser intensity profile towards the optimal M-shape beam for enhanced weld seam quality. We present results on significantly improved weld seam width constancy and enlarged process windows compared to Gaussian or flat-top beam profiles. Configurations in which the laser beam diameter and shape can be adapted and optimized without changing or aligning the laser, fiber-optic cable or optical head are shown.

  4. Modified process for refining niobium by electron beam

    International Nuclear Information System (INIS)

    Pinatti, D.G.; Takano, C.

    1982-01-01

    The experimental results, thermodynamic equilibrium and kinetic theory of the metal/gas interaction in refractory metals are reviewed. The adsorption and desorption of nitrogen, hydrogen and CO are reversible, whereas those of oxygen are irreversible, with adsorption of an oxygen atom and volatilisation of the metal oxide. Based upon this fact, a new electron beam refining technology is proposed for niobium, consisting of four points: preparation of an electrode by aluminothermic reduction; zone refining in the first melt; kinetic refining in subsequent melts and compact design of the refining plant. Experimental results from a 300 kW pilot plant were in complete agreement with the technology proposed, giving 2.4 times the productivity predicted by the conventional technology. (Author) [pt

  5. Application of electron beam curing technology for paper products

    International Nuclear Information System (INIS)

    Takaharu Miura

    1999-01-01

    The electron beam (EB) curing technology has rapidly advanced in recent years. However there were few examples applying this technology to paper products. One reason comes from the high price of EB equipment and the other comes from the difficulty of controlling the irradiation which gives damages to paper. In spite of these problems, the EB cured coating layer shows remarkable features, such as solvent-resistance, water-resistance, heat-resistance and high smoothness using the drum casting technique. Concentrating on application of this technology to paper, we have already developed some products. For example, paper for printings (Super Mirror PN) and for white boards (Super Mirror WB) have been manufactured. In this presentation, we are going to introduce this EB curing technique and the products

  6. Nano-scale processes behind ion-beam cancer therapy

    Science.gov (United States)

    Surdutovich, Eugene; Garcia, Gustavo; Mason, Nigel; Solov'yov, Andrey V.

    2016-04-01

    This topical issue collates a series of papers based on new data reported at the third Nano-IBCT Conference of the COST Action MP1002: Nanoscale Insights into Ion Beam Cancer Therapy, held in Boppard, Germany, from October 27th to October 31st, 2014. The Nano-IBCT COST Action was launched in December 2010 and brought together more than 300 experts from different disciplines (physics, chemistry, biology) with specialists in radiation damage of biological matter from hadron-therapy centres, and medical institutions. This meeting followed the first and the second conferences of the Action held in October 2011 in Caen, France and in May 2013 in Sopot, Poland respectively. This conference series provided a focus for the European research community and has highlighted the pioneering research into the fundamental processes underpinning ion beam cancer therapy. Contribution to the Topical Issue "COST Action Nano-IBCT: Nano-scale Processes Behind Ion-Beam Cancer Therapy", edited by Andrey V. Solov'yov, Nigel Mason, Gustavo Garcia and Eugene Surdutovich.

  7. Development of advanced neutron beam technology

    Energy Technology Data Exchange (ETDEWEB)

    Seong, B S; Lee, J S; Sim, C M [and others

    2007-06-15

    The purpose of this work is to timely support the national science and technology policy through development of the advanced application techniques for neutron spectrometers, built in the previous project, in order to improve the neutron spectrometer techniques up to the world-class level in both quantity and quality and to reinforce industrial competitiveness. The importance of the research and development (R and D) is as follows: 1. Technological aspects - Development of a high value-added technology through performing the advanced R and D in the broad research areas from basic to applied science and from hard to soft condensed matter using neutron scattering technique. - Achievement of an important role in development of the new technology for the following industries aerospace, defense industry, atomic energy, hydrogen fuel cell etc. by the non-destructive inspection and analysis using neutron radiography. - Development of a system supporting the academic-industry users for the HANARO facility 2. Economical and Industrial Aspects - Essential technology in the industrial application of neutron spectrometer, in the basic and applied research of the diverse materials sciences, and in NT, BT, and IT areas - Broad impact on the economics and the domestic and international collaborative research by using the neutron instruments in the mega-scale research facility, HANARO, that is a unique source of neutron in Korea. 3. Social Aspects - Creating the scientific knowledge and contributing to the advanced industrial society through the neutron beam application - Improving quality of life and building a national consensus on the application of nuclear power by developing the RT fusion technology using the HANARO facility. - Widening the national research area and strengthening the national R and D capability by performing advanced R and D using the HANARO facility.

  8. Development of advanced neutron beam technology

    International Nuclear Information System (INIS)

    Seong, B. S.; Lee, J. S.; Sim, C. M.

    2007-06-01

    The purpose of this work is to timely support the national science and technology policy through development of the advanced application techniques for neutron spectrometers, built in the previous project, in order to improve the neutron spectrometer techniques up to the world-class level in both quantity and quality and to reinforce industrial competitiveness. The importance of the research and development (R and D) is as follows: 1. Technological aspects - Development of a high value-added technology through performing the advanced R and D in the broad research areas from basic to applied science and from hard to soft condensed matter using neutron scattering technique. - Achievement of an important role in development of the new technology for the following industries aerospace, defense industry, atomic energy, hydrogen fuel cell etc. by the non-destructive inspection and analysis using neutron radiography. - Development of a system supporting the academic-industry users for the HANARO facility 2. Economical and Industrial Aspects - Essential technology in the industrial application of neutron spectrometer, in the basic and applied research of the diverse materials sciences, and in NT, BT, and IT areas - Broad impact on the economics and the domestic and international collaborative research by using the neutron instruments in the mega-scale research facility, HANARO, that is a unique source of neutron in Korea. 3. Social Aspects - Creating the scientific knowledge and contributing to the advanced industrial society through the neutron beam application - Improving quality of life and building a national consensus on the application of nuclear power by developing the RT fusion technology using the HANARO facility. - Widening the national research area and strengthening the national R and D capability by performing advanced R and D using the HANARO facility

  9. Application of repetitive pulsed power technology to chemical processing

    International Nuclear Information System (INIS)

    Kaye, R.J.; Hamil, R.

    1995-01-01

    The numerous sites of soil and water contaminated with organic chemicals present an urgent environmental concern that continues to grow. Electron and x-ray irradiation have been shown to be effective methods to destroy a wide spectrum of organic chemicals, nitrates, nitrites, and cyanide in water by breaking molecules to non-toxic products or entirely mineralizing the by-products to gas, water, and salts. Sandia National Laboratories is developing Repetitive High Energy Pulsed Power (RHEPP) technology capable of producing high average power, broad area electron or x-ray beams. The 300 kW RHEPP-II facility accelerates electrons to 2.5 MeV at 25 kA over 1,000 cm 2 in 60 ns pulses at repetition rates of over 100 Hz. Linking this modular treatment capability with the rapid optical-sensing diagnostics and neutral network characterization software algorithms will provide a Smart Waste Treatment (SWaT) system. Such a system would also be applicable for chemical manufacture and processing of industrial waste for reuse or disposal. This talk describes both the HREPP treatment capability and sensing technologies. Measurements of the propagated RHEPP-II beam and dose profiles are presented. Sensors and rapid detection software are discussed with application toward chemical treatment

  10. Photodissociation processes in molecular beams

    International Nuclear Information System (INIS)

    Carlson, L.R.

    1979-05-01

    A description is presented of a study of the photodissociation dynamics of molecules in a molecular beam. Photo-fragmentation translational spectroscopy has been utilized to observe the photodissociation dynamics of ozone. Using a supersonic molecular beam and a 10 nanosecond pulsed laser at lambda = 266 nm, the velocities of the fragment products are measured by the method of time of flight. The resolution of the time of flight spectrum of ozone is sufficiently high that the electronic and vibrational states are clearly resolved and identified. Above the threshold (lambda 1 D) has been estimated in the past to be unity for the process O 3 ( 1 A 1 ) + hν)lambda 3 ( 1 B 2 ) → O 2 ( 1 Δ/sub g/) + O( 1 D). However a small production of O 2 ( 3 Σ/sub g/ - ) + O( 3 P) has been observed in this study. The O 2 ( 1 Δ/sub g/) product yields four vibrational states (v = 0, 1, 2, 3) which yields a vibrational temperature of 2700 0 K along with narrow energy distributions of rotational levels. These energy distributions are compared with photodissociation models along with the polarization dependence of the dissociative process which was also measured. 143 references

  11. Negative ion beam processes

    International Nuclear Information System (INIS)

    Hayward, T.D.; Lawrence, G.P.; Bentley, R.F.; Malanify, J.J.; Jackson, J.A.

    1975-06-01

    Los Alamos Scientific Laboratory fiscal year 1975 work on production of intense, very bright, negative hydrogen (H - ), ion beams and conversion of a high-energy (a few hundred MeV) negative beam into a neutral beam are described. The ion source work has used a cesium charge exchange source that has produced H - ion beams greater than or equal to 10 mA (about a factor of 10 greater than those available 1 yr ago) with a brightness of 1.4 x 10 9 A/m 2 -rad 2 (about 18 times brighter than before). The high-energy, neutral beam production investigations have included measurements of the 800-MeV H - -stripping cross section in hydrogen gas (sigma/sub -10/, tentatively 4 x 10 -19 cm 2 ), 3- to 6-MeV H - -stripping cross sections in a hydrogen plasma (sigma/sub -10/, tentatively 2 to 4 x 10 -16 cm 2 ), and the small-angle scattering that results from stripping an 800-MeV H - ion beam to a neutral (H 0 ) beam in hydrogen gas. These last measurements were interrupted by the Los Alamos Meson Physics Facility shutdown in December 1974, but should be completed early in fiscal year 1976 when the accelerator resumes operation. Small-angle scattering calculations have included hydrogen gas-stripping, plasma-stripping, and photodetachment. Calculations indicate that the root mean square angular spread of a 390-MeV negative triton (T - ) beam stripped in a plasma stripper may be as low as 0.7 μrad

  12. Surface modification of the metal plates using continuous electron beam process (CEBP)

    International Nuclear Information System (INIS)

    Kim, Jisoo; Kim, Jin-Seok; Kang, Eun-Goo; Park, Hyung Wook

    2014-01-01

    Highlights: • We performed surface modification of SM20C, SUS303, and Al6061 using CEBP. • We analyzed surface properties and microstructure after electron-beam irradiation. • The surface quality was improved after electron-beam irradiation. • The surface hardness for SM20C was increased by ∼50% after CEBP irradiation. - Abstract: The finishing process is an important component of the quality-control procedure for final products in manufacturing applications. In this study, we evaluated the performance of continuous electron-beam process as the final process for finishing SM20C (steel alloy), SUS303 (stainless steel alloy), and Al6061 (aluminum alloy) surfaces both on the initially smooth and rough surfaces. Surface modification of the metals was carried out by varying the feed and frequency of the continuous electron-beam irradiation procedure. The resulting surface roughness was examined with respect to the initial surface roughness of the metals. SM20C and SUS303 experienced an improvement in surface roughness, particularly for initially rough surfaces. Continuous electron-beam process produced craters during the process and the effect of this phenomenon on the resulting surface roughness was relatively large with the initially smooth SM20C and SUS303 alloy surfaces. For Al6061, the continuous electron-beam process was effective at improving its surface roughness even with the initially smooth surface under the optimized conditions of process; this was attributed to its low melting point. Scanning electron microscopy was used to identify metallurgical variation within the thin melted and re-solidification layers of the tested alloys. Changes in the surface contact angle and hardness before and after electron-beam irradiation were also examined

  13. Surface modification of the metal plates using continuous electron beam process (CEBP)

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jisoo, E-mail: kimjisu16@unist.ac.kr [School of Mechanical and Advanced Materials Engineering, Ulsan National Institute of Science and Technology, UNIST-gil 50, Eonyang-eup, Ulju-gun, Ulsan Metropolitan City 689-798 (Korea, Republic of); Kim, Jin-Seok, E-mail: totoro22@kitech.re.kr [Korea Institute of Industrial Technology (KITECH), KITECH Cheonan Headquarters 35-3 Hongcheon-ri, Ipjang-myeon, Cheonan-si, Chungcheongnam-do 330-825 (Korea, Republic of); Kang, Eun-Goo, E-mail: egkang@kitech.re.kr [Korea Institute of Industrial Technology (KITECH), KITECH Cheonan Headquarters 35-3 Hongcheon-ri, Ipjang-myeon, Cheonan-si, Chungcheongnam-do 330-825 (Korea, Republic of); Park, Hyung Wook, E-mail: hwpark@unist.ac.kr [School of Mechanical and Advanced Materials Engineering, Ulsan National Institute of Science and Technology, UNIST-gil 50, Eonyang-eup, Ulju-gun, Ulsan Metropolitan City 689-798 (Korea, Republic of)

    2014-08-30

    Highlights: • We performed surface modification of SM20C, SUS303, and Al6061 using CEBP. • We analyzed surface properties and microstructure after electron-beam irradiation. • The surface quality was improved after electron-beam irradiation. • The surface hardness for SM20C was increased by ∼50% after CEBP irradiation. - Abstract: The finishing process is an important component of the quality-control procedure for final products in manufacturing applications. In this study, we evaluated the performance of continuous electron-beam process as the final process for finishing SM20C (steel alloy), SUS303 (stainless steel alloy), and Al6061 (aluminum alloy) surfaces both on the initially smooth and rough surfaces. Surface modification of the metals was carried out by varying the feed and frequency of the continuous electron-beam irradiation procedure. The resulting surface roughness was examined with respect to the initial surface roughness of the metals. SM20C and SUS303 experienced an improvement in surface roughness, particularly for initially rough surfaces. Continuous electron-beam process produced craters during the process and the effect of this phenomenon on the resulting surface roughness was relatively large with the initially smooth SM20C and SUS303 alloy surfaces. For Al6061, the continuous electron-beam process was effective at improving its surface roughness even with the initially smooth surface under the optimized conditions of process; this was attributed to its low melting point. Scanning electron microscopy was used to identify metallurgical variation within the thin melted and re-solidification layers of the tested alloys. Changes in the surface contact angle and hardness before and after electron-beam irradiation were also examined.

  14. Electron Beam Technology for Environmental Pollution Control.

    Science.gov (United States)

    Chmielewski, Andrzej G; Han, Bumsoo

    2016-10-01

    Worldwide, there are over 1700 electron beam (EB) units in commercial use, providing an estimated added value to numerous products, amounting to 100 billion USD or more. High-current electron accelerators are used in diverse industries to enhance the physical and chemical properties of materials and to reduce undesirable contaminants such as pathogens, toxic byproducts, or emissions. Over the past few decades, EB technologies have been developed aimed at ensuring the safety of gaseous and liquid effluents discharged to the environment. It has been demonstrated that EB technologies for flue gas treatment (SO x and NO x removal), wastewater purification, and sludge hygienization can be effectively deployed to mitigate environmental degradation. Recently, extensive work has been carried out on the use of EB for environmental remediation, which also includes the removal of emerging contaminants such as VOCs, endocrine disrupting chemicals (EDCs), and potential EDCs.

  15. Electron beam flue gas treatment process. Review

    International Nuclear Information System (INIS)

    Honkonen, V.A.

    1996-01-01

    The basis of the process for electron beam flue gas treatment are presented in the report. In tabular form the history of the research is reviewed. Main dependences of SO 2 and NO x removal efficiencies on different physico-chemical parameters are discussed. Trends concerning industrial process implementation are presented in the paper,finally. (author). 74 refs, 11 figs, 1 tab

  16. Materials of the Regional Training Course on Validation and Process Control for Electron Beam Radiation Processing

    International Nuclear Information System (INIS)

    Kaluska, I.; Gluszewski, W.

    2007-01-01

    Irradiation with electron beams is used in the polymer industry, food, pharmaceutical and medical device industries for sterilization of surfaces. About 20 lectures presented during the Course were devoted to all aspects of control and validation of low energy electron beam processes. They should help the product manufacturers better understand the application of the ANSI/AAMI/ISO 11137 norm, which defines the requirements and standard practices for validation of the irradiation process and the process controls required during routine processing

  17. Development process of new bumper beam for passenger car: A review

    International Nuclear Information System (INIS)

    Davoodi, M.M.; Sapuan, S.M.; Aidy, A.; Abu Osman, N.A.; Oshkour, A.A.; Wan Abas, W.A.B.

    2012-01-01

    Highlights: ► The process of new bumper beam development for passenger car is discussed. ► A new bumper system has been added to the previous developed bumper systems. ► The flow chart of design and analysis of bumper beam is shown. ► Different analysis for developing new bumper beam before production is discussed. ► The process of material selection in bumper beam is discussed. -- Abstract: Bumper beam absorbs the accidental kinetic energy by deflection in low-speed impact and by deformation in high-speed impact. The safety regulations “low-, and high-speed, and pedestrian impacts” along with new environmental restrictions “end-of-life vehicles” increased the complexity level of bumper system design. The new bumper design must be flexible enough to reduce the passenger and occupant injury and stay intact in low-speed impact besides being stiff enough to dissipate the kinetic energy in high-speed impact. The reinforcement beam plays a vital role in safety and it must be validated through finite-element analysis (FEA) and experimental tests before mass production. The careful design and analysis of bumper beam effective parameters can optimize the strength, reduce the weight, and increase the possibility of utilizing biodegradable and recyclable materials to reduce the environmental pollution. Developing the correct design and analysis procedures prevents design re-modification. On the other hand, analysis of the most effective parameters conducive to high bumper beam strength increases the efficiency of product development. Cross section, longitudinal curvature, fixing method, rib thickness, and strength are some of the significant design parameters in bumper beam production. This study critically reviews the related literature on bumper design to come up with the optimal bumper beam design process. It particularly focuses on the effective parameters in the design of bumper beam and their most suitable values or ranges of values. The results can

  18. CERN's web application updates for electron and laser beam technologies

    CERN Document Server

    Sigas, Christos

    2017-01-01

    This report describes the modifications at CERN's web application for electron and laser beam technologies. There are updates at both the front and the back end of the application. New electron and laser machines were added and also old machines were updated. There is also a new feature for printing needed information.

  19. Beam position and phase measurements of microampere beams at the Michigan State University REA3 facility

    CERN Document Server

    Crisp, J; Durickovic, B; Kiupel, G; Krause, S; Leitner, D; Nash, S; Rodriguez, J A; Russo, T; Webber, R; Wittmer, W; Eddy, N; Briegel, C; Fellenz, B; Slimmer, D; Wendt, M

    2013-01-01

    A high power CW, heavy ion linac will be the driver accelerator for the Facility for Rare Isotope Beams (FRIB) being designed at Michigan State University (MSU). The linac requires a Beam Position Monitoring (BPM) system with better than 100 micron resolution at 100 microamperes beam current. A low beam current test of the candidate technology, button pick-ups and direct digital down-conversion signal processing, was conducted in the ReA3 re-accelerated beam facility at Michigan State University. The test is described. Beam position and phase measurement results, demonstrating ~250 micron and ~1.5 degree resolution in a 45 kHz bandwidth for a 1.0 microampere beam current, are reported.

  20. An Investigation of Sintering Parameters on Titanium Powder for Electron Beam Melting Processing Optimization

    Directory of Open Access Journals (Sweden)

    Philipp Drescher

    2016-12-01

    Full Text Available Selective electron beam melting (SEBM is a relatively new additive manufacturing technology for metallic materials. Specific to this technology is the sintering of the metal powder prior to the melting process. The sintering process has disadvantages for post-processing. The post-processing of parts produced by SEBM typically involves the removal of semi-sintered powder through the use of a powder blasting system. Furthermore, the sintering of large areas before melting decreases productivity. Current investigations are aimed at improving the sintering process in order to achieve better productivity, geometric accuracy, and resolution. In this study, the focus lies on the modification of the sintering process. In order to investigate and improve the sintering process, highly porous titanium test specimens with various scan speeds were built. The aim of this study was to decrease build time with comparable mechanical properties of the components and to remove the residual powder more easily after a build. By only sintering the area in which the melt pool for the components is created, an average productivity improvement of approx. 20% was achieved. Tensile tests were carried out, and the measured mechanical properties show comparatively or slightly improved values compared with the reference.

  1. Environmental applications of electron-beam technology

    International Nuclear Information System (INIS)

    Pikaev, A.K.

    2001-01-01

    The main directions of modern environmental applications of electron-beam technology are the following: 1) treatment of polluted natural and drinking water, municipal and industrial wastewater, other liquid wastes; 2) purification of gases; 3) treatment of sewage sludges; 4) treatment of solid wastes (medical wastes, contaminated soil and so on). In some cases, the results of respective researches and developments found a large-scale application. For example, recently several industrial plants for electron-beam purification of flue gases of thermal power plants from SO2 and NOx were created in China, Poland and Japan. In the report, a brief summary of the most important results obtained in the mentioned directions will be presented. A special attention will be paid to the data in the first direction. In particular, the recent results on radiation treatment of some liquid systems obtained in the laboratory under author's leadership will be considered. One of them is water polluted with petroleum products (motor oil, diesel fuel, residual fuel oil). The pollutants were present in water in dissolved form and as a separate phase. It was found that irradiation (dose 25-40 kGy) decomposes and removes the pollutants as a precipitate. The second system is natural oil gas consisting of gaseous and low-boiling hydrocarbons, water and so on. Laboratory- and pilot-scale (with electron accelerator of 0.7 MeV and 30 kW) studies have shown that electron-beam treatment (in a recycling regime with continuous sampling the liquid phase) of this gas leads to the formation of a mixture of liquid branched hydrocarbons, alcohols, ethers and so on, i.e., there is a radiation-induced liquefaction of the natural oil gas. The mechanism of radiolytic conversions occurring in the mentioned systems will be discussed

  2. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  3. Development of a double beam process for joining aluminum and steel

    Science.gov (United States)

    Frank, Sascha

    2014-02-01

    Multi-material structures pose an attractive option for overcoming some of the central challenges in lightweight design. An exceptionally high potential for creating cost-effective lightweight solutions is attributed to the combination of steel and aluminum. However, these materials are also particularly difficult to join due to their tendency to form intermetallic compounds (IMCs). The growth of these compounds is facilitated by high temperatures and long process times. Due to their high brittleness, IMCs can severely weaken a joint. Thus, it is only possible to create durable steel-aluminum joints when the formation of IMCs can be limited to a non-critical level. To meet this goal, a new joining method has been designed. The method is based on the combination of a continuous wave (pw) and a pulsed laser (pw) source. Laser beams from both sources are superimposed in a common process zone. This makes it possible to apply the advantages of laser brazing to mixed-metal joints without requiring the use of chemical fluxes. The double beam technology was first tested in bead-on-plate experiments using different filler wire materials. Based on the results of these tests, a process for joining steel and aluminum in a double-flanged configuration is now being developed. The double flanged seams are joined using zinc- or aluminum-based filler wires. Microsections of selected seams show that it is possible to achieve good base material wetting while limiting the growth of IMCs to acceptable measures. In addition, the results of tensile tests show that high joint strengths can be achieved.

  4. Planck 2015 results. VII. HFI TOI and beam processing

    CERN Document Server

    Adam, R.; Aghanim, N.; Arnaud, M.; Ashdown, M.; Aumont, J.; Baccigalupi, C.; Banday, A.J.; Barreiro, R.B.; Bartolo, N.; Battaner, E.; Benabed, K.; Benoît, A.; Benoit-Lévy, A.; Bernard, J.-P.; Bersanelli, M.; Bertincourt, B.; Bielewicz, P.; Bock, J.J.; Bonavera, L.; Bond, J.R.; Borrill, J.; Bouchet, F.R.; Boulanger, F.; Bucher, M.; Burigana, C.; Calabrese, E.; Cardoso, J.-F.; Catalano, A.; Challinor, A.; Chamballu, A.; Chary, R.-R.; Chiang, H.C.; Christensen, P.R.; Clements, D.L.; Colombi, S.; Colombo, L.P.L.; Combet, C.; Couchot, F.; Coulais, A.; Crill, B.P.; Curto, A.; Cuttaia, F.; Danese, L.; Davies, R.D.; Davis, R.J.; de Bernardis, P.; de Rosa, A.; de Zotti, G.; Delabrouille, J.; Delouis, J.-M.; Désert, F.-X.; Diego, J.M.; Dole, H.; Donzelli, S.; Doré, O.; Douspis, M.; Ducout, A.; Dupac, X.; Efstathiou, G.; Elsner, F.; Enßlin, T.A.; Eriksen, H.K.; Falgarone, E.; Fergusson, J.; Finelli, F.; Forni, O.; Frailis, M.; Fraisse, A.A.; Franceschi, E.; Frejsel, A.; Galeotta, S.; Galli, S.; Ganga, K.; Ghosh, T.; Giard, M.; Giraud-Héraud, Y.; Gjerløw, E.; González-Nuevo, J.; Górski, K.M.; Gratton, S.; Gruppuso, A.; Gudmundsson, J.E.; Hansen, F.K.; Hanson, D.; Harrison, D.L.; Henrot-Versillé, S.; Herranz, D.; Hildebrandt, S.R.; Hivon, E.; Hobson, M.; Holmes, W.A.; Hornstrup, A.; Hovest, W.; Huffenberger, K.M.; Hurier, G.; Jaffe, A.H.; Jaffe, T.R.; Jones, W.C.; Juvela, M.; Keihänen, E.; Keskitalo, R.; Kisner, T.S.; Kneissl, R.; Knoche, J.; Kunz, M.; Kurki-Suonio, H.; Lagache, G.; Lamarre, J.-M.; Lasenby, A.; Lattanzi, M.; Lawrence, C.R.; Le Jeune, M.; Leahy, J.P.; Lellouch, E.; Leonardi, R.; Lesgourgues, J.; Levrier, F.; Liguori, M.; Lilje, P.B.; Linden-Vørnle, M.; López-Caniego, M.; Lubin, P.M.; Macías-Pérez, J.F.; Maggio, G.; Maino, D.; Mandolesi, N.; Mangilli, A.; Maris, M.; Martin, P.G.; Martínez-González, E.; Masi, S.; Matarrese, S.; McGehee, P.; Melchiorri, A.; Mendes, L.; Mennella, A.; Migliaccio, M.; Mitra, S.; Miville-Deschênes, M.-A.; Moneti, A.; Montier, L.; Moreno, R.; Morgante, G.; Mortlock, D.; Moss, A.; Mottet, S.; Munshi, D.; Murphy, J.A.; Naselsky, P.; Nati, F.; Natoli, P.; Netterfield, C.B.; Nørgaard-Nielsen, H.U.; Noviello, F.; Novikov, D.; Novikov, I.; Oxborrow, C.A.; Paci, F.; Pagano, L.; Pajot, F.; Paoletti, D.; Pasian, F.; Patanchon, G.; Pearson, T.J.; Perdereau, O.; Perotto, L.; Perrotta, F.; Pettorino, V.; Piacentini, F.; Piat, M.; Pierpaoli, E.; Pietrobon, D.; Plaszczynski, S.; Pointecouteau, E.; Polenta, G.; Pratt, G.W.; Prézeau, G.; Prunet, S.; Puget, J.-L.; Rachen, J.P.; Reinecke, M.; Remazeilles, M.; Renault, C.; Renzi, A.; Ristorcelli, I.; Rocha, G.; Rosset, C.; Rossetti, M.; Roudier, G.; Rowan-Robinson, M.; Rusholme, B.; Sandri, M.; Santos, D.; Sauvé, A.; Savelainen, M.; Savini, G.; Scott, D.; Seiffert, M.D.; Shellard, E.P.S.; Spencer, L.D.; Stolyarov, V.; Stompor, R.; Sudiwala, R.; Sutton, D.; Suur-Uski, A.-S.; Sygnet, J.-F.; Tauber, J.A.; Terenzi, L.; Toffolatti, L.; Tomasi, M.; Tristram, M.; Tucci, M.; Tuovinen, J.; Valenziano, L.; Valiviita, J.; Van Tent, B.; Vibert, L.; Vielva, P.; Villa, F.; Wade, L.A.; Wandelt, B.D.; Watson, R.; Wehus, I.K.; Yvon, D.; Zacchei, A.

    2016-01-01

    The Planck High Frequency Instrument (HFI) has observed the full sky at six frequencies (100, 143, 217, 353, 545, and 857 GHz) in intensity and at four frequencies in linear polarization (100, 143, 217, and 353 GHz). In order to obtain sky maps, the time-ordered information (TOI) containing the detector and pointing samples must be processed and the angular response must be assessed. The full mission TOI is included in the Planck 2015 release. This paper describes the HFI TOI and beam processing for the 2015 release. HFI calibration and map-making are described in a companion paper. The main pipeline has been modified since the last release (2013 nominal mission in intensity only), by including a correction for the non-linearity of the warm readout and by improving the model of the bolometer time response. The beam processing is an essential tool that derives the angular response used in all the Planck science papers and we report an improvement in the effective beam window function uncertainty of more than a...

  5. The nuclear interaction analysis methods for diagnostics of high power ion beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Ryzhkov, V A; Grushin, I I; Remnev, G E [Nuclear Physics Inst., Tomsk (Russian Federation)

    1997-12-31

    The complex of Nuclear Interaction Analysis Methods including charged particle activation analysis (CPAA and HIAA), spectrometry of ion induced gamma-emission (PIGE and HIIGE) , characteristic X-ray emission (PIXE), and Rutherford Backscattering Spectrometry (RBS), have been used for diagnostics of the High Power Ion Beam (HPIB) assisted technologies. Accelerated ion beams from the EG-2.5 electrostatic generator and U-120 cyclotron were used for implementation of the techniques. The complex allows a lot of problems of elemental and isotopic analysis to be addressed. First, it is the determination of micro- and macrocomponents of modified materials; second, determination of surface density of thin films, multilayers and coatings, total surface gaseous contamination and amounts of the elements implanted in specimens; third, measurement of concentration depth profiles of the elements. Experiments have shown that the preferable application of nuclear analysis methods allows us to avoid the considerable errors arising when the concentration depth profiles of elements are measured by SIMS or AES in studies of mass transfer processes induced by HPIBs. (author). 1 tab., 2 figs., 3 refs.

  6. Photodissociation processes in molecular beams

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, L.R.

    1979-05-01

    A description is presented of a study of the photodissociation dynamics of molecules in a molecular beam. Photo-fragmentation translational spectroscopy has been utilized to observe the photodissociation dynamics of ozone. Using a supersonic molecular beam and a 10 nanosecond pulsed laser at lambda = 266 nm, the velocities of the fragment products are measured by the method of time of flight. The resolution of the time of flight spectrum of ozone is sufficiently high that the electronic and vibrational states are clearly resolved and identified. Above the threshold (lambda < 310 nm), the quantum yield for the production of O(/sup 1/D) has been estimated in the past to be unity for the process O/sub 3/ (/sup 1/A/sub 1/) + h..nu..)lambda < 300 nm) ..-->.. O/sub 3/(/sup 1/B/sub 2/) ..-->.. O/sub 2/(/sup 1/..delta../sub g/) + O(/sup 1/D). However a small production of O/sub 2/ (/sup 3/..sigma../sub g//sup -/) + O(/sup 3/P) has been observed in this study. The O/sub 2/(/sup 1/..delta../sub g/) product yields four vibrational states (v = 0, 1, 2, 3) which yields a vibrational temperature of 2700/sup 0/K along with narrow energy distributions of rotational levels. These energy distributions are compared with photodissociation models along with the polarization dependence of the dissociative process which was also measured. 143 references.

  7. Composite plastic coatings and face rolled materials technology, energy- and environment-saving, based on irradiation of liquid monomers by broad and high-current electron beams in vacuum

    Science.gov (United States)

    Vaisburd, David

    1994-05-01

    The technology is based on the well-known process of hardening some organic liquid monomers and oligomers (resins and lacquers) under the action of ionizing radiation, i.e. electron, ion, ultra-violet, laser, and X-ray beams. The main mechanism of hardening is 3D polymerization of initial monomers induced by irradiation. First of all 1D polymer chains are created. And the next stage is cross-linking of them. Numerical attempts to apply such a process for plastic materials production met some earnest difficulties. Our decision to perform the whole processing in vacuum changed radically the main properties of radiation induced hardening technology. The inhibition of polymerization by reactive oxygen became unessential. The output window foil of accelerator became unnecessary. Application of super broad beams such as 1 sq.m became possible. The entire efficiency of grid electricity was increased to 60% and it was not the limit. One of the main advantages is that the processing carried out in vacuum may satisfy the highest ecological standards. The technology developed is contamination free and environment-saving.

  8. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  9. Current status of the radiation technology and quality control for radiation processing in Latin America

    International Nuclear Information System (INIS)

    Miranda, Enrique Francisco Prietro

    2013-01-01

    The use of the radiation technology has gained acceptance in various regions of the world, where studies estimated that the installed capacity increases at a rate of 6 % per year and Latin America is part of this increase, due the advantages of this process when it is employed for the food preservation, sterilization of medical pharmaceutical material and to control the insect pests. This paper shows the art state of the application of Radiation Technology in Latin America, as well as the technological characteristics of the most gamma irradiation facilities and minor number the electron beam accelerator facilities, the types of irradiated products, state of the Quality Management System and the Dosimetric Systems used in the Radiation Processing Control in the Region. (author)

  10. Levy-Student processes for a stochastic model of beam halos

    Energy Technology Data Exchange (ETDEWEB)

    Petroni, N. Cufaro [Department of Mathematics, University of Bari, and INFN Sezione di Bari, via E. Orabona 4, 70125 Bari (Italy)]. E-mail: cufaro@ba.infn.it; De Martino, S. [Department of Physics, University of Salerno, and INFN Sezione di Napoli (gruppo di Salerno), Via S. Allende, I-84081 Baronissi (SA) (Italy); De Siena, S. [Department of Physics, University of Salerno, and INFN Sezione di Napoli (gruppo di Salerno), Via S. Allende, I-84081 Baronissi (SA) (Italy); Illuminati, F. [Department of Physics, University of Salerno, and INFN Sezione di Napoli (gruppo di Salerno), Via S. Allende, I-84081 Baronissi (SA) (Italy)

    2006-06-01

    We describe the transverse beam distribution in particle accelerators within the controlled, stochastic dynamical scheme of the stochastic mechanics which produces time reversal invariant diffusion processes. In this paper we analyze the consequences of introducing the generalized Student laws, namely non-Gaussian, Levy infinitely divisible (but not stable) distributions. We will analyze this idea from two different standpoints: (a) first by supposing that the stationary distribution of our (Wiener powered) stochastic model is a Student distribution; (b) by supposing that our model is based on a (non-Gaussian) Levy process whose increments are Student distributed. In the case (a) the longer tails of the power decay of the Student laws, and in the case (b) the discontinuities of the Levy-Student process can well account for the rare escape of particles from the beam core, and hence for the formation of a halo in intense beams.

  11. Levy-Student processes for a stochastic model of beam halos

    International Nuclear Information System (INIS)

    Petroni, N. Cufaro; De Martino, S.; De Siena, S.; Illuminati, F.

    2006-01-01

    We describe the transverse beam distribution in particle accelerators within the controlled, stochastic dynamical scheme of the stochastic mechanics which produces time reversal invariant diffusion processes. In this paper we analyze the consequences of introducing the generalized Student laws, namely non-Gaussian, Levy infinitely divisible (but not stable) distributions. We will analyze this idea from two different standpoints: (a) first by supposing that the stationary distribution of our (Wiener powered) stochastic model is a Student distribution; (b) by supposing that our model is based on a (non-Gaussian) Levy process whose increments are Student distributed. In the case (a) the longer tails of the power decay of the Student laws, and in the case (b) the discontinuities of the Levy-Student process can well account for the rare escape of particles from the beam core, and hence for the formation of a halo in intense beams

  12. Decomposition of organic pollutants in industrial Effluent induced by advanced oxidation process with Electron beam irradiation

    International Nuclear Information System (INIS)

    Duarte, C.L.; Sampa, M.H.O.; Rela, P.R.; Oikawa, H.; Silveira, C.G.

    2001-01-01

    Advanced Oxidation Process (AOP) by electron beam irradiation induce the decomposition of pollutants in industrial effluent. Experiments were conducted using a Radiation Dynamics Electron Beam Accelerator with 1.5 MeV energy and 37 Kew power. Experiments were conducted using samples from a Governmental Wastewater Treatment Plant (WTP) that receives about 20% of industrial wastewater, with the objective of use the electrons beam technology to destroy the refractory organic pollutants. Samples from WTP main Industrial Receiver Unit influent (IRU), Coarse Bar Screens effluent (CBS), Medium Bar Screens effluent (MBS), Primary Sedimentation effluent (PS) and Final Effluent (FE), were collected and irradiated in the electron beam accelerator in a batch system. The delivered doses were 5.0kGy, 10.0kGy and 20.0kGy. The electron beam irradiation showed be efficient on destroying the organic compounds delivered in these effluents mainly chloroform, dichloroethane, methyl isobutyl ketone, benzene, toluene, xylene, phenol. The necessary dose to remove 90% of the most organic compounds from industry effluent was 20 kGy. The removal of organic compounds from this complex mixture were described by the destruction G value (Gd) that were obtained for those compounds in different initial concentration and compared with literature

  13. Proceedings of the national symposium on vacuum science and technology and power beams. Volume 2

    International Nuclear Information System (INIS)

    Venkatramani, N.; Ray, A.K.

    1997-11-01

    This volume contains the proceedings of the national symposium on vacuum science and technology and power beams. The main topics dealt with are: accelerators and vacuum systems, thin films deposition techniques, lasers and electron power beams and their applications in nuclear facilities. Papers relevant to INIS are indexed separately

  14. Technology integration box beam failure study

    Science.gov (United States)

    Shuart, M. J.; Ambur, Damodar R.; Davis, D. D., Jr.; Davis, R. C.; Farley, G. L.; Lotts, C. G.; Wang, J. T.

    1993-01-01

    Composite structures have the potential to be cost-effective, structurally efficient primary aircraft structures. The Advanced Composites Technology (ACT) Program has the goal to develop the technology to exploit this potential for heavily loaded aircraft structures. As part of the ACT Program, Lockheed Aeronautical Systems Company completed the design and fabrication of the Technology Integration Box Beam (TIBB). The TIBB is an advanced composite prototype structure for the center wing section of the C-130 aircraft. Lockheed subjected the TIBB to downbending, upbending, torsion and combined upbending and torsion load conditions to verify the design. The TIBB failed at 83 percent of design ultimate load for the combined upbending and torsion load condition. The objective of this paper is to describe the mechanisms that led to the failure of the TIBB. The results of a comprehensive analytical and experimental study are presented. Analytical results include strain and deflection results from both a global analysis of the TIBB and a local analysis of the failure region. These analytical results are validated by experimental results from the TIBB tests. The analytical and experimental results from the TIBB tests are used to determine a sequence of events that resulted in failure of the TIBB. A potential cause of failure is high stresses in a stiffener runout region. Analytical and experimental results are also presented for a stiffener runout specimen that was used to simulate the TIBB failure mechanisms.

  15. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  16. Underwater laser beam welding technology for reactor vessel nozzles of PWRs

    International Nuclear Information System (INIS)

    Yoda, Masaki; Tamura, Masataka; Tamura, Masataka

    2010-01-01

    Toshiba has developed an underwater laser beam welding technology for the maintenance of reactor vessel nozzles of pressurized water reactors (PWRs), which eliminates the need for the drainage of water from the reactor vessel. The new welding system makes it possible to both reduce the work period and minimize the radiation exposure of workers compared with conventional technologies for welding in ambient air. We have confirmed the effectiveness of this technology through experiments in which stress corrosion cracking (SCC) was mitigated on the inner surfaces of nozzles. We are promoting its practical application in Japan and overseas in cooperation with Westinghouse Electric Company, a group company of Toshiba. (author)

  17. Electron beam curing of polymer matrix composites

    International Nuclear Information System (INIS)

    Janke, C.J.; Wheeler, D.; Saunders, C.

    1998-01-01

    The purpose of the CRADA was to conduct research and development activities to better understand and utilize the electron beam PMC curing technology. This technology will be used to replace or supplement existing PMC thermal curing processes in Department of Energy (DOE) Defense Programs (DP) projects and American aircraft and aerospace industries. This effort involved Lockheed Martin Energy Systems, Inc./Lockheed Martin Energy Research Corp. (Contractor), Sandia National Laboratories, and ten industrial Participants including four major aircraft and aerospace companies, three advanced materials companies, and three electron beam processing organizations. The technical objective of the CRADA was to synthesize and/or modify high performance, electron beam curable materials that meet specific end-use application requirements. There were six tasks in this CRADA including: Electron beam materials development; Electron beam database development; Economic analysis; Low-cost Electron Beam tooling development; Electron beam curing systems integration; and Demonstration articles/prototype structures development. The contractor managed, participated and integrated all the tasks, and optimized the project efforts through the coordination, exchange, and dissemination of information to the project participants. Members of the Contractor team were also the principal inventors on several electron beam related patents and a 1997 R and D 100 Award winner on Electron-Beam-Curable Cationic Epoxy Resins. The CRADA achieved a major breakthrough for the composites industry by having successfully developed high-performance electron beam curable cationic epoxy resins for use in composites, adhesives, tooling compounds, potting compounds, syntactic foams, etc. UCB Chemicals, the world's largest supplier of radiation-curable polymers, has acquired a license to produce and sell these resins worldwide

  18. Effects of Processing Parameters on Surface Roughness of Additive Manufactured Ti-6Al-4V via Electron Beam Melting

    Science.gov (United States)

    Sin, Wai Jack; Nai, Mui Ling Sharon; Wei, Jun

    2017-01-01

    As one of the powder bed fusion additive manufacturing technologies, electron beam melting (EBM) is gaining more and more attention due to its near-net-shape production capacity with low residual stress and good mechanical properties. These characteristics also allow EBM built parts to be used as produced without post-processing. However, the as-built rough surface introduces a detrimental influence on the mechanical properties of metallic alloys. Thereafter, understanding the effects of processing parameters on the part’s surface roughness, in turn, becomes critical. This paper has focused on varying the processing parameters of two types of contouring scanning strategies namely, multispot and non-multispot, in EBM. The results suggest that the beam current and speed function are the most significant processing parameters for non-multispot contouring scanning strategy. While for multispot contouring scanning strategy, the number of spots, spot time, and spot overlap have greater effects than focus offset and beam current. The improved surface roughness has been obtained in both contouring scanning strategies. Furthermore, non-multispot contouring scanning strategy gives a lower surface roughness value and poorer geometrical accuracy than the multispot counterpart under the optimized conditions. These findings could be used as a guideline for selecting the contouring type used for specific industrial parts that are built using EBM. PMID:28937638

  19. Scrap uranium recycling via electron beam melting

    International Nuclear Information System (INIS)

    McKoon, R.

    1993-11-01

    A program is underway at the Lawrence Livermore National Laboratory (LLNL) to recycle scrap uranium metal. Currently, much of the material from forging and machining processes is considered radioactive waste and is disposed of by oxidation and encapsulation at significant cost. In the recycling process, uranium and uranium alloys in various forms will be processed by electron beam melting and continuously cast into ingots meeting applicable specifications for virgin material. Existing vacuum processing facilities at LLNL are in compliance with all current federal and state environmental, safety and health regulations for the electron beam melting and vaporization of uranium metal. One of these facilities has been retrofitted with an auxiliary electron beam gun system, water-cooled hearth, crucible and ingot puller to create an electron beam melt furnace. In this furnace, basic process R ampersand D on uranium recycling will be performed with the goal of eventual transfer of this technology to a production facility

  20. Frontiers of particle beam and high energy density plasma science using pulse power technology

    International Nuclear Information System (INIS)

    Masugata, Katsumi

    2011-04-01

    The papers presented at the symposium on “Frontiers of Particle Beam and High Energy Density Plasma Science using Pulse Power Technology” held in November 20-21, 2009 at National Institute for Fusion Science are collected. The papers reflect the present status and resent progress in the experiment and theoretical works on high power particle beams and high energy density plasmas produced by pulsed power technology. (author)

  1. Low Voltage Electron Beam Processing Final Report CRADA No. TC-645-93-A

    Energy Technology Data Exchange (ETDEWEB)

    Chen, H. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Wakalopulos, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-10-16

    This CRADA project was established to develop a small, inexpensive sealed-tube electron beam processing system having immediate applications in industrial, high speed manufacturing processes, and in the Department of Energy (DOE) waste treatment/cleanup operations. The technical work involved the development and demonstration of a compact, sealed, 50-75 kilovolt (kV) EB generator prototype, including controls and power supply. The specific goals of this project were to develop a low cost vacuum tube capable of shooting an electron beam several inches into the air, and to demonstrate that wide area materials processing is feasible by stacking the tubes to produce continuous beams. During the project, we successfully demonstrated the producibility of a low cost electron beam system and several material processing operations of interest to US industry, DOE and, since September 11, 2001, the Homeland Security.

  2. Suitability of high-current standing-wave linac technology for ultra-relativistic electron beam propagation experiments

    International Nuclear Information System (INIS)

    Moir, D.C.; Faehl, R.J.; Newberger, B.S.; Thode, L.E.

    1981-01-01

    Near-term development of the existing PHERMEX standing-wave linac would provide a 40 to 60 MeV electron beam with a current of 3 kA capable of answering a number of fundamental issues concerning endoatmospheric, ultra-relativistic electron beam propagation. Inherent high-repetition rate and multiple-pulse capability would allow alternative propagation scenarios to be investigated. Much of the theoretical expertise required to support the technology development and time-resolved beam propagation experiments presently resides within the Theoretical Applications Division

  3. Neutron beam applications - A development of real-time imaging processing for neutron radiography

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Whoi Yul; Lee, Sang Yup; Choi, Min Seok; Hwang, Sun Kyu; Han, Il Ho; Jang, Jae Young [Hanyang University, Seoul (Korea)

    1999-08-01

    This research is sponsored and supported by KAERI as a part of {sup A}pplication of Neutron Radiography Beam.{sup M}ain theme of the research is to develop a non-destructive inspection system for the task of studying the real-time behaviour of dynamic motion using neutron beam with the aid of a special purpose real-time image processing system that allows to capture an image of internal structure of a specimen. Currently, most off-the-shelf image processing programs designed for visible light or X-ray are not adequate for the applications that require neutron beam generated by the experimental nuclear reactor. In addition, study of dynamic motion of a specimen is severely constrained by such image processing systems. In this research, a special image processing system suited for such application is developed which not only supplements the commercial image processing system but allows to use neutron beam directly in the system for the study. 18 refs., 21 figs., 1 tab. (Author)

  4. Initial stages of the ion beam mixing process

    International Nuclear Information System (INIS)

    Traverse, A.; Le Boite, M.G.; Nevot, L.; Pardo, B.; Corno, J.

    1987-01-01

    The grazing x-ray reflectometry technique, performed on irradiated periodic multilayers, was used to study the early stages of the ion beam mixing process. We present our first results, obtained on NiAu samples irradiated with He ions. The experimental fluence dependence of the effective diffusion coefficient is in good agreement with a calculation based on a purely ballistic process

  5. Use of radiation processing technology gradually expands in industry

    International Nuclear Information System (INIS)

    Anon.

    1989-01-01

    The use of radioisotopes and radiation is expanding in the fields of industries and medicine with a high potentiality of the application to environmental protection. The technology transfer on the use of isotopes and radiation is progressing in the framework of international cooperation. But the industry has maintained wait and see attitude on the commercialization of food irradiation. Such present features were the highlight in the 19th Japan Conference on Radiation and Radioisotopes held on November 14-16. 72 papers from 19 countries were presented and discussed in 13 sessions. The progress of accelerator technology has contributed to the expansion of radiation processing market. The importance of the application of isotopes and radiation to environmental protection has been gradually acknowledged, and the electron beam treatment of flue gas for acid rain abatement and the elimination of chlorinated ethylene from drinking water were discussed. Drastic change has not been seen in the climate of food irradiation, however there are several positive indicators which support the prediction of slow but steady progress in the commercialization of the process and the trade of irradiated foods. (K.I.)

  6. Mineral Processing Technology Roadmap

    Energy Technology Data Exchange (ETDEWEB)

    none,

    2000-09-01

    This document represents the roadmap for Processing Technology Research in the US Mining Industry. It was developed based on the results of a Processing Technology Roadmap Workshop sponsored by the National Mining Association in conjunction with the US Department of Energy, Office of Energy Efficiency and Renewable Energy, Office of Industrial Technologies. The Workshop was held January 24 - 25, 2000.

  7. Advanced Communication Technology Satellite (ACTS) multibeam antenna technology verification experiments

    Science.gov (United States)

    Acosta, Roberto J.; Larko, Jeffrey M.; Lagin, Alan R.

    1992-01-01

    The Advanced Communication Technology Satellite (ACTS) is a key to reaching NASA's goal of developing high-risk, advanced communications technology using multiple frequency bands to support the nation's future communication needs. Using the multiple, dynamic hopping spot beams, and advanced on board switching and processing systems, ACTS will open a new era in communications satellite technology. One of the key technologies to be validated as part of the ACTS program is the multibeam antenna with rapidly reconfigurable hopping and fixed spot beam to serve users equipped with small-aperature terminals within the coverage areas. The proposed antenna technology experiments are designed to evaluate in-orbit ACTS multibeam antenna performance (radiation pattern, gain, cross pol levels, etc.).

  8. Electron beam curing of composites in North America

    International Nuclear Information System (INIS)

    Berejka, Anthony J.; Eberle, Cliff

    2002-01-01

    Electron beam curing of fiber-reinforced composites was explored over 30 years ago. Since then there have been developments in accelerator technology, in processes for handling materials presented to an accelerator, and in materials that can be used as matrix binders. In recent years in North America, Cooperative Research and Development Agreements (CRADAs) have been formed involving collaboration amongst materials suppliers, accelerator manufacturers and service providers, national laboratories, such as Oak Ridge National Laboratory, and interested potential users. The scope and status of these CRADAs are reviewed along with other recent developments in the electron beam curing of composites in North America. Innovative and proprietary materials technology has been developed and progress made toward implementing commercial practice. Significant market interest has developed in the military/aerospace industries that are finding the process and performance of electron beam cured composites to offer significant benefits

  9. FY 1999 Report on research and development results of photon-applied instrumentation/processing technologies. Research and development of advanced measuring/processing technologies for oil production systems; 1999 nendo foton keisoku kako gijutsu seika hokokusho. Sekiyu seisan system kodo keisoku kako gijutsu kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Described herein are the FY 1999 results of the research and development of photon (laser) beam utilization as part of the R and D project of the advanced measuring/processing technologies for oil production systems. For the high-reliability laser welding technology, the tests are conducted for welding 15 mm thick steel plates and 5 mm thick aluminum alloy plates by synthesized iodine/YAG laser beams, producing high-quality welding results. For the microscopic processing technology, attempts have been made for development of quantum functional optoelectronic devices which have nanometer-sized ultrafine dots. For the non-destructive composition measuring technology, the internal transmission measurement program produces the target light quantity by increasing brightness of the short-wavelength light source. The three-dimensional digital tomography (DT) images with a space resolution of several micrometers are obtained. For the tightly-focusing all-solid-state laser technology, a fiber-structured fiber laser is developed, on a trial basis, to attain a power of 15 W. A high-power, high-brightness laser diode, required for exciting the fiber laser is developed, and a power of 30 W or more is obtained by an InGa(As)P device. The comprehensive investigation results are also presented. (NEDO)

  10. Electron Beam Technology and Other Irradiation Technology Applications in the Food Industry.

    Science.gov (United States)

    Pillai, Suresh D; Shayanfar, Shima

    2017-02-01

    Food irradiation is over 100 years old, with the original patent for X-ray treatment of foods being issued in early 1905, 20 years after there discovery by W. C. Roentgen in 1885. Since then, food irradiation technology has become one of the most extensively studied food processing technologies in the history of mankind. Unfortunately, it is the one of the most misunderstood technologies with the result that there are rampant misunderstandings of the core technology, the ideal applications, and how to use it effectively to derive the maximum benefits. There are a number of books, book chapters, and review articles that provide overviews of this technology [25, 32, 36, 39]. Over the last decade or so, the technology has come into greater focus because many of the other pathogen intervention technologies have been unable to provide sustainable solutions on how to address pathogen contamination in foods. The uniqueness of food irradiation is that this technology is a non-thermal food processing technology, which unto itself is a clear high-value differentiator from other competing technologies.

  11. Technology or Process First?

    DEFF Research Database (Denmark)

    Siurdyban, Artur Henryk; Svejvig, Per; Møller, Charles

    Enterprise Systems Management (ESM) and Business Pro- cess Management (BPM), although highly correlated, have evolved as alternative and mutually exclusive approaches to corporate infrastruc- ture. As a result, companies struggle to nd the right balance between technology and process factors...... in infrastructure implementation projects. The purpose of this paper is articulate a need and a direction to medi- ate between the process-driven and the technology-driven approaches. Using a cross-case analysis, we gain insight into two examples of sys- tems and process implementation. We highlight the dierences...... between them using strategic alignment, Enterprise Systems and Business Process Management theories. We argue that the insights from these cases can lead to a better alignment between process and technology. Implications for practice include the direction towards a closer integration of process...

  12. The future of focused electron beam-induced processing

    International Nuclear Information System (INIS)

    Hagen, C.W.

    2014-01-01

    A perspective is sketched for the field of focused electron beam-induced processing (FEBIP). The FEBIP lithography technique is compared to the very successful resist-based electron beam lithography (EBL) technique. The advantages of FEBIP over EBL are identified, the main advantage being its high spatial resolution. This will enable FEBIP to become an important lithography technique for the fabrication of devices with critical dimension in the range between 1 and 20 nm and serve as a complementary technique to EBL. It will be discussed what needs to be done to achieve this and what the potential applications are. (orig.)

  13. Process qualification and control in electron beams--requirements, methods, new concepts and challenges

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Gratzl, F.; Hanis, D.

    2004-01-01

    In this paper the status of process qualification and control in electron beam irradiation is analyzed in terms of requirements, concepts, methods and challenges for a state-of-the-art process control concept for medical device sterilization. Aspects from process qualification to routine process control are described together with the associated process variables. As a case study the 10 MeV beams at Mediscan GmbH are considered. Process control concepts like statistical process control (SPC) and a new concept to determine process capability is briefly discussed

  14. The Prestressed Track Beam Testing Technology of Shanghai Electromagnetic Levitation Train

    Directory of Open Access Journals (Sweden)

    Qing-biao WANG

    2013-07-01

    Full Text Available Shanghai electromagnetic levitation train (maglev is the first one that is constructed and operated commercially in the world. Many technological problems have to be tackled during its construction, and the most difficult problem in the civil engineering part is the making of prestressed track beam. It requires high precision because of its special function. The stretching control of the pre-tensioning force and the post-tensioning force in the making of prestressed track beam is most important during the construction. This paper introduces and analyses the technical features of vibrating wire sensors as well as the development, the research and the application of force sensor for pulling force measurement of anchor cable.

  15. NSRL 200 MeV linac beam energy stabilization system

    International Nuclear Information System (INIS)

    Huang Guirong; Pei Yuanji; Dong Sai

    2001-01-01

    By using the computer image processing technology and RF phase auto-shifting system, the ESS (Energy Stabilization System) was applied to 200 MeV Linac. the ESS adjusts beam energy automatically in a range of +-4 MeV. After adjustment beam energy stability is improved to +-6%

  16. Instrumentation for beam radiation and luminosity measurement in the CMS experiment using novel detector technologies

    CERN Document Server

    Guthoff, Moritz

    2017-01-01

    The higher energy and luminosity of the LHC initiated the development of dedicated technologies for radiation monitoring and luminosity measurement. A pixelated luminosity detector counts coincidences in several three layer telescopes of silicon pixel detectors to measure the luminosity for each colliding LHC bunch pair. In addition, charged particle tracking allows to monitor the location of the collision point.The upgraded fast beam conditions monitor measures the particle flux using 24 two pad single crystalline diamond sensors, equipped with a fast front-end ASIC produced in 130 nm CMOS technology. The excellent time resolution is used to separate collision products from machine induced background.A new beam-halo monitor at larger radius exploits Cerenkov light produced by relativistic charged particles in fused quartz crystals to provide direction sensitivity and time resolution to separate incoming and outgoing particles. The back-end electronics of the beam monitoring systems includes dedicated modules...

  17. Leading survey and research report for fiscal 1999. Survey and research on 3-dimensional ion beam processing technology; 1999 nendo sanjigen ion kokan gijutsu no chosa kenkyu hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Application of ion beam processing to 2-dimensional shapes in the past has been limited to flat shapes or small areas. There is a 3-dimensional plasma-based ion implantation (PBII) technology for which high-density plasma is generated using plural metal ion sources and gas ion sources for the application of pulse voltages. Using this technology, ions are implanted into intricate shapes, large areas, and surfaces of 3-dimensional shapes, and surface reforming is accomplished through thin film formation. It is low in cost and high in productivity, and finds a great demand for use in the fabrication of members of large and complicated engines for automobiles, spacecraft, and aircraft, members of precision machines, and members of electric power facilities and chemical plants where high resistance to abrasion, corrosion, and heat are mandatory. Research and development for its practical application, however, has just started and, before its commercialization, technologies have to be completed involving surface treatment using gas plasma for ion implantation, thin film formation using metal ion plasma, and their hybrid. Similarly important is the technology of generating homogenous plasma. This new technology is expected to enhance economic efficiency, provide means to deal with environmental matters, and improve on energy efficiency. An outline of the proposition for the project is compiled in this report. (NEDO)

  18. The application and processing of paints hardened by electron beams

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    Electron beam hardening is a process for changing liquid surface coatings of different thicknesses by irradiation with electrons of high energy into solid, hard, elastic films. In contrast to the UV process, one can harden pigmented paints with electron beams. An electron accelerator, which remits free electrons is used as the energy source for starting the chemical reaction in the coating material. In order to irradiate flat parts, which were coated with liquid paint by rolling, pouring or spraying, equally with electrons, one must produce an 'electron curtain', similar to that in a paint pouring machine. (orig./PW) [de

  19. Modeling and Experimental Validation of the Electron Beam Selective Melting Process

    Directory of Open Access Journals (Sweden)

    Wentao Yan

    2017-10-01

    Full Text Available Electron beam selective melting (EBSM is a promising additive manufacturing (AM technology. The EBSM process consists of three major procedures: ① spreading a powder layer, ② preheating to slightly sinter the powder, and ③ selectively melting the powder bed. The highly transient multi-physics phenomena involved in these procedures pose a significant challenge for in situ experimental observation and measurement. To advance the understanding of the physical mechanisms in each procedure, we leverage high-fidelity modeling and post-process experiments. The models resemble the actual fabrication procedures, including ① a powder-spreading model using the discrete element method (DEM, ② a phase field (PF model of powder sintering (solid-state sintering, and ③ a powder-melting (liquid-state sintering model using the finite volume method (FVM. Comprehensive insights into all the major procedures are provided, which have rarely been reported. Preliminary simulation results (including powder particle packing within the powder bed, sintering neck formation between particles, and single-track defects agree qualitatively with experiments, demonstrating the ability to understand the mechanisms and to guide the design and optimization of the experimental setup and manufacturing process.

  20. Electron beam technology for production of preparations of immobilized enzymes

    International Nuclear Information System (INIS)

    Gonchar, A.M.; Auslender, V.L.; Polyakov, V.A.

    1995-01-01

    Possibility of electron beam usage for proteases immobilization on 1,4-polyalkylene oxide (1,4-PAO) was studied to obtain biologically active complex for multi-purpose usage. It is shown that immobilization of Bacillus Subtilis protease is done due to free-radical linking of enzyme and carrier with formation of mycelium-like structures. Immobilization improves heat resistance of enzyme up to 60 centigrade without substrate and up to 80 centigrade in presence of substrate, widens range pH activity in comparison with non-immobilized forms. Immobilized proteases does not contain peroxides and long-live radicals. Our results permitted to create technologies for production of medical and veterinary preparations, active components for wool washing agents and leather fabrication technology

  1. Beam Position Monitor and Energy Analysis at the Fermilab Accelerator Science and Technology Facility

    Energy Technology Data Exchange (ETDEWEB)

    Lopez, David Juarez [Univ. of Guanajuato (Mexico)

    2015-08-01

    Fermilab Accelerator Science and Technology Facility has produced its first beam with an energy of 20 MeV. This energy is obtained by the acceleration at the Electron Gun and the Capture Cavity 2 (CC2). When fully completed, the accelerator will consist of a photoinjector, one International Liner Collider (ILC)-type cryomodule, multiple accelerator R&D beamlines, and a downstream beamline to inject 300 MeV electrons into the Integrable Optics Test Accelerator (IOTA). We calculated the total energy of the beam and the corresponding energy to the Electron Gun and CC2. Subsequently, a Beam Position Monitors (BPM) error analysis was done, to calculate the device actual resolution.

  2. The development of maple technology for materials testing, isotope production, and neutron-beam applications

    International Nuclear Information System (INIS)

    Lidstone, R.F.; Gillespie, G.E.; Lee, A.G.; Bishop, W.E.

    1996-01-01

    AECL has been developing MAPLE technology to meet Canadian and international requirements for high-performance research reactors. MAPLE refers to a family of open-tank-in-pool reactors that employ compact H 2 O-cooled cores within D 2 O vessels to efficiently furnish neutrons to various types of irradiation facilities. The initial focus was on a 10-MW t Canadian facility for radioisotope production, the HANARO multipurpose-reactor project, and an associated R and D program. Recently, AECL began to develop the concept for a new Canadian Irradiation Research Facility (IRF) which will support the continued evolution of CANDU (CANadian Deuterium Uranium) technology and generate neutrons for basic and applied materials science. Additionally, AECL is currently developing a standardized MAPLE research-centre design with integrated neutron-application facilities; various reactor-core options have been optimized for different combinations of utilization: a 19-site core for neutron-beam applications and ancillary isotope production, a 31-site core for multipurpose materials testing and neutron-beam applications, and twin 18-site cores for high-flux neutron-beam applications. (author)

  3. Key technology for (V)HTR: laser beam joining of SiC

    International Nuclear Information System (INIS)

    Knorr, J.; Lippmann, W.; Reinecke, A.M.; Wolf, R.; Rasper, R.; Kerber, A.; Wolter, A.

    2005-01-01

    Laser beam joining has numerous advantages over other methods presently known. After having been developed successful for brazing silicon carbide for high temperature applications, this technology is now also available for silicon nitride. Thus the field of application of SiC and Si 3 N 4 which are very interesting materials for the nuclear sector is considerably extended thanks to this new technology. Ceramic encapsulation of fuel and absorber increases the margins for operation at very high temperatures. Additionally, without ceramic encapsulation of the main core components, it will be difficult to continue claiming non-catastrophic behaviour for the (V)HTR. (orig.)

  4. Multi-beam backscatter image data processing techniques employed to EM 1002 system

    Digital Repository Service at National Institute of Oceanography (India)

    Fernandes, W.A.; Chakraborty, B.

    to compensate outer-beam backscatter strength data in such a way that the effect of angular backscatter strength is removed. In this work we have developed backscatter data processing techniques for EM1002 multi-beam system...

  5. How gamma-rays and electron-beam irradiation would affect the antimicrobial activity of differently processed wild mushroom extracts?

    Science.gov (United States)

    Alves, M J; Fernandes, Â; Barreira, J C M; Lourenço, I; Fernandes, D; Moura, A; Ribeiro, A R; Salgado, J; Antonio, A; Ferreira, I C F R

    2015-03-01

    The effects of irradiation (gamma-rays and electron-beams), up to 10 kGy, in the antimicrobial activity of mushroom species (Boletus edulis, Hydnum repandum, Macrolepiota procera and Russula delica) differently processed (fresh, dried, freeze) were evaluated. Clinical isolates with different resistance profiles from hospitalized patients in Local Health Unit of Mirandela, Northeast of Portugal, were used as target micro-organisms. The mushrooms antimicrobial activity did not suffer significant changes that might compromise applying irradiation as a possible mushroom conservation technology. Two kGy dose (independently of using gamma-rays or electron-beams) seemed to be the most suitable choice to irradiate mushrooms. This study provides important results in antimicrobial activity of extracts prepared from irradiated mushroom species. © 2014 The Society for Applied Microbiology.

  6. Effect of accelerated electron beams on technological properties of ferriquarzites of the Mikhajlovskij ore deposit

    International Nuclear Information System (INIS)

    Potapov, S.A.; Chakturiya, V.A.; Polyakov, V.A.; Rostovtsev, V.I.

    1989-01-01

    Method for enrichment of ferruginous quartzites of the Kursk magnetic anomaly, using electron irradiation was tested. Samples were irradiated by 2 MeV accelerated electron beam from IZU-6 industrial accelerator. The absorbed dose was equal to 0.14; 0.40; 0.75 Mrad for different types of quartzites. It is shown that sample irradiation elevates grindability of ferrugineous quartzites of all technological types. Enrichment factors increase. Iron extraction to concentrate grows. Extraction of easily enriched ores increases after irradiation by 2.86 %, quality - by 0.6 %; for oxidized ferruginous quartzites - 3.7 % and 1.5 % respectively. Productivity of grinding process increases 1.8-1.3 times. The described technique is promising and should be introduced possibility of elevating grinding productivity 2.0-2.2 times with increase of technological indices of magnetic separation by 2.5-4.0 % when using more powerful accelerators was established

  7. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  8. Effects of beam, target and substrate potentials in ion beam processing

    International Nuclear Information System (INIS)

    Harper, J.M.E.

    1982-01-01

    Ion beam etching and deposition are normally carried out with beam, target and substrate potentials near ground potential. In this paper, the effects of intentional or unintentional changes in these potentials are described. Examples include beam neutralization, a single extraction grid, substrate bias, and target bias. Each example is described in terms of beam plasma parameters. (Auth.)

  9. Development of the fabrication process of SiC composite by radiation beam

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju; Jung, Choong Hwan; Woo, Chang Hyeon; Ryu, Woo Seog

    2006-01-01

    In order to operate the nuclear system at high temperatures, core materials with a good irradiation resistance at high temperatures must be developed. SiC composite is one of candidates for high temperature structural materials. Among several fabrication processes, the PIP process includes the curing and pyrolysis process. Generally, the thermal oxidation curing method has some disadvantages; difficulty in the control of oxygen contents and volatilization of many constituents. To overcome these disadvantages and reduce the process time, a new and improved method like the beam curing process has been proposed as one of the effective methods for the fabrication of SiC composite. In this study, the electron beam curing method in the PIP process was optimized to develop SiCf/SiC composite with low oxygen contents. Using the electron beam curing method with full doses of 2∼10 MGy and the pyrolysis process at 1300∼1400 .deg. C, composite with the oxygen content of less than 1 wt% could be obtained. Additionally, if the slurry impregnation and curing/pyrolysis processes were repeated several times, dense composite could be produced

  10. Simulation based analysis of laser beam brazing

    Science.gov (United States)

    Dobler, Michael; Wiethop, Philipp; Schmid, Daniel; Schmidt, Michael

    2016-03-01

    Laser beam brazing is a well-established joining technology in car body manufacturing with main applications in the joining of divided tailgates and the joining of roof and side panels. A key advantage of laser brazed joints is the seam's visual quality which satisfies highest requirements. However, the laser beam brazing process is very complex and process dynamics are only partially understood. In order to gain deeper knowledge of the laser beam brazing process, to determine optimal process parameters and to test process variants, a transient three-dimensional simulation model of laser beam brazing is developed. This model takes into account energy input, heat transfer as well as fluid and wetting dynamics that lead to the formation of the brazing seam. A validation of the simulation model is performed by metallographic analysis and thermocouple measurements for different parameter sets of the brazing process. These results show that the multi-physical simulation model not only can be used to gain insight into the laser brazing process but also offers the possibility of process optimization in industrial applications. The model's capabilities in determining optimal process parameters are exemplarily shown for the laser power. Small deviations in the energy input can affect the brazing results significantly. Therefore, the simulation model is used to analyze the effect of the lateral laser beam position on the energy input and the resulting brazing seam.

  11. The technology and economics of treating waste water with electron beam radiation

    International Nuclear Information System (INIS)

    Cleland, M.R.

    1976-01-01

    The use of ionizing radiation from electron beam accelerators is considered in this paper for the disinfection of waste water. Combinations of radiation with oxygen, chlorine, heat and retention media are discussed as possible methods to reduce the dosage requirements and the treatment costs. The production of ozone by the irradiation of oxygen is also evaluated as an alternative method of using this form of energy. The capital and operating costs for large electron beam facilities are analyzed to show the favorable trends with rising power levels. Cost comparisons between conventional disinfection processes and two radiation processes are presented and discussed. The results of these cost analyses support the premise that electron beam radiation should be evaluated as a likely competitor to ozonation or carbon filtration for large sewage treatment plants. (author)

  12. The technology and economics of treating waste water with electron beam radiation

    International Nuclear Information System (INIS)

    Cleland, M.R.

    1976-01-01

    The use of ionizing radiation from electron beam accelerators is considered in this paper for the disinfection of waste water. Combinations of radiation with oxygen, chlorine, heat and retention media are discussed as possible methods to reduce the dosage requirements and the treatment costs. The production of ozone by the irradiation of oxygen is also evaluated as an alternative method of using this form of energy. The capital and operating costs for large electron beam facilities are analyzed to show the favorable trends with rising power levels. Cost comparisons between 'conventional' disinfection processes and two radiation processes are presented and discussed. The results of these cost analyses support the premise that electron beam radiation should be evaluated as a likely competitor to ozonation or carbon filtration for large sewage treatment plants. (orig.) [de

  13. Biomaterials modification by ion beam

    International Nuclear Information System (INIS)

    Zhang Tonghe; Yi Zhongzhen; Zhang Xu; Wu Yuguang

    2001-01-01

    Ion beam technology is one of best ways for the modification of biomaterials. The results of ion beam modification of biomaterials are given. The method and results of improved biocompatibility are indicated by ion beam technology. The future development of ion beam modification of biomaterials is discussed

  14. BEAM applications to polymer materials

    International Nuclear Information System (INIS)

    Tagawa, Seiichi

    1994-01-01

    Recently papers about beam applications to polymers have been increasing rapidly both in the fundamental and applied fields. Fairly large number of papers have been published in the fundamental aspects of radiation effects of beam applications to polymers such as pulse radiolysis and high density electronic excitation effects. A number of papers have been published in the more applied aspects of beam applications to polymers such as radiation processing and curing. The present paper describes recent beam applications to polymers. 1. Radiation Effects on Polymers; Radiation effects on polymers have been studied for more than 40 years. Most of work on radiation effects on polymers has been carried out by using high energy photon (gamma-ray) and electron beams, since polymers are sensitive to any kinds of ionizing radiation. Even non-ionizing radiation such as ultraviolet and visible light excites electronic excited states of polymers and then photo-chemical reactions of polymers are induced from the electronic excited states. Studies on radiation effects of other ionizing radiation on polymers have not been so popular for a long time. Recently application of new radiation such as ion beams to polymers have been worthy of remark in fields of advanced science and technology, since new radiation beams induce different radiation effects from those induced by high energy gamma-rays and electrons. 2. Beam Applications of Polymers; Recent progress in beam applications to polymers such as radiation processing and curing, x-ray and electron beam microlithography, and applications of new beams such as ion beams to polymers has been reviewed. (author)

  15. Industrial applications of radiation technology

    International Nuclear Information System (INIS)

    Sabharwal, Sunil

    2005-01-01

    In recent years, radiation processing has emerged as an alternative to conventional technologies such as thermal and chemical processing for many industrial applications. The industry is expanding at a fast rate all over the world. The actual industrial benefits on commercial basis, however, depends on the need of the individual society and may vary from country to country. In India, the applications of radiation technology have been found in areas of health care, agriculture, food preservation, industry and environment. Both gamma radiation and electron beam accelerators are being utilized for this purpose. Presently, 6 commercial gamma irradiators housing about 1.5 million curie 60 Co and an annual turnover of over US$ 2 million and 3 commercial electron beam (EB) accelerators with installed capacity of 185 kW are commercially operating in India. The new areas being explored include use of electron beam irradiation for surface treatment, radiation processed membranes for a variety of applications and radiation processing of natural polymers. In the present paper, the current status of this program, especially the recent developments and future direction of radiation processing technology is reviewed. (author)

  16. Development of an irradiation device for electron beam wastewater treatment

    International Nuclear Information System (INIS)

    Rela, Paulo Roberto

    2003-01-01

    When domestic or industrial effluents with synthetic compounds are disposed without an adequate treatment, they impact negatively the environment with damages to aquatic life and for the human being. Both population and use of goods and services that contribute for the hazardous waste are growing. Hazardous regulations are becoming more restrictive and technologies, which do not destroy these products, are becoming less acceptable. The electron beam radiation process is an advanced oxidation process, that produces highly reactive radicals resulting in mineralization of the contaminant. In this work was developed an irradiation system in order to optimize the interaction of electron beam delivered from the accelerator with the processed effluent. It is composed by an irradiation device where the effluent presents to the electron beam in an up flow stream and a process control unit that uses the calorimetric principle. The developed irradiation device has a different configuration from the devices used by others researchers that are working with this technology. It was studied the technical and economic feasibility, comparing with the literature the results of the irradiation device demonstrated that it has a superior performance, becoming an process for use in disinfection and degradation of hazardous organic compounds of wastewater from domestic and industrial origin, contributing as an alternative technology for Sanitary Engineering. (author)

  17. Search for lepton number violating charged current processes with neutrino beams

    International Nuclear Information System (INIS)

    Kanemura, Shinya; Kuno, Yoshitaka; Ota, Toshihiko

    2013-01-01

    We propose a novel idea on measurements to understand which physics mechanism is responsible for the origin of a small neutrino mass, by searching for the processes of lepton number violating charged current interaction with incident of a neutrino beam. It turns out that only the proposed measurements could provide a potential to discriminate the mechanisms, in particular the ones called loop-induced mechanisms of neutrino mass generation, from the others. The expected rates of these processes based on some theoretical assumptions are estimated. They are found to be sizable so that detection of such processes could be achievable at near detectors in future highly intense neutrino-beam facilities

  18. High-precision laser microcutting and laser microdrilling using diffractive beam-splitting and high-precision flexible beam alignment

    Science.gov (United States)

    Zibner, F.; Fornaroli, C.; Holtkamp, J.; Shachaf, Lior; Kaplan, Natan; Gillner, A.

    2017-08-01

    High-precision laser micro machining gains more importance in industrial applications every month. Optical systems like the helical optics offer highest quality together with controllable and adjustable drilling geometry, thus as taper angle, aspect ratio and heat effected zone. The helical optics is based on a rotating Dove-prism which is mounted in a hollow shaft engine together with other optical elements like wedge prisms and plane plates. Although the achieved quality can be interpreted as extremely high the low process efficiency is a main reason that this manufacturing technology has only limited demand within the industrial market. The objective of the research studies presented in this paper is to dramatically increase process efficiency as well as process flexibility. During the last years, the average power of commercial ultra-short pulsed laser sources has increased significantly. The efficient utilization of the high average laser power in the field of material processing requires an effective distribution of the laser power onto the work piece. One approach to increase the efficiency is the application of beam splitting devices to enable parallel processing. Multi beam processing is used to parallelize the fabrication of periodic structures as most application only require a partial amount of the emitted ultra-short pulsed laser power. In order to achieve highest flexibility while using multi beam processing the single beams are diverted and re-guided in a way that enables the opportunity to process with each partial beam on locally apart probes or semimanufactures.

  19. Specialty flat-top beam delivery fibers with controlled beam parameter product

    Science.gov (United States)

    Jollivet, C.; Farley, K.; Conroy, M.; Abramczyk, J.; Belke, S.; Becker, F.; Tankala, K.

    2016-03-01

    Beam delivery fibers have been used widely for transporting the optical beams from the laser to the subject of irradiation in a variety of markets including industrial, medical and defense applications. Standard beam delivery fibers range from 50 to 1500 μm core diameter and are used to guide CW or pulsed laser light, generated by solid state, fiber or diode lasers. Here, we introduce a novel fiber technology capable of simultaneously controlling the beam profile and the angular divergence of single-mode (SM) and multi-mode (MM) beams using a single-optical fiber. Results of beam transformation from a SM to a MM beam with flat-top intensity profile are presented in the case of a controlled BPP at 3.8 mm*mrad. The scaling capabilities of this flat-top fiber design to achieve a range of BPP values while ensuring a flat-top beam profile are discussed. In addition, we demonstrate, for the first time to the best of our knowledge, the homogenizer capabilities of this novel technology, able to transform random MM beams into uniform flat-top beam profiles with very limited impact on the beam brightness. This study is concluded with a discussion on the scalability of this fiber technology to fit from 50 up to 1500 μm core fibers and its potential for a broader range of applications.

  20. The Center for Environmental Technology Innovative Technology Screening Process

    International Nuclear Information System (INIS)

    Bertrand, C.M.

    1995-02-01

    The Center for Environmental Technology's (CET) mission is to provide a fully integrated system for accelerated evaluation, development, commercialization, and public acceptance of creative environmental solutions which match the foremost demands in today's environmentally sensitive world. In short, CET will create a means to provide quick, effective solutions for environmental needs. To meet this mission objective, CET has created a unique and innovative approach to eliminating the usual barriers in developing and testing environmental technologies. The approach paves the way for these emerging, cutting-edge technologies by coordinating environmental restoration and waste management activities of industry, universities, and the government to: efficiently and effectively transfer technology to these users, provide market-driven, cost-effective technology programs to the public and DOE, and aid in developing innovative ideas by initiating efforts between DOE facilities and private industry. The central part to this mission is selecting and evaluating specific innovative technologies for demonstration and application at United States Department of Energy (DOE) installations. The methodology and criteria used for this selection, which is called the CET Innovative Technology Screening Process, is the subject of this paper. The selection criteria used for the screening process were modeled after other DOE technology transfer programs and were further developed by CET's Technology Screening and Evaluation Board (TSEB). The process benefits both CET and the proposing vendors by providing objective selection procedures based on predefined criteria. The selection process ensures a rapid response to proposing vendors, all technologies will have the opportunity to enter the selection process, and all technologies are evaluated on the same scale and with identical criteria

  1. Receivers for processing electron beam pick-up electrode signals

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    There are several methods of determining the transverse position of the electron beam, based upon sensing either the electric field, the magnetic field, or both. At the NSLS the transverse beam position monitors each consist of a set of four circular electrodes. There are 48 sets of pick-up electrodes in the X-ray ring and 24 in the VUV storage ring for determining the electron orbit, and a few extra sets installed for specialized purposes. When the beam passes between the four electrodes, charge is induced on each electrode, the amount depending upon the distance of the beam from that electrode. If V a , V b , V c and V d given by a difference between pairs of electrodes normalized for variations in beam current by dividing by the sum of electrode voltages. The method of processing these signals depends upon their time structure. The electrons circulating around the vacuum chamber are concentrated in short bunches within stability buckets produced by the accelerating voltage in the RF cavities. The charges induced on the pickup electrodes then are narrow pulses, a fraction of a nanosecond long, and would result in a monopolar voltage pulses if it were not for the impedance of the cable connecting the electrode to the processing apparatus. The capacitance between each electrode and the chamber wall is only a few picofarads and is effectively in parallel with the cable impedance (50 ohms). Thus an appreciable amount of the charge flows off the electrode while the bunch is between the electrodes, resulting in potential of opposite sign as the bunch is leaving the vicinity of the electrode. The resulting signal consists of a series of bipolar pulses, each of less than one nanosecond duration

  2. Consistency check of photon beam physical data after recommissioning process

    International Nuclear Information System (INIS)

    Kadman, B; Chawapun, N; Ua-apisitwong, S; Asakit, T; Chumpu, N; Rueansri, J

    2016-01-01

    In radiotherapy, medical linear accelerator (Linac) is the key system used for radiation treatments delivery. Although, recommissioning was recommended after major modification of the machine by AAPM TG53, but it might not be practical in radiotherapy center with heavy workloads. The main purpose of this study was to compare photon beam physical data between initial commissioning and recommissioning of 6 MV Elekta Precise linac. The parameters for comparing were the percentage depth dose (PDD) and beam profiles. The clinical commissioning test cases followed IAEA-TECDOC-1583 were planned on REF 91230 IMRT Dose Verification Phantom by Philips’ Pinnacle treatment planning system. The Delta 4PT was used for dose distribution verification with 90% passing criteria of the gamma index (3%/3mm). Our results revealed that the PDDs and beam profiles agreed within a tolerance limit recommended by TRS430. Most of the point doses and dose distribution verification passed the acceptance criteria. This study showed the consistency of photon beam physical data after recommissioning process. There was a good agreement between initial commissioning and recommissioning within a tolerance limit, demonstrated that the full recommissioning process might not be required. However, in the complex treatment planning geometry, the initial data should be applied with great caution. (paper)

  3. Control system for technological processes in tritium processing plants with process analysis

    International Nuclear Information System (INIS)

    Retevoi, Carmen Maria; Stefan, Iuliana; Balteanu, Ovidiu; Stefan, Liviu; Bucur, Ciprian

    2005-01-01

    Integration of a large variety of installations and equipment into a unitary system for controlling the technological process in tritium processing nuclear facilities appears to be a rather complex approach particularly when experimental or new technologies are developed. Ensuring a high degree of versatility allowing easy modifications in configurations and process parameters is a major requirement imposed on experimental installations. The large amount of data which must be processed, stored and easily accessed for subsequent analyses imposes development of a large information network based on a highly integrated system containing the acquisition, control and technological process analysis data as well as data base system. On such a basis integrated systems of computation and control able to conduct the technological process could be developed as well protection systems for cases of failures or break down. The integrated system responds to the control and security requirements in case of emergency and of the technological processes specific to the industry that processes radioactive or toxic substances with severe consequences in case of technological failure as in the case of tritium processing nuclear plant. In order to lower the risk technological failure of these processes an integrated software, data base and process analysis system are developed, which, based on identification algorithm of the important parameters for protection and security systems, will display the process evolution trend. The system was checked on a existing plant that includes a removal tritium unit, finally used in a nuclear power plant, by simulating the failure events as well as the process. The system will also include a complete data base monitoring all the parameters and a process analysis software for the main modules of the tritium processing plant, namely, isotope separation, catalytic purification and cryogenic distillation

  4. Two-parametric model of electron beam in computational dosimetry for radiation processing

    International Nuclear Information System (INIS)

    Lazurik, V.M.; Lazurik, V.T.; Popov, G.; Zimek, Z.

    2016-01-01

    Computer simulation of irradiation process of various materials with electron beam (EB) can be applied to correct and control the performances of radiation processing installations. Electron beam energy measurements methods are described in the international standards. The obtained results of measurements can be extended by implementation computational dosimetry. Authors have developed the computational method for determination of EB energy on the base of two-parametric fitting of semi-empirical model for the depth dose distribution initiated by mono-energetic electron beam. The analysis of number experiments show that described method can effectively consider random displacements arising from the use of aluminum wedge with a continuous strip of dosimetric film and minimize the magnitude uncertainty value of the electron energy evaluation, calculated from the experimental data. Two-parametric fitting method is proposed for determination of the electron beam model parameters. These model parameters are as follow: E 0 – energy mono-energetic and mono-directional electron source, X 0 – the thickness of the aluminum layer, located in front of irradiated object. That allows obtain baseline data related to the characteristic of the electron beam, which can be later on applied for computer modeling of the irradiation process. Model parameters which are defined in the international standards (like E p – the most probably energy and R p – practical range) can be linked with characteristics of two-parametric model (E 0 , X 0 ), which allows to simulate the electron irradiation process. The obtained data from semi-empirical model were checked together with the set of experimental results. The proposed two-parametric model for electron beam energy evaluation and estimation of accuracy for computational dosimetry methods on the base of developed model are discussed. - Highlights: • Experimental and computational methods of electron energy evaluation. • Development

  5. Process time optimization of robotic remote laser cutting by utilizing customized beam patterns and redundancy space task sequencing

    DEFF Research Database (Denmark)

    Villumsen, Sigurd

    This dissertation is written as a part of the ROBOCUT project which concerns the development of a new laser cutting technology that seeks to increase the performance of traditional and remote laser cutting by using beam shaping technologies. The resulting customized beam patterns are obtained by ...... axes of the laser cutting system and transforming the sequencing problem into a generalized traveling salesman problem (GTSP)....

  6. FY 2000 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. Research and development of the advanced instrumentation and processing technologies for oil production systems; 2000 nendo photon keisoku kako gijutsu seika hokokusho. Sekiyu seisan system kodo keisoku kako gijutsu kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of the photon-aided instrumentation and processing technologies, as part of development of the advanced instrumentation and processing technologies for oil production systems. The program for the microscopic processing technology combines iodine and YAG laser beams as the high-power laser beams of wavelength of the order of 1{mu}m, in order to realize laser-aided welding of high-quality thick plates, the welding demonstration tests producing good results; improves an in-process monitoring sensor, to confirm its high reliability; and improves size controllability for ultrafine semiconductor particles for development of quantum functional optoelectronic devices, and extends the electric conductivity for production of the transparent conducting films. The program for the non-destructive composition measuring technology develops a light source which produces short wavelength ray of sufficient brightness; produces three-dimensional CT images with a resolution of 2{mu}m, and is developing superconducting X-ray detectors. The program for the high-power all-solid-state laser technology starts development of a rod-type oscillation-controlling laser which can provide mixed operations of CW, pulsed and Q-switched oscillation modes at an average power of 1kW level. The program for the tightly-focusing all-solid-state laser technology develops the prototype of disk type fiber laser using silica fibers of rectangular cross-section, which produces a power of 103W, and starts development of a laser diode. (NEDO)

  7. austenitic stainless steel by electron beam welding process

    African Journals Online (AJOL)

    user

    Electron beam welding (EBW) is a fusion joining process that produces a ... fabrication of engineering parts with low-distortion joints, although its application to large assemblies is often restricted by the ... speed, focal point location, focal spot size, etc. ... Experimental data were collected as per central composite design and ...

  8. Photon technology. Hard photon technology; Photon technology. Hard photon gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    For the application of photon to industrial technologies, in particular, a hard photon technology was surveyed which uses photon beams of 0.1-200nm in wavelength. Its features such as selective atom reaction, dense inner shell excitation and spacial high resolution by quantum energy are expected to provide innovative techniques for various field such as fine machining, material synthesis and advanced inspection technology. This wavelength region has been hardly utilized for industrial fields because of poor development of suitable photon sources and optical devices. The developmental meaning, usable time and issue of a hard photon reduction lithography were surveyed as lithography in ultra-fine region below 0.1{mu}m. On hard photon analysis/evaluation technology, the industrial use of analysis, measurement and evaluation technologies by micro-beam was viewed, and optimum photon sources and optical systems were surveyed. Prediction of surface and surface layer modification by inner shell excitation, the future trend of this process and development of a vacuum ultraviolet light source were also surveyed. 383 refs., 153 figs., 17 tabs.

  9. Application of advanced oxidation process by electron beam irradiation in the organic compounds degradation present in industrial effluents

    International Nuclear Information System (INIS)

    Duarte, Celina Lopes

    1999-01-01

    The inefficacy of conventional methods to destroy toxic organic compounds present in industrial effluent has taken the search for new technologies of treatment. he water irradiation is the most efficient process to generate radicals that mineralise these compounds. A study to evaluate the Advanced Oxidation Process by electron beam irradiation to treat industrial effluent with high toxic organic compounds concentration was carried out. Experiments were conducted using a Radiation Dynamics Electron Beam Accelerator with 1,5 MeV energy and 37 power. The effluent samples from a big industrial complex were irradiated using the IPEN's Liquid Effluent Irradiation Pilot Plant and the effluent samples from five steps of a Governmental Wastewater Treatment Plant from SABESP - ETE Suzano (industrial Receiver Unit, Coarse Bar Screens, Medium Bar Screens, Primary Sedimentation and Final Effluent), were irradiated in a batch system. The electron beam irradiation showed be efficient on destroying the organic compounds delivered in these effluents mainly chloroform, dichloroethane, methyl isobutyl ketone, benzene, toluene, xylene, phenol and in the decoloring of dyes present in some samples. To remove 90% of the most organic compounds was necessary a 20 kGy dose for industry's ETE, 20 kGy for IRU, CBS and MBS and 10 kGy to 20 kGy for PS and FE. (author)

  10. Analysis of the power system from an electron beam accelerator and the correlation with the theoretical dosimetry for radiation processing

    Energy Technology Data Exchange (ETDEWEB)

    Somessari, Samir Luiz; Somessari, Elizabeth S. Ribeiro; Silveira, Carlos Gaia da; Calvo, Wilson Aparecido Parejo, E-mail: somessar@ipen.br, E-mail: esomessa@ipen.br, E-mail: cgsilvei@ipen.br, E-mail: wapcalvo@ipen.br [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2013-07-01

    Radiation Technology Center at IPEN/CNEN-SP to simulate the energy efficiency of this industrial accelerator. Finally, it is also targeted to compare theoretical dosimetry using parameters of energy and beam current with data from the accelerator power system. This knowledge and technology will be very useful and essential for the control system upgrade of EBA, mainly Dynamitron DC1500/25/04, in view that radiation processing technology for industrial and environmental applications has been developed and used worldwide. (author)

  11. Analysis of the power system from an electron beam accelerator and the correlation with the theoretical dosimetry for radiation processing

    International Nuclear Information System (INIS)

    Somessari, Samir Luiz; Somessari, Elizabeth S. Ribeiro; Silveira, Carlos Gaia da; Calvo, Wilson Aparecido Parejo

    2013-01-01

    Radiation Technology Center at IPEN/CNEN-SP to simulate the energy efficiency of this industrial accelerator. Finally, it is also targeted to compare theoretical dosimetry using parameters of energy and beam current with data from the accelerator power system. This knowledge and technology will be very useful and essential for the control system upgrade of EBA, mainly Dynamitron DC1500/25/04, in view that radiation processing technology for industrial and environmental applications has been developed and used worldwide. (author)

  12. VLSI signal processing technology

    CERN Document Server

    Swartzlander, Earl

    1994-01-01

    This book is the first in a set of forthcoming books focussed on state-of-the-art development in the VLSI Signal Processing area. It is a response to the tremendous research activities taking place in that field. These activities have been driven by two factors: the dramatic increase in demand for high speed signal processing, especially in consumer elec­ tronics, and the evolving microelectronic technologies. The available technology has always been one of the main factors in determining al­ gorithms, architectures, and design strategies to be followed. With every new technology, signal processing systems go through many changes in concepts, design methods, and implementation. The goal of this book is to introduce the reader to the main features of VLSI Signal Processing and the ongoing developments in this area. The focus of this book is on: • Current developments in Digital Signal Processing (DSP) pro­ cessors and architectures - several examples and case studies of existing DSP chips are discussed in...

  13. Instrumentation for beam radiation and luminosity measurement in the CMS experiment using novel detector technologies

    Energy Technology Data Exchange (ETDEWEB)

    Guthoff, Moritz

    2017-02-11

    The higher energy and luminosity of the LHC initiated the development of dedicated technologies for radiation monitoring and luminosity measurement. A dedicated pixelated luminosity detector measures coincidences in several three-layer telescopes of silicon pixel detectors to arrive at a luminosity for each colliding LHC bunch pair. In addition, charged particle tracking allows to monitor the location of the collision point. The upgraded fast beam conditions monitor measures the particle flux using 24 two-pad single crystalline diamond sensors, equipped with a fast front-end ASIC produced in 130 nm CMOS technology. The excellent time resolution is used to separate collision products from machine induced background. A new beam-halo monitor at larger radius exploits Cherenkov light produced by relativistic charged particles in fuzed quartz crystals to provide direction sensitivity and time resolution to separate incoming and outgoing particles. The back-end electronics of the beam monitoring systems includes dedicated modules with high bandwidth digitizers developed in both VME and microTCA standards for per bunch beam measurements and gain monitoring. All new and upgraded sub-detectors have been taking data from the first day of LHC operation in April 2015. Results on their commissioning and essential characteristics using data since the start-up of LHC will be presented.

  14. 76 FR 37344 - Technology Evaluation Process

    Science.gov (United States)

    2011-06-27

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... seeks comments and information related to a commercial buildings technology evaluation process. DOE is seeking to create a process for evaluating emerging and underutilized energy efficient technologies for...

  15. Beam-pointing error compensation method of phased array radar seeker with phantom-bit technology

    Directory of Open Access Journals (Sweden)

    Qiuqiu WEN

    2017-06-01

    Full Text Available A phased array radar seeker (PARS must be able to effectively decouple body motion and accurately extract the line-of-sight (LOS rate for target missile tracking. In this study, the real-time two-channel beam pointing error (BPE compensation method of PARS for LOS rate extraction is designed. The PARS discrete beam motion principium is analyzed, and the mathematical model of beam scanning control is finished. According to the principle of the antenna element shift phase, both the antenna element shift phase law and the causes of beam-pointing error under phantom-bit conditions are analyzed, and the effect of BPE caused by phantom-bit technology (PBT on the extraction accuracy of the LOS rate is examined. A compensation method is given, which includes coordinate transforms, beam angle margin compensation, and detector dislocation angle calculation. When the method is used, the beam angle margin in the pitch and yaw directions is calculated to reduce the effect of the missile body disturbance and to improve LOS rate extraction precision by compensating for the detector dislocation angle. The simulation results validate the proposed method.

  16. Process of cracking in reinforced concrete beams (simulation and experiment

    Directory of Open Access Journals (Sweden)

    I. N. Shardakov

    2016-10-01

    Full Text Available The paper presents the results of experimental and theoretical investigations of the mechanisms of crack formation in reinforced concrete beams subjected to quasi-static bending. The boundary-value problem has been formulated in the framework of brittle fracture mechanics and solved using the finite-element method. Numerical simulation of the vibrations of an uncracked beam and a beam with cracks of different size serves to determine the pattern of changes in the spectrum of eigenfrequencies observed during crack evolution. A series of sequential quasi-static 4-point bend tests leading to the formation of cracks in a reinforced concrete beam were performed. At each loading step, the beam was subjected to an impulse load to induce vibrations. Two stages of cracking were detected. During the first stage the nonconservative process of deformation begins to develope, but has not visible signs. The second stage is an active cracking, which is marked by a sharp change in eingenfrequencies. The boundary of a transition from one stage to another is well registered. The vibration behavior was examined for the ordinary concrete beams and the beams strengthened with a carbon-fiber polymer. The obtained results show that the vibrodiagnostic approach is an effective tool for monitoring crack formation and assessing the quality of measures aimed at strengthening concrete structures

  17. Electron beam gaseous pollutants treatment

    International Nuclear Information System (INIS)

    Chmielewski, A.G.

    1999-01-01

    Emission of gaseous pollutants, mostly during combustion of fossil fuels, creates a threat to the environment. New, economical technologies are needed for flue gas treatment. A physico-chemical basis of the process using electron beam for the simultaneous removal of sulfur and nitrogen oxides and volatile organic compounds are presented in this report. Development of the process and its upscaling has been discussed. (author)

  18. Small Scale Turbopump Manufacturing Technology and Material Processes

    Science.gov (United States)

    Alvarez, Erika; Morgan, Kristin; Wells, Doug; Zimmerman, Frank

    2011-01-01

    As part of an internal research and development project, NASA Marshall Space Flight Center (MSFC) has been developing a high specific impulse 9,000-lbf LOX/LH2 pump-fed engine testbed with the capability to throttle 10:1. A Fuel Turbopump (FTP) with the ability to operate across a speed range of 30,000-rpm to 100,000-rpm was developed and analyzed. This small size and flight-like Fuel Turbopump has completed the design and analysis phase and is currently in the manufacturing phase. This paper highlights the manufacturing and processes efforts to fabricate an approximately 20-lb turbopump with small flow passages, intricately bladed components and approximately 3-in diameter impellers. As a result of the small scale and tight tolerances of the hardware on this turbopump, several unique manufacturing and material challenges were encountered. Some of the technologies highlighted in this paper include the use of powder metallurgy technology to manufacture small impellers, electron beam welding of a turbine blisk shroud, and casting challenges. The use of risk reduction efforts such as non-destructive testing (NDT) and evaluation (NDE), fractography, material testing, and component spin testing are also discussed in this paper.

  19. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  20. 76 FR 30696 - Technology Evaluation Process

    Science.gov (United States)

    2011-05-26

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... (DOE) seeks comments and information related to a commercial buildings technology evaluation process... evaluation efforts. The goal of creating this standard process is to evaluate energy-saving technologies in a...

  1. Manufacturing prototypes for LIPAC beam dump

    Energy Technology Data Exchange (ETDEWEB)

    Arranz, F., E-mail: fernando.arranz@ciemat.es [CIEMAT, Madrid (Spain); Brañas, B.; Iglesias, D. [CIEMAT, Madrid (Spain); Nomen, O. [IREC, Barcelona (Spain); Rapisarda, D.; Lapeña, J.; Muñoz, A. [CIEMAT, Madrid (Spain); Szcepaniak, B. [GALVANO-T, Windeck (Germany); Manini, J. [CARMAN, Madrid (Spain); Gómez, J. [TRINOS VACUUM, Valencia (Spain)

    2014-10-15

    Highlights: •Electroforming of copper and electronbeam welding techniques are compared. •Mechanical properties of Cu–stainless steel joint by electroforming are presented. •Achieved manufacturing tolerances are shown. •The difficulties and solutions for the complicated manufacturing are explained. -- Abstract: The purpose of the research is to define the most adequate manufacturing process for the dump of a linear deuteron accelerator. The deuteron beam can be pulsed as well as continuous with energies up to 9 MeV. The maximum beam power is 1.12 MW corresponding to a beam current of 125 mA. The requirements on the surface on which the deuterons will be stopped are quite demanding and the length and slenderness of the cone poses a considerable difficulty in the manufacturing process. The design of the beam dump is based on a copper cone 2500 mm long, 300 mm aperture and 5 to 6.5 mm thickness. Basically only two technologies were found feasible for the manufacturing of the cone: Electroforming and Electron Beam Welding (EBW). The article shows the main results found when manufacturing different prototypes.

  2. Electron beam processing in wire and cables and heat shrinkable products

    International Nuclear Information System (INIS)

    Tikku, V.K.

    2001-01-01

    In this paper in a general manner the commercially successful E-beam crosslinking in wire and cable and heat shrinkable products being manufactured first time in India are illustrated. We at NICCO in India have established first industrial electron accelerator of 150 kW power with 3 MeV beam energy and 50 mA beam current, near Calcutta; the facility is likely to be commissioned by January, 2002. This facility was conceived and the developmental work was carried out with the active support of our R and D partners at Rubber Technology Centre, IIT, Kharagpur and Bhabha Atomic Research Centre, Mumbai. The polymeric compound developments, its extrusion on to the cable samples and radiation crosslinking were successfully achieved in this joint R and D efforts. We have already executed the developmental order with the know-how developed indigenously with our research partners and using the 2 MeV electron accelerator facility at Bhabha Atomic Research Centre, Mumbai. (author)

  3. Beam optimization: improving methodology

    International Nuclear Information System (INIS)

    Quinteiro, Guillermo F.

    2004-01-01

    Different optimization techniques commonly used in biology and food technology allow a systematic and complete analysis of response functions. In spite of the great interest in medical and nuclear physics in the problem of optimizing mixed beams, little attention has been given to sophisticate mathematical tools. Indeed, many techniques are perfectly suited to the typical problem of beam optimization. This article is intended as a guide to the use of two methods, namely Response Surface Methodology and Simplex, that are expected to fasten the optimization process and, meanwhile give more insight into the relationships among the dependent variables controlling the response

  4. High throughput diffractive multi-beam femtosecond laser processing using a spatial light modulator

    Energy Technology Data Exchange (ETDEWEB)

    Kuang Zheng [Laser Group, Department of Engineering, University of Liverpool Brownlow Street, Liverpool L69 3GQ (United Kingdom)], E-mail: z.kuang@liv.ac.uk; Perrie, Walter [Laser Group, Department of Engineering, University of Liverpool Brownlow Street, Liverpool L69 3GQ (United Kingdom); Leach, Jonathan [Department of Physics and Astronomy, University of Glasgow, Glasgow G12 8QQ (United Kingdom); Sharp, Martin; Edwardson, Stuart P. [Laser Group, Department of Engineering, University of Liverpool Brownlow Street, Liverpool L69 3GQ (United Kingdom); Padgett, Miles [Department of Physics and Astronomy, University of Glasgow, Glasgow G12 8QQ (United Kingdom); Dearden, Geoff; Watkins, Ken G. [Laser Group, Department of Engineering, University of Liverpool Brownlow Street, Liverpool L69 3GQ (United Kingdom)

    2008-12-30

    High throughput femtosecond laser processing is demonstrated by creating multiple beams using a spatial light modulator (SLM). The diffractive multi-beam patterns are modulated in real time by computer generated holograms (CGHs), which can be calculated by appropriate algorithms. An interactive LabVIEW program is adopted to generate the relevant CGHs. Optical efficiency at this stage is shown to be {approx}50% into first order beams and real time processing has been carried out at 50 Hz refresh rate. Results obtained demonstrate high precision surface micro-structuring on silicon and Ti6Al4V with throughput gain >1 order of magnitude.

  5. Workshop on CEBAF [Continuous Electron Beam Accelerator Facility] spectrometer magnet design and technology: Proceedings

    International Nuclear Information System (INIS)

    1986-09-01

    The planned experimental program at CEBAF includes high-resolution, large acceptance spectrometers and a large toroidal magnetic, detector. In order to take full advantage of the high quality beam characteristics, the performances required will make these devices quite unique instruments compared to existing facilities in the same energy range. Preliminary designs have shown that such performances can be reached, but key questions concerning design concepts and most appropriate and cost-effective technologies had to be answered before going further with the designs. It was the purpose of the Workshop on CEBAF Spectrometer Magnet Design and Technology, organized by the CEBAF Research and Engineering Divisions, to provide the most complete information about the state-of-the-art tools and techniques in magnet design and construction and to discuss the ones most appropriate to the CEBAF spectrometers. In addition, it is expected that this Workshop will be the staring point for further interactions and collaborations between international magnet experts and the CEBAF staff, during the whole process of designing and building the spectrometers

  6. Two applications of direct digital down converters in beam diagnostics

    International Nuclear Information System (INIS)

    Powers, Tom; Flood, Roger; Hovater, Curt; Musson, John

    2000-01-01

    The technologies of direct digital down converters, digital frequency synthesis, and digital signal processing are being used in many commercial applications. Because of this commercialization, the component costs are being reduced to the point where they are economically viable for large scale accelerator applications. This paper will discuss two applications of these technologies to beam diagnostics. In the first application the combination of direct digital frequency synthesis and direct digital down converters are coupled with digital signal processor technology in order to maintain the stable gain environment required for a multi-electrode beam position monitoring system. This is done by injecting a CW reference signal into the electronics as part of the front-end circuitry. In the second application direct digital down converters are used to provide a novel approach to the measurement of beam intensity using cavity current monitors. In this system a pair of reference signals are injected into the cavity through an auxiliary port. The beam current is then calculated as the ratio of the beam signal divided by the average of the magnitude of the two reference signals

  7. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  8. RP process studies with radioactive beams at ATLAS

    Energy Technology Data Exchange (ETDEWEB)

    Rehm, K E [Argonne National Lab., Physics Div., Argonne, IL (United States)

    1998-06-01

    Reactions of interest to nuclear astrophysics have been studied with radioactive beams at the ATLAS accelerator. Using a modified ISOL technique, beams of {sup 18}F(T{sub 1/2}=110 min) and {sup 56}Ni(T{sub 1/2}=6.1 d) have been produced. The reactions {sup 18}F(p,{alpha}){sup 15}O, {sup 18}F(p,{gamma}){sup 19}Ne, and {sup 56}Ni(d,p){sup 57}Ni have been investigated. The results indicate that the {sup 18}F(p,{gamma}) route is a small contributor to the breakout from the hot CNO cycle into the rp process, while the {sup 56}Ni(p,{gamma}){sup 57}Cu rate is about ten times larger than previously assumed. (orig.)

  9. Focused ion beam machining and deposition for nanofabrication

    Energy Technology Data Exchange (ETDEWEB)

    Davies, S T; Khamsehpour, B [Warwick Univ., Coventry (United Kingdom). Dept. of Engineering

    1996-05-01

    Focused ion beam micromatching (FIBM) and focused ion beam deposition (FIBD) enable spatially selective, maskless, patterning and processing of materials at extremely high levels of resolution. State-of-the-art focused ion beam (FIB) columns based on high brightness liquid metal ion source (LMIS) technology are capable of forming probes with dimensions of order 10 nm with a lower limit on spot size set by the inherent energy spread of the LMIS and the chromatic aberration of ion optical systems. The combination of high lateral and depth resolution make FIBM and FIBD powerful tools for nanotechnology applications. In this paper we present some methods of controlling FIBM and FIBD processes for nanofabrication purposes and discuss their limitations. (author).

  10. Optical signal acquisition and processing in future accelerator diagnostics

    International Nuclear Information System (INIS)

    Jackson, G.P.; Elliott, A.

    1992-01-01

    Beam detectors such as striplines and wall current monitors rely on matched electrical networks to transmit and process beam information. Frequency bandwidth, noise immunity, reflections, and signal to noise ratio are considerations that require compromises limiting the quality of the measurement. Recent advances in fiber optics related technologies have made it possible to acquire and process beam signals in the optical domain. This paper describes recent developments in the application of these technologies to accelerator beam diagnostics. The design and construction of an optical notch filter used for a stochastic cooling system is used as an example. Conceptual ideas for future beam detectors are also presented

  11. Rheological and mechanical properties of polyamide 6 modified by electron-beam initiated mediation process

    International Nuclear Information System (INIS)

    Shin, Boo Young; Kim, Jae Hong

    2015-01-01

    Polyamide (PA6) has been modified by electron-beam initiated mediator process to improve drawbacks of PA6. Glycidyl methacrylate (GMA) was chosen as a reactive mediator for modification process of PA6. The mixture of the PA6 and GMA was prepared by using a twin-screw extruder, and then the mixture was exposed to electron-beam irradiation at various doses at room temperature. The modified PA6 were characterized by observing rheological and mechanical properties and compared virgin PA6. Thermal properties, water absorption, and gel fraction were also investigated. Tight gel was not found even when PA6 was irradiated at 200 kGy. Complex viscosity and storage modulus of PA6 were remarkably increased by electron-beam irradiation with medium of GMA. Maximum increase in complex viscosity was 75 times higher than virgin PA6 at 0.1 rad/s when it was irradiated at 200 kGy with the GMA. Mechanical properties were also improved without scarifying of processability. The reaction mechanisms for the mediation process with the reactive mediator of GMA were estimated to elucidate the cause of significantly enhanced rheological and mechanical properties without loss of thermoplasticity. - Highlights: • PA6 was modified by the electron-beam initiated mediation process. • Maximum increase in complex viscosity of modified PA6 was 75 times higher than virgin PA6 at 0.1 rad/s. • Mechanical properties were improved without scarifying of processability. • The GMA as a mediator played a key role in the electron-beam initiated mediation process

  12. Vaccine process technology.

    Science.gov (United States)

    Josefsberg, Jessica O; Buckland, Barry

    2012-06-01

    The evolution of vaccines (e.g., live attenuated, recombinant) and vaccine production methods (e.g., in ovo, cell culture) are intimately tied to each other. As vaccine technology has advanced, the methods to produce the vaccine have advanced and new vaccine opportunities have been created. These technologies will continue to evolve as we strive for safer and more immunogenic vaccines and as our understanding of biology improves. The evolution of vaccine process technology has occurred in parallel to the remarkable growth in the development of therapeutic proteins as products; therefore, recent vaccine innovations can leverage the progress made in the broader biotechnology industry. Numerous important legacy vaccines are still in use today despite their traditional manufacturing processes, with further development focusing on improving stability (e.g., novel excipients) and updating formulation (e.g., combination vaccines) and delivery methods (e.g., skin patches). Modern vaccine development is currently exploiting a wide array of novel technologies to create safer and more efficacious vaccines including: viral vectors produced in animal cells, virus-like particles produced in yeast or insect cells, polysaccharide conjugation to carrier proteins, DNA plasmids produced in E. coli, and therapeutic cancer vaccines created by in vitro activation of patient leukocytes. Purification advances (e.g., membrane adsorption, precipitation) are increasing efficiency, while innovative analytical methods (e.g., microsphere-based multiplex assays, RNA microarrays) are improving process understanding. Novel adjuvants such as monophosphoryl lipid A, which acts on antigen presenting cell toll-like receptors, are expanding the previously conservative list of widely accepted vaccine adjuvants. As in other areas of biotechnology, process characterization by sophisticated analysis is critical not only to improve yields, but also to determine the final product quality. From a regulatory

  13. Intense electron and ion beams

    CERN Document Server

    Molokovsky, Sergey Ivanovich

    2005-01-01

    Intense Ion and Electron Beams treats intense charged-particle beams used in vacuum tubes, particle beam technology and experimental installations such as free electron lasers and accelerators. It addresses, among other things, the physics and basic theory of intense charged-particle beams; computation and design of charged-particle guns and focusing systems; multiple-beam charged-particle systems; and experimental methods for investigating intense particle beams. The coverage is carefully balanced between the physics of intense charged-particle beams and the design of optical systems for their formation and focusing. It can be recommended to all scientists studying or applying vacuum electronics and charged-particle beam technology, including students, engineers and researchers.

  14. A novel process for production of spherical PBT powders and their processing behavior during laser beam melting

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Jochen, E-mail: jochen.schmidt@fau.de; Sachs, Marius; Fanselow, Stephanie; Wirth, Karl-Ernst; Peukert, Wolfgang [Friedrich-Alexander-Universität Erlangen-Nürnberg, Institute of Particle Technology, Cauerstr. 4, D-91058 Erlangen (Germany); Zhao, Meng; Wudy, Katrin; Drexler, Maximilian; Drummer, Dietmar [Friedrich-Alexander-Universität Erlangen-Nürnberg, Institute of Polymer Technology, Am Weichselgarten 9, D-91058 Erlangen (Germany)

    2016-03-09

    Additive manufacturing processes like laser beam melting of polymers are established for production of prototypes and individualized parts. The transfer to other areas of application and to serial production is currently hindered by the limited availability of polymer powders with good processability. Within this contribution a novel process route for the production of spherical polymer micron-sized particles of good flowability has been established and applied to produce polybutylene terephthalate (PBT) powders. Moreover, the applicability of the PBT powders in selective laser beam melting and the dependencies of process parameters on device properties will be outlined. First, polymer micro particles are produced by a novel wet grinding method. To improve the flowability the produced particles the particle shape is optimized by rounding in a heated downer reactor. A further improvement of flowability of the cohesive spherical PBT particles is realized by dry coating. An improvement of flowability by a factor of about 5 is achieved by subsequent rounding of the comminution product and dry-coating as proven by tensile strength measurements of the powders. The produced PBT powders were characterized with respect to their processability. Therefore thermal, rheological, optical and bulk properties were analyzed. Based on these investigations a range of processing parameters was derived. Parameter studies on thin layers, produced in a selective laser melting system, were conducted. Hence appropriate parameters for processing the PBT powders by laser beam melting, like building chamber temperature, scan speed and laser power have been identified.

  15. A novel process for production of spherical PBT powders and their processing behavior during laser beam melting

    International Nuclear Information System (INIS)

    Schmidt, Jochen; Sachs, Marius; Fanselow, Stephanie; Wirth, Karl-Ernst; Peukert, Wolfgang; Zhao, Meng; Wudy, Katrin; Drexler, Maximilian; Drummer, Dietmar

    2016-01-01

    Additive manufacturing processes like laser beam melting of polymers are established for production of prototypes and individualized parts. The transfer to other areas of application and to serial production is currently hindered by the limited availability of polymer powders with good processability. Within this contribution a novel process route for the production of spherical polymer micron-sized particles of good flowability has been established and applied to produce polybutylene terephthalate (PBT) powders. Moreover, the applicability of the PBT powders in selective laser beam melting and the dependencies of process parameters on device properties will be outlined. First, polymer micro particles are produced by a novel wet grinding method. To improve the flowability the produced particles the particle shape is optimized by rounding in a heated downer reactor. A further improvement of flowability of the cohesive spherical PBT particles is realized by dry coating. An improvement of flowability by a factor of about 5 is achieved by subsequent rounding of the comminution product and dry-coating as proven by tensile strength measurements of the powders. The produced PBT powders were characterized with respect to their processability. Therefore thermal, rheological, optical and bulk properties were analyzed. Based on these investigations a range of processing parameters was derived. Parameter studies on thin layers, produced in a selective laser melting system, were conducted. Hence appropriate parameters for processing the PBT powders by laser beam melting, like building chamber temperature, scan speed and laser power have been identified.

  16. Cobalt-60 Machines and Medical Linear Accelerators: Competing Technologies for External Beam Radiotherapy.

    Science.gov (United States)

    Healy, B J; van der Merwe, D; Christaki, K E; Meghzifene, A

    2017-02-01

    Medical linear accelerators (linacs) and cobalt-60 machines are both mature technologies for external beam radiotherapy. A comparison is made between these two technologies in terms of infrastructure and maintenance, dosimetry, shielding requirements, staffing, costs, security, patient throughput and clinical use. Infrastructure and maintenance are more demanding for linacs due to the complex electric componentry. In dosimetry, a higher beam energy, modulated dose rate and smaller focal spot size mean that it is easier to create an optimised treatment with a linac for conformal dose coverage of the tumour while sparing healthy organs at risk. In shielding, the requirements for a concrete bunker are similar for cobalt-60 machines and linacs but extra shielding and protection from neutrons are required for linacs. Staffing levels can be higher for linacs and more staff training is required for linacs. Life cycle costs are higher for linacs, especially multi-energy linacs. Security is more complex for cobalt-60 machines because of the high activity radioactive source. Patient throughput can be affected by source decay for cobalt-60 machines but poor maintenance and breakdowns can severely affect patient throughput for linacs. In clinical use, more complex treatment techniques are easier to achieve with linacs, and the availability of electron beams on high-energy linacs can be useful for certain treatments. In summary, there is no simple answer to the question of the choice of either cobalt-60 machines or linacs for radiotherapy in low- and middle-income countries. In fact a radiotherapy department with a combination of technologies, including orthovoltage X-ray units, may be an option. Local needs, conditions and resources will have to be factored into any decision on technology taking into account the characteristics of both forms of teletherapy, with the primary goal being the sustainability of the radiotherapy service over the useful lifetime of the equipment

  17. Accelerated electron beams for production of heat shrinkable polymeric products and PTFE wastes recovery

    Energy Technology Data Exchange (ETDEWEB)

    Marin, Gh; Marcuta, M [SC ICPE Electrostatica SA, Bucharest (Romania); Jipa, S [' Valahia' University, Targoviste (Romania)

    2001-07-01

    Radiation curing, i.e. curing under the action of ionizing radiation (predominantly electron beams) is one of the most important areas of radiation processing. There are many practical applications of electron beam processing. Our research activity was focused on two of them: radiation cross-linking of polymeric materials; recovery of PTFE wastes. For this purpose we have used: an industrial electron accelerator ILU-6 with 2.5 MeV electron energy and 40kW beam power; equipment for the transport of materials under the electron beam; and a technologic line with typical equipment for the expansion process.

  18. Accelerated electron beams for production of heat shrinkable polymeric products and PTFE wastes recovery

    International Nuclear Information System (INIS)

    Marin, Gh.; Marcuta, M.; Jipa, S.

    2001-01-01

    Radiation curing, i.e. curing under the action of ionizing radiation (predominantly electron beams) is one of the most important areas of radiation processing. There are many practical applications of electron beam processing. Our research activity was focused on two of them: radiation cross-linking of polymeric materials; recovery of PTFE wastes. For this purpose we have used: an industrial electron accelerator ILU-6 with 2.5 MeV electron energy and 40kW beam power; equipment for the transport of materials under the electron beam; and a technologic line with typical equipment for the expansion process

  19. Research on electron beam welding technology of steel HR-4

    International Nuclear Information System (INIS)

    Guo Peng; Guan Kai

    2001-01-01

    The electron beam weldability of HR- 4 steels (J75 and J90) is studied and the welding parameters needed for design and usage are presented. The assessment on the effect of mechanical properties by different processing order of welding and heat-treatment is made

  20. Removal of chlorinated organic compounds from gas phase using electron beam technology

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Y.; Bulka, S.; Zimek, A. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland); Chmielewski, A. G. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland); Faculty of Chemical and Process Engineering, Warsaw University of Technology, Warsaw (Poland)

    2011-07-01

    Selected chlorinated organic compounds (Cl-HC), which are emitted from coal fired power plants, waste incinerators, chemical industry etc., are very harmful to the environment and human’s health. Some of them are listed as carcinogenic compounds by USA EPA. Recent studies show that some chlorinated organic compounds are suspected to be precursors for dioxins formation. Chlorinated organic compounds decomposition in air in an electron beam (EB) generated plasma reactor technology was studied. We selected cis-dichloroethylene (cis-DCE), 1,4-dichlorobenznene(1,4-DCB), 1-chloronaphthalene as studied objects. It is found that chlorinated organic compounds can be decomposed in an electron beam generated plasma reactor. The order of decomposition efficiency of these compounds are: cis-DCE > 1,4-DCB> 1-chloronaphthalene. (author)

  1. Numerical simulation of the processes of small-diameter high-current electron beam shaping and injection

    CERN Document Server

    Gordeev, V S; Myskov, G A

    2001-01-01

    With the aid of BEAM 25 program there was carried out the numerical simulation of the non-stationary process of shaping a small-diameter (<= 20mm) high-current hollow electron beam in a diode with magnetic insulation,as well as of the process of beam injection into the accelerating LIA track. The diode configuration for the purpose of eliminating the leakage of electron flux to the anode surface was update. Presented are the results of calculation of the injected beam characteristics (amplitude-time parameters of a current pulse, space-angle distributions of electrons etc.) depending on diode geometric parameters.

  2. Electron pulsed beam induced processing of thin film surface by Nb3Ge deposited into a stainless steel tape

    International Nuclear Information System (INIS)

    Vavra, I.; Korenev, S.A.

    1988-01-01

    A surface of superconductive thin film of Nb 3 Ge deposited onto a stainless steel tape was processed using the electron beam technique. The electron beam used had the following parameters: beam current density from 400 to 1000 A/cm 2 ; beam energy 100 keV; beam impulse length 300 ns. By theoretical analysis it is shown that the heating of film surface is an adiabatic process. It corresponds to our experimental data and pictures showing a surface remelting due to electron beam influence. After beam processing the superconductive parameters of the film remain unchanged. Roentgenograms have been analysed of Nb 3 Ge film surface recrystallized due to electron beam influence

  3. Frequency response of slow beam extraction process

    International Nuclear Information System (INIS)

    Toyama, Takeshi; Sato, Hikaru; Marutsuka, Katsumi; Shirakata, Masashi.

    1994-01-01

    A servo control system has been incorporated into the practical slow extraction system in order to stabilize the spill structure less than a few kHz. Frequency responses of the components of the servo-spill control system and the open-loop frequency response were measured. The beam transfer function of the slow extraction process was derived from the measured data and approximated using a simple function. This is utilized to improve the performance of the servo-loop. (author)

  4. Methods for calculating energy and current requirements for industrial electron beam processing

    International Nuclear Information System (INIS)

    Cleland, M.R.; Farrell, J.P.

    1976-01-01

    The practical problems of determining electron beam parameters for industrial irradiation processes are discussed. To assist the radiation engineer in this task, the physical aspects of electron beam absorption are briefly described. Formulas are derived for calculating the surface dose in the treated material using the electron energy, beam current and the area thruput rate of the conveyor. For thick absorbers electron transport results are used to obtain the depth-dose distributions. From these the average dose in the material, anti D, and the beam power utilization efficiency, F/sub p/, can be found by integration over the distributions. These concepts can be used to relate the electron beam power to the mass thruput rate. Qualitatively, the thickness of the material determines the beam energy, the area thruput rate and surface dose determine the beam current while the mass thruput rate and average depth-dose determine the beam power requirements. Graphs are presented showing these relationships as a function of electron energy from 0.2 to 4.0 MeV for polystyrene. With this information, the determination of electron energy and current requirements is a relatively simple procedure

  5. Towards a magnetic field separation in Ion Beam Sputtering processes

    Energy Technology Data Exchange (ETDEWEB)

    Malobabic, Sina, E-mail: s.malobabic@lzh.de [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Jupé, Marco [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Kadhkoda, Puja [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Ristau, Detlev [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany)

    2015-10-01

    Defects embedded in coatings due to particle contamination are considered as a primary factor limiting the quality of optical coatings in Ion Beam Sputtering. An approach combining the conventional Ion Beam Sputtering process with a magnetic separator in order to remove these particles from film growth is presented. The separator provides a bent axial magnetic field that guides the material flux towards the substrate positioned at the exit of the separator. Since there is no line of sight between target and substrate, the separator prevents that the particles generated in the target area can reach the substrate. In this context, optical components were manufactured that reveal a particle density three times lower than optical components which were deposited using a conventional Ion Beam Sputtering process. - Highlights: • We use bent magnetic fields to guide and separate the sputtered deposition material. • No line of sight between substrate and target prevents thin films from particles. • The transport efficiency of binary and ternary oxides is investigated. • The defect statistics of manufactured dielectric ternary multilayers are evaluated. • The phase separation leads to a drastically reduction of particle contamination.

  6. Technology of niobium and molybdenum refining by electron beam

    International Nuclear Information System (INIS)

    Conti, R.A.; Pinatti, D.G.; Sandim, H.R.Z.

    1988-01-01

    The uses of metals and alloys in superconductors (Nb46%Ti), aerospatial industry (Ti6Al4V), electroeletronic industry (Nb, Mo, W) and in surgical implants (Ti, Nb) are increasing nowadays. A refining process of niobium and molybdenum by electron beam technique, since the oxides reduction till the obtention of a high purity ingot is presented. (C.G.C.) [pt

  7. Improvisation during Process-Technology Adoption

    DEFF Research Database (Denmark)

    Tjørnehøj, Gitte; Mathiassen, Lars

    2010-01-01

    SPI technology adoption and events that causes the process to drift in unpredictable directions. To further understand how management's attempt to control the process is complemented by drifting, this article investigates the role of improvisation in adoption of SPI technology in a Danish software......Most software firms struggle to take advantage of the potential benefits of software process improvement (SPI) as they adopt this technology into the complex and dynamic realities of their day-to-day operation. Such efforts are therefore typically fluctuating between management's attempt to control...... firm, SmallSoft, over a 10-year period (1996–2005). We found that micro-level and macro-level improvisations interacted, often in uncoordinated ways, to shape SPI technology adoption at SmallSoft. The improvisations enhanced employee creativity, motivation and empowerment, created momentum...

  8. Application specific integrated circuit (ASIC) readout technologies for future ion beam analytical instruments

    Energy Technology Data Exchange (ETDEWEB)

    Whitlow, Harry J. E-mail: harry_j.whitlow@nuclear.lu.se

    2000-03-01

    New possibilities for ion beam analysis (IBA) are afforded by recent developments in detector technology which facilitate the parallel collection of data from a large number of channels. Application specific integrated circuit (ASIC) technologies, which have been widely employed for multi-channel readout systems in nuclear and particle physics, are more net-cost effective (160/channel for 1000 channels) and a more rational solution for readout of a large number of channels than afforded by conventional electronics. Based on results from existing and on-going chip designs, the possibilities and issues of ASIC readout technology are considered from the IBA viewpoint. Consideration is given to readout chip architecture and how the stringent resolution, linearity and stability requirements for IBA may be met. In addition the implications of the restrictions imposed by ASIC technology are discussed.

  9. Development of radiation fusion technology with food technology by the application of high dose irradiation

    International Nuclear Information System (INIS)

    Lee, Juwoon; Kim, Jaehun; Choi, Jongil

    2012-04-01

    This study was performed to achieve stable food supply and food safety with radiation fusion technology as a preparation for food weaponization. Results at current stage are following: First, for the development of radiation and food engineering fusion technology using high dose irradiation, the effects of high dose irradiation on food components were evaluated. The combination treatment of irradiation with food engineering was developed. Irradiation condition to destroy radiation resistant foodborne bacteria were determined. Second, for the development of E-beam irradiation technology, the effects of radiation sources on food compounds, processing conditions, and food quality of final products were compared. Food processing conditions for agricultural/aquatic products with different radiation sources was developed and the domination of E-beam irradiation foods were determined. The physical marker for E-beam irradiated foods or not was developed. Third, for the fundamental researches to develop purposed foods to extreme environmental, ready-to-eat foods were developed using high dose irradiation. Food processing for export strategy foods such as process ginseng were developed. Food processing with irradiation to destroy mycotoxin and to inhibit production of mycotoxin was developed. Mathematical models to predict necessary irradiation doses and radiation sources were developed and validated. Through the fundamental researches, the legislation for irradiation approval on meat products, sea foods and dried sea foods, and use of E-beam was introduced. Results from this research project, the followings are expected. Improvement of customer acceptance and activation of irradiation technology by the use of various irradiation rays. Increase of indirect food productivity, and decrease of SOC and improvement of public health by prevention of foodborne outbreaks. Build of SPS/TBT system against imported products and acceleration of domestic product export. Systemized

  10. Development of Radiation Fusion Technology with Food Technology by the Application of High Dose Irradiation

    International Nuclear Information System (INIS)

    Kim, Ju Won; Kim, Jae Hun; Choi, Jong Il

    2010-04-01

    This study was studied to achieve stable food supply and food safety with radiation fusion technology as a preparation for food weaponization. Results at current stage are following: First, for the development of radiation and food engineering fusion technology using high dose irradiation, the effects of high dose irradiation on food components were evaluated. The combination treatment of irradiation with food engineering were developed. Irradiation condition to destroy radiation resistant food borne bacteria were determined. Second, for the development of E-beam irradiation technology, the effects of radiation sources on food compounds, processing conditions, and food quality of final products were compared. Food processing conditions for agricultural/aquatic products with different radiation sources were developed and the domination of E-beam irradiation foods were determined. The physical marker for E-beam irradiated foods or not were developed. Third, for the fundamental researches to develop purposed foods to extreme environmental, ready-to-eat foods were developed using high dose irradiation. Food processing for export strategy foods such as process ginseng were developed. Food processing with irradiation to destroy mycotoxin and to inhibit production of mycotoxin were developed. Mathematical models to predict necessary irradiation doses and radiation sources were developed and validated. Through the fundamental researches, the legislation for irradiation approval on meat products, sea foods and dried sea foods, and use of E-beam were introduced. Results from this research project, the followings are expected. (1) Improvement of customer acceptance and activation of irradiation technology by the use of various irradiation rays. (2) Increase of indirect food productivity, and decrease of SOC and improvement of public health by prevention of food borne outbreaks. (3) Build of SPS/TBT system against imported products and acceleration of domestic product export

  11. Development of Radiation Fusion Technology with Food Technology by the Application of High Dose Irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ju Won; Kim, Jae Hun; Choi, Jong Il

    2010-04-15

    This study was studied to achieve stable food supply and food safety with radiation fusion technology as a preparation for food weaponization. Results at current stage are following: First, for the development of radiation and food engineering fusion technology using high dose irradiation, the effects of high dose irradiation on food components were evaluated. The combination treatment of irradiation with food engineering were developed. Irradiation condition to destroy radiation resistant food borne bacteria were determined. Second, for the development of E-beam irradiation technology, the effects of radiation sources on food compounds, processing conditions, and food quality of final products were compared. Food processing conditions for agricultural/aquatic products with different radiation sources were developed and the domination of E-beam irradiation foods were determined. The physical marker for E-beam irradiated foods or not were developed. Third, for the fundamental researches to develop purposed foods to extreme environmental, ready-to-eat foods were developed using high dose irradiation. Food processing for export strategy foods such as process ginseng were developed. Food processing with irradiation to destroy mycotoxin and to inhibit production of mycotoxin were developed. Mathematical models to predict necessary irradiation doses and radiation sources were developed and validated. Through the fundamental researches, the legislation for irradiation approval on meat products, sea foods and dried sea foods, and use of E-beam were introduced. Results from this research project, the followings are expected. (1) Improvement of customer acceptance and activation of irradiation technology by the use of various irradiation rays. (2) Increase of indirect food productivity, and decrease of SOC and improvement of public health by prevention of food borne outbreaks. (3) Build of SPS/TBT system against imported products and acceleration of domestic product export

  12. Development of radiation fusion technology with food technology by the application of high dose irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juwoon; Kim, Jaehun; Choi, Jongil; and others

    2012-04-15

    This study was performed to achieve stable food supply and food safety with radiation fusion technology as a preparation for food weaponization. Results at current stage are following: First, for the development of radiation and food engineering fusion technology using high dose irradiation, the effects of high dose irradiation on food components were evaluated. The combination treatment of irradiation with food engineering was developed. Irradiation condition to destroy radiation resistant foodborne bacteria were determined. Second, for the development of E-beam irradiation technology, the effects of radiation sources on food compounds, processing conditions, and food quality of final products were compared. Food processing conditions for agricultural/aquatic products with different radiation sources was developed and the domination of E-beam irradiation foods were determined. The physical marker for E-beam irradiated foods or not was developed. Third, for the fundamental researches to develop purposed foods to extreme environmental, ready-to-eat foods were developed using high dose irradiation. Food processing for export strategy foods such as process ginseng were developed. Food processing with irradiation to destroy mycotoxin and to inhibit production of mycotoxin was developed. Mathematical models to predict necessary irradiation doses and radiation sources were developed and validated. Through the fundamental researches, the legislation for irradiation approval on meat products, sea foods and dried sea foods, and use of E-beam was introduced. Results from this research project, the followings are expected. Improvement of customer acceptance and activation of irradiation technology by the use of various irradiation rays. Increase of indirect food productivity, and decrease of SOC and improvement of public health by prevention of foodborne outbreaks. Build of SPS/TBT system against imported products and acceleration of domestic product export. Systemized

  13. Organic ice resists for 3D electron-beam processing: Instrumentation and operation

    DEFF Research Database (Denmark)

    Tiddi, William; Elsukova, Anna; Beleggia, Marco

    2018-01-01

    Organic vapors condensed into thin layers of ice on the surface of a cold substrate are exposed with an electron beam to create resist patterns for lithography applications. The entire spin- and development-free lithography process requires a single custom instrument. We report the design, material...... choice, implementation and operation of this apparatus. It is based on a scanning electron microscope fitted with an electron beam control system that is normally used for electron beam lithography in a multi-user open-access laboratory. The microscope was also equipped with a gas injection system......, a liquid nitrogen cooled cryostage, a temperature control system, and a load-lock. Three steps are required to initialize the apparatus for organic ice resist processing, and two steps are required to restore the apparatus for routine multi-user operations. Five steps are needed to create organic ice...

  14. Research and development project in fiscal 1990 for large industrial technologies. Achievement report on research and development of ultra-advanced processing systems (Development of high-level processing devices for electric power generation facility members); 1990 nendo chosentan kako system no kenkyu kaihatsu seika hokokusho. Hatsuden shisetsuyo buzai kodo kako sochi kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1991-03-01

    Research and development has been performed with an objective to establish the processing technology using excitation beam required for the advanced technology industries, and the ultra-precision machining technology to realize the nano-technology. This paper summarizes the achievements in fiscal 1990. In the research on the large output and long life technology for excimer laser, an average output of 500 W or more was demonstrated achieving the intermediate target by developing and improving the technologies for low electric power gas circulation, high efficiency discharge and excitation, and large output oscillation control. In the research on intensity resistant optical element technology, a prototype device was fabricated to create and process axially symmetrical aspherical shapes, whereas SiC aspherical shape creation and processing were demonstrated at the shape accuracy of three microns or better, and surface roughness of 20 nanometers or less, having achieved the intermediate target. Regarding the large current ion beam, a demonstration device was completed based on improvements and test results on the sheet plasma generator. In the research and development of the high-level processing technology, low-temperature forming technology was developed for oxide ceramic thin films by using the excimer laser abrasion method. (NEDO)

  15. Radiation processing technology for industrial waste water treatment

    International Nuclear Information System (INIS)

    2011-01-01

    Radiation sterilization technology, cross-linked polymers and curing, food and environmental applications of the radiation is widely used for many years. At the same time, drinking water and wastewater treatment are the part of the radiation technology applications. For this purpose, drinking water and wastewater treatment plants in various countries has been established. In this project, gamma / electron beam radiation treatment is intended to be used for the treatment of alkaloid, textiles and polychlorinated biphenyls (PCBs) wastewater. In this regard, the chemical characterization of wastewater, the interaction with radiation, biological treatment and determination of toxicological properties are the laboratory studies milestones. After laboratory studies, the establishment of a pilot scale treatment plant has been planned. Within the framework of the project a series of dye used in textile industry were examined. Besides the irradiation, the changes in treatment efficiency were investigated by using of oxygen and hydrogen peroxide in conjunction with the irradiation. Same working methods were implemented in the wastewater treatment of Bolvadin Opium Alkaloid Factory as well. In addition to chemical analysis in this study, aerobic and anaerobic biological treatment process also have been applied. Standard reference materials has been used for the marine sediment study contaminated with polychlorinated biphenyls.

  16. Electron beam deflection control system of a welding and surface modification installation

    Science.gov (United States)

    Koleva, E.; Dzharov, V.; Gerasimov, V.; Tsvetkov, K.; Mladenov, G.

    2018-03-01

    In the present work, we examined the patterns of the electron beam motion when controlling the transverse with respect to the axis of the beam homogeneous magnetic field created by the coils of the deflection system the electron gun. During electron beam processes, the beam motion is determined the process type (welding, surface modification, etc.), the technological mode, the design dimensions of the electron gun and the shape of the processed samples. The electron beam motion is defined by the cumulative action of two cosine-like control signals generated by a functional generator. The signal control is related to changing the amplitudes, frequencies and phases (phase differences) of the generated voltages. We realized the motion control by applying a graphical user interface developed by us and an Arduino Uno programmable microcontroller. The signals generated were calibrated using experimental data from the available functional generator. The free and precise motion on arbitrary trajectories determines the possible applications of an electron beam process to carrying out various scientific research tasks in material processing.

  17. Biodegradability enhancement of textile wastewater by electron beam irradiation

    International Nuclear Information System (INIS)

    Kim, Tak-Hyun; Lee, Jae-Kwang; Lee, Myun-Joo

    2007-01-01

    Textile wastewater generally contains various pollutants, which can cause problems during biological treatment. Electron beam radiation technology was applied to enhance the biodegradability of textile wastewater for an activated sludge process. The biodegradability (BOD 5 /COD) increased at a 1.0 kGy dose. The biorefractory organic compounds were converted into more easily biodegradable compounds such as organic acids having lower molecular weights. In spite of the short hydraulic retention time (HRT) of the activated sludge process, not only high organic removal efficiencies, but also high microbial activities were achieved. In conclusion, textile wastewater was effectively treated by the combined process of electron beam radiation and an activated sludge process

  18. Electron beam sterilization of water discharged from sewage

    International Nuclear Information System (INIS)

    Miyata, Teijiro; Arai, Hidehiko; Tokunaga, Okihiro; Machi, Sueo; Kondo, Masaki; Minemura, Takashi; Nakao, Akio; Seike, Yasuhiko.

    1989-01-01

    At present, the water treated at city sewerages is discharged to rivers after the chlorine sterilization, but it was clarified recently that this chlorine treatment produces carcinogenic organic chlorine compounds, and residual chlorine exerts harmful effect to aquatics, therefore, it is desirable to develop the sterilization techniques substituting for chlorine treatment. Already many reports elucidated that irradiation is effective for the sterilization of the water discharged from sewerage. However, as the technical subject for putting radiation process in practical use, the treatment of large quantity was a problem. Recently by the progress of the technology of manufacturing electron accelerators, the equipment with large power output which can treat in large quantity was developed, and it has become applicable also to sewage treatment. Therefore, the authors examined the practicality of electron beam process as the substitute technology for chlorine sterilizaiton. In the case of using electron beam, though the power output of accelerators is large, the flight range of electron beam in water is short. The comparison of the sterilization effect of electron beam with that of Co-60 gamma ray, the effects of water depth, discharged water quality and water velocity on the sterilization effect and so on were experimentally examined. (K.I.)

  19. Management of Technology - a political process approach

    DEFF Research Database (Denmark)

    Koch, Christian

    1999-01-01

    Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes.......Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes....

  20. Quantitative strain analysis for advanced CMOS technology by Nano Beam Diffraction

    KAUST Repository

    Wang, Qingxiao

    2010-07-01

    Nano Beam Diffraction has been used to analyze the local strain distribution in MOS transistors. The influence of wafer process on the channel strain has been systematically analyzed in this paper. The source/drain implantation can cause a little strain loss but the silicidation step is the key process in which dramatic strain loss has been found. © 2010 IEEE.

  1. Quantitative strain analysis for advanced CMOS technology by Nano Beam Diffraction

    KAUST Repository

    Wang, Qingxiao; Zhu, Jinmin; Du, Anyan; Liu, Jinping; Hua, YouNan

    2010-01-01

    Nano Beam Diffraction has been used to analyze the local strain distribution in MOS transistors. The influence of wafer process on the channel strain has been systematically analyzed in this paper. The source/drain implantation can cause a little strain loss but the silicidation step is the key process in which dramatic strain loss has been found. © 2010 IEEE.

  2. Practical applications of ion beam and plasma processing for improving corrosion and wear protection

    CERN Document Server

    Klingenberg, M L; Wei, R; Demaret, J; Hirvonen, J

    2002-01-01

    A multi-year project for the US Army has been investigating the use of various ion beam and plasma-based surface treatments to improve the corrosion and wear properties of military hardware. These processes are intended to be complementary to, rather than competing with, other promising macro scale coating processes such high velocity oxy-fuel (HVOF) deposition, particularly in non-line-of- sight and flash chrome replacement applications. It is believed that these processes can improve the tribological and corrosion behavior of parts without significantly altering the dimensions of the part, thereby eliminating the need for further machining operations and reducing overall production costs. The ion beam processes chosen are relatively mature, low-cost processes that can be scaled-up. The key methods that have been considered under this program include nitrogen ion implantation into electroplated hard chrome, ion beam assisted chromium and chromium nitride coatings, and plasma-deposited diamond- like carbon an...

  3. Electron beam induced purification of dilute off gases from industrial processes and automobile tunnels

    International Nuclear Information System (INIS)

    Paur, H.-R.; Maetzing, H.

    1993-01-01

    The electron beam process has proved to be an efficient method for the removal of inorganic pollutants from flue gas. Since it simulates natural processes which occur in the atmospheric photochemistry, it appeared attractive to investigate the potential of the e-beam process to clean off-gases which contain hydrocarbon and inorganic trace components. Such emissions arise from industrial processes and from automobile tunnels. Commercial solvents were vaporized in air and irradiated with energetic electrons (300 keV). CO, CO 2 and aerosol particles were found as products and were determined quantitatively. The aerosol particles can be collected by a gravel bed filter and can be removed by combustion or biological degradation. From experiments and model calculations it was found that the e-beam process is a very economic tool to remove hydrocarbons from large off-gas volumes at initial concentrations of 50-100 mg C/m 3 , and that NO x can be removed very efficiently from tunnel off-gas. (author)

  4. Radiation processing applications in the Czechoslovak water treatment technologies

    Science.gov (United States)

    Vacek, K.; Pastuszek, F.; Sedláček, M.

    The regeneration of biologically clogged water wells by radiation proved to be a successful and economically beneficial process among other promising applications of ionizing radiation in the water supply technology. The application conditions and experience are mentioned. The potential pathogenic Mycobacteria occuring in the warm washing and bathing water are resistant against usual chlorine and ozone concentrations. The radiation sensitivity of Mycobacteria allowed to suggest a device for their destroying by radiation. Some toxic substances in the underground water can be efficiently degraded by gamma radiation directly in the wells drilled as a hydraulic barrier surrounding the contaminated land area. Substantial decrease of CN - concentration and C.O.D. value was observed in water pumped from such well equipped with cobalt sources and charcoal. The removing of pathogenic contamination remains to be the main goal of radiation processing in the water purification technologies. The decrease of liquid sludge specific filter resistance and sedimentation acceleration by irradiation have a minor technological importance. The hygienization of sludge cake from the mechanical belt filter press by electron beam appears to be the optimum application in the Czechoslovak conditions. The potatoes and barley crop yields from experimental plots treated with sludge were higher in comparison with using the manure. Biological sludge from the municipal and food industry water purification plants contains nutritive components. The proper hygienization is a necessary condition for using them as a livestock feed supplement. Feeding experiments with broilers and pigs confirmed the possibility of partial (e.g. 50%) replacement of soya-, bone- or fish flour in feed mixtures by dried sludge hygienized either by heat or by the irradiation.

  5. Radiation processing applications in the Czechoslovak water treatment technologies

    International Nuclear Information System (INIS)

    Vacek, K.; Pastuszek, F.; Sedlacek, M.

    1986-01-01

    The regeneration of biologically clogged water wells by radiation proved to be a successful and economically beneficial process among other promising applications of ionizing radiation in the water supply technology. The application conditions and experience are mentioned. The potential pathogenic Mycobacteria occuring in the warm washing and bathing water are resistant against usual chlorine and ozone concentrations. The radiation sensitivity of Mycobacteria allowed to suggest a device for their destroying by radiation. Some toxic substances in the underground water can be efficiently degraded by gamma radiation directly in the wells drilled as a hydraulic barrier surrounding the contaminated land area. Substantial decrease of CN - concentration and C.O.D. value was observed in water pumped from such well equipped with cobalt sources and charcoal. The removing of pathogenic contamination remains to be the main goal of radiation processing in the water purification technologies. The decrease of liquid sludge specific filter resistance and sedimentation acceleration by irradiation have a minor technological importance. The hygienization of sludge cake from the mechanical belt filter press by electron beam appears to be the optimum application in the Czechoslovak conditions. The potatoes and barley crop yields from experimental plots treated with sludge were higher in comparison with using the manure. Biological sludge from the municipal and food industry water purification plants contains nutritive components. The proper hygienization is a necessary condition for using them as a livestock feed supplement. Feeding experiments with broilers and pigs confirmed the possibility of partial (e.g. 50%) replacement of soya-, bone, or fish flour in feed mixtures by dried sludge hygienized either by heat or by the irradiation. (author)

  6. A new approach in e-beam treatment of flue gases and other gas-phase processes

    International Nuclear Information System (INIS)

    Kukulin, V.I.

    1999-01-01

    A principally new approach avoiding the conventional ammonia (or limestone) technology for the e-beam treatment of flue gases in coal-fired electric power stations, boilers and ore smelting industries is suggested. The approach includes two stages. At the first stage the flue gases undergo hard ultra-violet irradiation (with an appropriate spectral distribution) in permanent electric field which leads to a partial separation of NO and SO 2 from the rest of flue gases. At the second stage this partially separated mixture of No and SO 2 undergoes a combined impact of a fast gaseous jet consisting of a molecular mixture N 2 +H 2 together with longitudinal collinear electron beam with maximal electron energy around 300-400 KeV only. This low energy electron irradiation leads in case of such a geometry to a simultaneous reduction of NO and SO 2 oxides to the clear air components (i.e. N 2 +H 2 O) and elementary sulphur respectively instead of a large mass of ammonium nitrates and sulphates in the traditional Ebara approach, Besides, the suggested two-stage process may lead to a very significant reduction in the energy consumption (of the accelerated electrons) and a sharp decrease in the gamma-radiational background. Due to its characteristic features, the process has a much higher potential for commercialisation and wide spreading

  7. Realization of beam polarization at the linear collider and its application to EW processes

    Energy Technology Data Exchange (ETDEWEB)

    Franco-Sollova, F.

    2006-07-15

    The use of beam polarization at the future ILC e{sup +}e{sup -} linear collider will benefit the physics program significantly. This thesis explores three aspects of beam polarization: the application of beam polarization to the study of electroweak processes, the precise measurement of the beam polarization, and finally, the production of polarized positrons at a test beam experiment. In the first part of the thesis the importance of beam polarization at the future ILC is exhibited: the benefits of employing transverse beam polarization (in both beams) for the measurement of triple gauge boson couplings (TGCs) in the W-pair production process are studied. The sensitivity to anomalous TGC values is compared for the cases of transverse and longitudinal beam polarization at a center of mass energy of 500 GeV. Due to the suppressed contribution of the t-channel {nu} exchange, the sensitivity is higher for longitudinal polarization. For some physics analyses the usual polarimetry techniques do not provide the required accuracy for the measurement of the beam polarization (around 0.25% with Compton polarimetry). The second part of the thesis deals with a complementary method to measure the beam polarization employing physics data acquired with two polarization modes. The process of single-W production is chosen due to its high cross section. The expected precision for 500 fb{sup -1} and W{yields}{mu}{nu} decays only, is {delta}P{sub e{sup -}}/P{sub e{sup -}}=0.26% and {delta}P{sub e{sup +}}/P{sub e{sup +}}=0.33%, which can be further improved by employing additional W-decay channels. The first results of an attempt to produce polarized positrons at the E-166 experiment are shown in the last part of the thesis. The E-166 experiment, located at the Final Focus Test Beam at SLAC's LINAC employs a helical undulator to induce the emission of circularly polarized gamma rays by the beam electrons. These gamma rays are converted into longitudinally polarized electron

  8. Use of energetic ion beams in materials synthesis and processing

    International Nuclear Information System (INIS)

    Appleton, B.R.

    1992-01-01

    A brief review of the use energetic ion beams and related techniques for the synthesis, processing, and characterization of materials is presented. Selected opportunity areas are emphasized with examples, and references are provided for more extensive coverage. (author)

  9. Engineering of automated assembly of beam-shaping optics

    Science.gov (United States)

    Haag, Sebastian; Sinhoff, Volker; Müller, Tobias; Brecher, Christian

    2014-03-01

    Beam-shaping is essential for any kind of laser application. Assembly technologies for beam-shaping subassemblies are subject to intense research and development activities and their technical feasibility has been proven in recent years while economic viability requires more efficient engineering tools for process planning and production ramp up of complex assembly tasks for micro-optical systems. The work presented in this paper aims for significant reduction of process development and production ramp up times for the automated assembly of micro-optical subassemblies for beam-collimation and beam-tilting. The approach proposed bridges the gap between the product development phase and the realization of automation control through integration of established software tools such as optics simulation and CAD modeling as well as through introduction of novel software tools and methods to efficiently describe active alignment strategies. The focus of the paper is put on the methodological approach regarding the engineering of assembly processes for beam-shaping micro-optics and the formal representation of assembly objectives similar to representation in mechanical assemblies. Main topic of the paper is the engineering methodology for active alignment processes based on the classification of optical functions for beam-shaping optics and corresponding standardized measurement setups including adaptable alignment algorithms. The concepts are applied to industrial use-cases: (1) integrated collimation module for fast- and slow-axis and (2) beam-tilting subassembly consisting of a fast-axis collimator and micro-lens array. The paper concludes with an overview of current limitations as well as an outlook on the next development steps considering adhesive bonding processes.

  10. Using CAD/CAM-systems for process optimization during laser beam cutting and surface treatment. CAD/CAM-gestuetzte Prozessauslegung beim Laserstrahlschneiden und -oberflaechenveredeln

    Energy Technology Data Exchange (ETDEWEB)

    Koenig, W.; Trasser, F.J.; Wetzels, W. (Fraunhofer-Inst. fuer Produktionstechnologie, IPT, Aachen (Germany))

    1991-02-01

    Using technological modules in modern CAD/CAM-systems provides an efficient use of the available know-how. On the one hand, companies can save their know-how, on the other hand they can improve manufacturing quality as well as increase the productivity. Especially laser beam cutting allows an automatic process control - orientated on geometry aspects. Similar results can be shown for laser surface treatment as well, although up to now reducing of the programming time is the main task of technological modules for this application, including special algorithms for CNC-controlled rotation/swiveling of powder-nozzles and adjustment of the powder flow rate.

  11. Beam, multi-beam and broad beam production with COMIC devices

    International Nuclear Information System (INIS)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Peaucelle, C.

    2012-01-01

    The COMIC discharge cavity is a very versatile technology. We will present new results and devices that match new applications like: molecular beams, ultra compact beam line for detectors calibrations, quartz source for on-line application, high voltage platform source, sputtering /assistance broad beams and finally, a quite new use, high energy multi-beam production for surface material modifications. In more details, we will show that the tiny discharge of COMIC can mainly produce molecular ions (H 3+ ). We will present the preliminary operation of the fully quartz ISOLDE COMIC version, in collaboration with IPN Lyon, we will present a first approach for a slit extraction version of a three cavity device, and after discussing about various extraction systems on the multi discharge device (41 cavities) we will show the low energy broad beam (2 KV) and high energy multi-beams (10 beams up to 30 KV) productions. We will specially present the different extraction systems adapted to each application and the beams characteristics which are strongly dependent on the voltage distribution of an accel-accel two electrodes extraction system. The paper is followed by the slides of the presentation. (authors)

  12. National Security Technology Incubator Evaluation Process

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2007-12-31

    This report describes the process by which the National Security Technology Incubator (NSTI) will be evaluated. The technology incubator is being developed as part of the National Security Preparedness Project (NSPP), funded by a Department of Energy (DOE)/National Nuclear Security Administration (NNSA) grant. This report includes a brief description of the components, steps, and measures of the proposed evaluation process. The purpose of the NSPP is to promote national security technologies through business incubation, technology demonstration and validation, and workforce development. The NSTI will focus on serving businesses with national security technology applications by nurturing them through critical stages of early development. An effective evaluation process of the NSTI is an important step as it can provide qualitative and quantitative information on incubator performance over a given period. The vision of the NSTI is to be a successful incubator of technologies and private enterprise that assist the NNSA in meeting new challenges in national safety and security. The mission of the NSTI is to identify, incubate, and accelerate technologies with national security applications at various stages of development by providing hands-on mentoring and business assistance to small businesses and emerging or growing companies. To achieve success for both incubator businesses and the NSTI program, an evaluation process is essential to effectively measure results and implement corrective processes in the incubation design if needed. The evaluation process design will collect and analyze qualitative and quantitative data through performance evaluation system.

  13. Minimal-effort planning of active alignment processes for beam-shaping optics

    Science.gov (United States)

    Haag, Sebastian; Schranner, Matthias; Müller, Tobias; Zontar, Daniel; Schlette, Christian; Losch, Daniel; Brecher, Christian; Roßmann, Jürgen

    2015-03-01

    In science and industry, the alignment of beam-shaping optics is usually a manual procedure. Many industrial applications utilizing beam-shaping optical systems require more scalable production solutions and therefore effort has been invested in research regarding the automation of optics assembly. In previous works, the authors and other researchers have proven the feasibility of automated alignment of beam-shaping optics such as collimation lenses or homogenization optics. Nevertheless, the planning efforts as well as additional knowledge from the fields of automation and control required for such alignment processes are immense. This paper presents a novel approach of planning active alignment processes of beam-shaping optics with the focus of minimizing the planning efforts for active alignment. The approach utilizes optical simulation and the genetic programming paradigm from computer science for automatically extracting features from a simulated data basis with a high correlation coefficient regarding the individual degrees of freedom of alignment. The strategy is capable of finding active alignment strategies that can be executed by an automated assembly system. The paper presents a tool making the algorithm available to end-users and it discusses the results of planning the active alignment of the well-known assembly of a fast-axis collimator. The paper concludes with an outlook on the transferability to other use cases such as application specific intensity distributions which will benefit from reduced planning efforts.

  14. Directed-energy process technology efforts

    Science.gov (United States)

    Alexander, P.

    1985-01-01

    A summary of directed-energy process technology for solar cells was presented. This technology is defined as directing energy or mass to specific areas on solar cells to produce a desired effect in contrast to exposing a cell to a thermal or mass flow environment. Some of these second generation processing techniques are: ion implantation; microwave-enhanced chemical vapor deposition; rapid thermal processing; and the use of lasers for cutting, assisting in metallization, assisting in deposition, and drive-in of liquid dopants. Advantages of directed energy techniques are: surface heating resulting in the bulk of the cell material being cooler and unchanged; better process control yields; better junction profiles, junction depths, and metal sintering; lower energy consumption during processing and smaller factory space requirements. These advantages should result in higher-efficiency cells at lower costs. The results of the numerous contracted efforts were presented as well as the application potentials of these new technologies.

  15. Intense high-frequency gyrotron-based microwave beams for material processing

    Energy Technology Data Exchange (ETDEWEB)

    Hardek, T.W.; Cooke, W.D.; Katz, J.D.; Perry, W.L.; Rees, D.E.

    1997-03-01

    Microwave processing of materials has traditionally utilized frequencies in the 0.915 and 2.45 GHz regions. Microwave power sources are readily available at these frequencies but the relatively long wavelengths can present challenges in uniformly heating materials. An additional difficulty is the poor coupling of ceramic based materials to the microwave energy. Los Alamos National Laboratory scientists, working in conjunction with the National Center for Manufacturing Sciences (NCMS), have assembled a high-frequency demonstration processing facility utilizing gyrotron based RF sources. The facility is primarily intended to demonstrate the unique features available at frequencies as high as 84 GHz. The authors can readily provide quasi-optical, 37 GHz beams at continuous wave (CW) power levels in the 10 kW range. They have also provided beams at 84 GHz at 10 kW CW power levels. They are presently preparing a facility to demonstrate the sintering of ceramics at 30 GHz. This paper presents an overview of the present demonstration processing facility and describes some of the features they have available now and will have available in the near future.

  16. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    Science.gov (United States)

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  17. Ion beam neutralization with ferroelectrically generated electron beams

    Energy Technology Data Exchange (ETDEWEB)

    Herleb, U; Riege, H [European Organization for Nuclear Research, Geneva (Switzerland). LHC Division

    1997-12-31

    A technique for ion beam space-charge neutralization with pulsed electron beams is described. The intensity of multiply-charged ions produced with a laser ion source can be enhanced or decreased separately with electron beam trains of MHz repetition rate. These are generated with ferroelectric cathodes, which are pulsed in synchronization with the laser ion source. The pulsed electron beams guide the ion beam in a similar way to the alternating gradient focusing of charged particle beams in circular accelerators such as synchrotrons. This new neutralization technology overcomes the Langmuir-Child space-charge limit and may in future allow ion beam currents to be transported with intensities by orders of magnitude higher than those which can be accelerated today in a single vacuum tube. (author). 6 figs., 10 refs.

  18. Ultrafast Bessel beams: advanced tools for laser materials processing

    Science.gov (United States)

    Stoian, Razvan; Bhuyan, Manoj K.; Zhang, Guodong; Cheng, Guanghua; Meyer, Remy; Courvoisier, Francois

    2018-05-01

    Ultrafast Bessel beams demonstrate a significant capacity of structuring transparent materials with a high degree of accuracy and exceptional aspect ratio. The ability to localize energy on the nanometer scale (bypassing the 100-nm milestone) makes them ideal tools for advanced laser nanoscale processing on surfaces and in the bulk. This allows to generate and combine micron and nano-sized features into hybrid structures that show novel functionalities. Their high aspect ratio and the accurate location can equally drive an efficient material modification and processing strategy on large dimensions. We review, here, the main concepts of generating and using Bessel non-diffractive beams and their remarkable features, discuss general characteristics of their interaction with matter in ablation and material modification regimes, and advocate their use for obtaining hybrid micro and nanoscale structures in two and three dimensions (2D and 3D) performing complex functions. High-throughput applications are indicated. The example list ranges from surface nanostructuring and laser cutting to ultrafast laser welding and the fabrication of 3D photonic systems embedded in the volume.

  19. Process Engineering Technology Center Initiative

    Science.gov (United States)

    Centeno, Martha A.

    2002-01-01

    NASA's Kennedy Space Center (KSC) is developing as a world-class Spaceport Technology Center (STC). From a process engineering (PE) perspective, the facilities used for flight hardware processing at KSC are NASA's premier factories. The products of these factories are safe, successful shuttle and expendable vehicle launches carrying state-of-the-art payloads. PE is devoted to process design, process management, and process improvement, rather than product design. PE also emphasizes the relationships of workers with systems and processes. Thus, it is difficult to speak of having a laboratory for PE at K.S.C. because the entire facility is practically a laboratory when observed from a macro level perspective. However, it becomes necessary, at times, to show and display how K.S.C. has benefited from PE and how K.S.C. has contributed to the development of PE; hence, it has been proposed that a Process Engineering Technology Center (PETC) be developed to offer a place with a centralized focus on PE projects, and a place where K.S.C.'s PE capabilities can be showcased, and a venue where new Process Engineering technologies can be investigated and tested. Graphics for showcasing PE capabilities have been designed, and two initial test beds for PE technology research have been identified. Specifically, one test bed will look into the use of wearable computers with head mounted displays to deliver work instructions; the other test bed will look into developing simulation models that can be assembled into one to create a hierarchical model.

  20. Technology development life cycle processes.

    Energy Technology Data Exchange (ETDEWEB)

    Beck, David Franklin

    2013-05-01

    This report and set of appendices are a collection of memoranda originally drafted in 2009 for the purpose of providing motivation and the necessary background material to support the definition and integration of engineering and management processes related to technology development. At the time there was interest and support to move from Capability Maturity Model Integration (CMMI) Level One (ad hoc processes) to Level Three. As presented herein, the material begins with a survey of open literature perspectives on technology development life cycles, including published data on %E2%80%9Cwhat went wrong.%E2%80%9D The main thrust of the material presents a rational expose%CC%81 of a structured technology development life cycle that uses the scientific method as a framework, with further rigor added from adapting relevant portions of the systems engineering process. The material concludes with a discussion on the use of multiple measures to assess technology maturity, including consideration of the viewpoint of potential users.

  1. Development of Industrial Process Diagnosis and Measurement Technology

    International Nuclear Information System (INIS)

    Jung, Sung Hee; Kim, Jong Bum; Moon, Jin Ho

    2010-04-01

    Section 1. Industrial Gamma CT Technology for Process Diagnosis: The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section 2. Development of RI Hydraulic Detection Technology for Industrial Application: The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section 3. Development of RT-PAT System for Powder Process Diagnosis: The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  2. Development of industrial process diagnosis and measurement technology

    International Nuclear Information System (INIS)

    Jung, Sunghee; Kim, Jongbum; Moon, Jinho; Suh, Kyungsuk; Kim, Jongyun

    2012-04-01

    Section1. Industrial Gamma CT Technology for Process Diagnosis The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section2. Development of RI Hydraulic Detection Technology for Industrial Application The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section3. Development of RT-PAT System for Powder Process Diagnosis The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  3. Status and perspectives for the electron beam technology for flue gases treatment

    International Nuclear Information System (INIS)

    Frank, N.W.

    1992-01-01

    The electron-beam process is one of the most effective methods of removing SO 2 and NO x from industrial flue gases. This flue gas treatment consists of adding a small amount of ammonia to the flue gas and irradiating the gas by means of an electron beam, thereby causing reactions which convert the SO 2 and NO x to ammonium sulfate and ammonium sulfate-nitrate. These salts may then be collected from the flue gas by means of such conventional collectors as an electrostatic precipitator or baghouse. This process has numerous advantages over currently-used conventional processes as follows: (1) the process simultaneously removes SO 2 and NO x from flue gas at high efficiency levels; (2) it is a dry process which is easily controlled and has excellent load-following capability; (3) stack-gas reheat is not required; (4) the pollutants are converted into a saleable agricultural fertilizer; (5) the process has low capital and operating cost requirements. The history of the process is shown with a summary of the work that is presently underway. All of the current work is for the purpose of fine tuning the process for commercial usage. It is believed that with current testing and improvements, the process will be very competitive with existing processes and it will find its place in an environmentally conscious world. (Author)

  4. Focused particle beam nano-machining: the next evolution step towards simulation aided process prediction

    International Nuclear Information System (INIS)

    Plank, Harald

    2015-01-01

    During the last decade, focused ion beam processing has been developed from traditionally used Ga + liquid ion sources towards higher resolution gas field ion sources (He + and Ne + ). Process simulations not only improve the fundamental understanding of the relevant ion–matter interactions, but also enable a certain predictive power to accelerate advances. The historic ‘gold’ standard in ion–solid simulations is the SRIM/TRIM Monte Carlo package released by Ziegler, Ziegler and Biersack 2010 Nucl. Instrum. Methods B 268 1818–23. While SRIM/TRIM is very useful for a myriad of applications, it is not applicable for the understanding of the nanoscale evolution associated with ion beam nano-machining as the substrate does not evolve with the sputtering process. As a solution for this problem, a new, adapted simulation code is briefly overviewed and finally addresses these contributions. By that, experimentally observed Ne + beam sputter profiles can be explained from a fundamental point of view. Due to their very good agreement, these simulations contain the potential for computer aided optimization towards predictable sputter processes for different nanotechnology applications. With these benefits in mind, the discussed simulation approach represents an enormous step towards a computer based master tool for adaptable ion beam applications in the context of industrial applications. (viewpoint)

  5. A contribution to the electron-beam surface-melting process of metallic materials. Numerical simulation and experimental verification

    International Nuclear Information System (INIS)

    Bruckner, A.

    1996-08-01

    For the optimization of the surface melting process it is necessary to make many different experiments. Therefore, the simulation of the surface melting process becomes a major role for the optimization. Most of the simulations, developed for the laser surface melting process, are not usable for the electron-beam surface melting process, because of the different energy input and the possibility of high frequent movement of the electron-beam. In this thesis, a calculation model for electron-beam surface melting is presented. For this numerical simulation a variable volume source is used, which moves in axial direction with the same velocity as the vapor cavity into the material. With this calculation model also the high frequent movement of the electron-beam may be taken into account. The electron-beam diameter is measured with a method of drilling holes with short electron-beam pulses in thin foils. The diameter of the holes depends on the pulse length and reaches a maximal value, which is used for the diameter of the volume source in the calculation. The crack-formation, seen in many treated surfaces, is examined with the Acoustic-Emission Testing. The possibilities of the electron-beam surface melting process are shown with some experiments for different requirements of the treated surfaces, like increasing the hardness, reducing the porosity of a sintered material and the alloying of tin in an aluminium-silicon surface. (author)

  6. Beams '96. Proceedings of the 11th international conference on high power particle beams. Vol. I

    International Nuclear Information System (INIS)

    Jungwirth, K.; Ullschmied, J.

    1996-01-01

    The Proceedings contain the full texts of 60 orals and 243 poster papers presented at the Conference. The scientific programme of the conference covered the physics and technology of intense beams of charged particles, from basic experimental and theoretical problems of beam generation, transport and interaction with various media, up to beam and pulsed power applications in science and in industry. The breakdown of the papers by main topical groups is as follows: electron beams, beam-plasma systems, high-power microwaves (62), imploding liners, z-pinches, plasma foci (53), pulsed power technology and its applications (53), ion beams and ICF (41), industrial applications of electron and ion beams (36), radiation sources (23), diagnostics (14), and others (21). (J.U.)

  7. Beams `96. Proceedings of the 11th international conference on high power particle beams. Vol. I

    Energy Technology Data Exchange (ETDEWEB)

    Jungwirth, K.; Ullschmied, J. [eds.

    1997-12-31

    The Proceedings contain the full texts of 60 orals and 243 poster papers presented at the Conference. The scientific programme of the conference covered the physics and technology of intense beams of charged particles, from basic experimental and theoretical problems of beam generation, transport and interaction with various media, up to beam and pulsed power applications in science and in industry. The breakdown of the papers by main topical groups is as follows: electron beams, beam-plasma systems, high-power microwaves (62), imploding liners, z-pinches, plasma foci (53), pulsed power technology and its applications (53), ion beams and ICF (41), industrial applications of electron and ion beams (36), radiation sources (23), diagnostics (14), and others (21). (J.U.).

  8. Processes in a dense long-pulse electron beam focused on a solid target

    Energy Technology Data Exchange (ETDEWEB)

    Arkhipov, A V; Sominskij, G G [St. Petersburg Technical Univ. (Russian Federation)

    1997-12-31

    The results obtained in beam-target experiments with dense medium-energy electron beam in the regime of long single pulses are presented. The measured power density of the focused beam at the target reached 20 MW/cm{sup 2} in these experiments. The processes caused by dense flows of secondary particles and by a dense target ablation plasma were studied in detail. Substantial target shielding occurs when the energy density at the target exceeds the value of about 1 kJ/cm{sup 2}. The target plasma and the sputtered matter that is responsible for shielding affects also the beam structure, as well as the target etching rates. (J.U.). 3 figs., 5 refs.

  9. Processes in a dense long-pulse electron beam focused on a solid target

    International Nuclear Information System (INIS)

    Arkhipov, A.V.; Sominskij, G.G.

    1996-01-01

    The results obtained in beam-target experiments with dense medium-energy electron beam in the regime of long single pulses are presented. The measured power density of the focused beam at the target reached 20 MW/cm 2 in these experiments. The processes caused by dense flows of secondary particles and by a dense target ablation plasma were studied in detail. Substantial target shielding occurs when the energy density at the target exceeds the value of about 1 kJ/cm 2 . The target plasma and the sputtered matter that is responsible for shielding affects also the beam structure, as well as the target etching rates. (J.U.). 3 figs., 5 refs

  10. Emerging science and technology of antimatter plasmas and trap-based beams

    International Nuclear Information System (INIS)

    Surko, C.M.; Greaves, R.G.

    2004-01-01

    Progress in the ability to accumulate and cool positrons and antiprotons is enabling new scientific and technological opportunities. The driver for this work is plasma physics research - developing new ways to create and manipulate antimatter plasmas. An overview is presented of recent results and near-term goals and challenges. In atomic physics, new experiments on the resonant capture of positrons by molecules provide the first direct evidence that positrons bind to 'ordinary' matter (i.e., atoms and molecules). The formation of low-energy antihydrogen was observed recently by injecting low-energy antiprotons into a cold positron plasma. This opens up a range of new scientific opportunities, including precision tests of fundamental symmetries such as invariance under charge conjugation, parity, and time reversal, and study of the chemistry of matter and antimatter. The first laboratory study of electron-positron plasmas has been conducted by passing an electron beam through a positron plasma. The next major step in these studies will be the simultaneous confinement of electron and positron plasmas. Although very challenging, such experiments would permit studies of the nonlinear behavior predicted for this unique and interesting plasma system. The use of trap-based positron beams to study transport in fusion plasmas and to characterize materials is reviewed. More challenging experiments are described, such as the creation of a Bose-condensed gas of positronium atoms. Finally, the future of positron trapping and beam formation is discussed, including the development of a novel multicell trap to increase by orders of magnitude the number of positrons trapped, portable antimatter traps, and cold antimatter beams (e.g., with energy spreads ≤1 meV) for precision studies of positron-matter interactions

  11. Hermite-Gaussian beams with self-forming spiral phase distribution

    Science.gov (United States)

    Zinchik, Alexander A.; Muzychenko, Yana B.

    2014-05-01

    Spiral laser beams is a family of laser beams that preserve the structural stability up to scale and rotate with the propagation. Properties of spiral beams are of practical interest for laser technology, medicine and biotechnology. Researchers use a spiral beams for movement and manipulation of microparticles. Spiral beams have a complicated phase distribution in cross section. This paper describes the results of analytical and computer simulation of Hermite-Gaussian beams with self-forming spiral phase distribution. In the simulation used a laser beam consisting of the sum of the two modes HG TEMnm and TEMn1m1. The coefficients n1, n, m1, m were varied. Additional phase depending from the coefficients n, m, m1, n1 imposed on the resulting beam. As a result, formed the Hermite Gaussian beam phase distribution which takes the form of a spiral in the process of distribution. For modeling was used VirtualLab 5.0 (manufacturer LightTrans GmbH).

  12. Design and measurement of signal processing system for cavity beam position monitor

    International Nuclear Information System (INIS)

    Wang Baopeng; Leng Yongbin; Yu Luyang; Zhou Weimin; Yuan Renxian; Chen Zhichu

    2013-01-01

    In this paper, in order to achieve the output signal processing of cavity beam position monitor (CBPM), we develop a digital intermediate frequency receiver architecture based signal processing system, which consists of radio frequency (RF) front end and high speed data acquisition board. The beam position resolution in the CBPM signal processing system is superior to 1 μm. Two signal processing algorithms, fast Fourier transform (FFT) and digital down converter (DDC), are evaluated offline using MATLAB platform, and both can be used to achieve, the CW input signal, position resolutions of 0.31 μm and 0.10 μm at -16 dBm. The DDC algorithm for its good compatibility is downloaded into the FPGA to realize online measurement, reaching the position resolution of 0.49 μm due to truncation error. The whole system works well and the performance meets design target. (authors)

  13. Organization of Workshop on Emerging Technologies for In-Situ Processing

    Science.gov (United States)

    1992-08-31

    scale Structures Kengi Gamo Low Energy Fused Ion Beam System and In Situ Processing Mikio Takai Nanofabrication Using STM Tip (Discussion: Melngailis...Jon Orloff & Mitsugu Sato 1 Oregon Graduate Institute 19600 von Neumann Drive Beaverton, OR 97006, USA A high resolution focused ion beam (FIB) is an

  14. Buried waste integrated demonstration technology integration process

    International Nuclear Information System (INIS)

    Ferguson, J.S.; Ferguson, J.E.

    1992-04-01

    A Technology integration Process was developed for the Idaho National Energy Laboratories (INEL) Buried Waste Integrated Demonstration (BWID) Program to facilitate the transfer of technology and knowledge from industry, universities, and other Federal agencies into the BWID; to successfully transfer demonstrated technology and knowledge from the BWID to industry, universities, and other Federal agencies; and to share demonstrated technologies and knowledge between Integrated Demonstrations and other Department of Energy (DOE) spread throughout the DOE Complex. This document also details specific methods and tools for integrating and transferring technologies into or out of the BWID program. The document provides background on the BWID program and technology development needs, demonstrates the direction of technology transfer, illustrates current processes for this transfer, and lists points of contact for prospective participants in the BWID technology transfer efforts. The Technology Integration Process was prepared to ensure compliance with the requirements of DOE's Office of Technology Development (OTD)

  15. Radioactive Dry Process Material Treatment Technology Development

    Energy Technology Data Exchange (ETDEWEB)

    Park, J. J.; Hung, I. H.; Kim, K. K. (and others)

    2007-06-15

    The project 'Radioactive Dry Process Material Treatment Technology Development' aims to be normal operation for the experiments at DUPIC fuel development facility (DFDF) and safe operation of the facility through the technology developments such as remote operation, maintenance and pair of the facility, treatment of various high level process wastes and trapping of volatile process gases. DUPIC Fuel Development Facility (DFDF) can accommodate highly active nuclear materials, and now it is for fabrication of the oxide fuel by dry process characterizing the proliferation resistance. During the second stage from march 2005 to February 2007, we carried out technology development of the remote maintenance and the DFDF's safe operation, development of treatment technology for process off-gas, and development of treatment technology for PWR cladding hull and the results was described in this report.

  16. Focused-electron-beam-induced processing (FEBIP) for emerging applications in carbon nanoelectronics

    International Nuclear Information System (INIS)

    Fedorov, Andrei G.; Kim, Songkil; Henry, Mathias; Kulkarni, Dhaval; Tsukruk, Vladimir V.

    2014-01-01

    Focused-electron-beam-induced processing (FEBIP), a resist-free additive nanomanufacturing technique, is an actively researched method for ''direct-write'' processing of a wide range of structural and functional nanomaterials, with high degree of spatial and time-domain control. This article attempts to critically assess the FEBIP capabilities and unique value proposition in the context of processing of electronics materials, with a particular emphasis on emerging carbon (i.e., based on graphene and carbon nanotubes) devices and interconnect structures. One of the major hurdles in advancing the carbon-based electronic materials and device fabrication is a disjoint nature of various processing steps involved in making a functional device from the precursor graphene/CNT materials. Not only this multi-step sequence severely limits the throughput and increases the cost, but also dramatically reduces the processing reproducibility and negatively impacts the quality because of possible between-the-step contamination, especially for impurity-susceptible materials such as graphene. The FEBIP provides a unique opportunity to address many challenges of carbon nanoelectronics, especially when it is employed as part of an integrated processing environment based on multiple ''beams'' of energetic particles, including electrons, photons, and molecules. This avenue is promising from the applications' prospective, as such a multi-functional (electron/photon/molecule beam) enables one to define shapes (patterning), form structures (deposition/etching), and modify (cleaning/doping/annealing) properties with locally resolved control on nanoscale using the same tool without ever changing the processing environment. It thus will have a direct positive impact on enhancing functionality, improving quality and reducing fabrication costs for electronic devices, based on both conventional CMOS and emerging carbon (CNT/graphene) materials. (orig.)

  17. Electron beam irradiation: novel technology for phytosanitary purposes

    International Nuclear Information System (INIS)

    Bhalla, Shashi; Srinivasan, K.; Dwivedi, J.; Gautam, S.; Sharma, Arun

    2015-01-01

    In the WTO regime, flow of agricultural commodities has increased, posing risk of inadvertent introduction of exotic pests. This can be minimized by undertaking quarantine measures. Quarantine/phytosanitary disinfestation treatments demand a very high level of security as the pest tolerance in quarantine is zero. Methyl bromide, a potent fumigant has been restricted in its use due to ozone depleting effect. Also, the conventional chemicals/fumigants being used world over are being restricted globally because of the various associated problems. Therefore, there is a need for an alternative ecofriendly strategy for controlling the pests. Irradiation, an approved technology by International Plant Protection Convention, appears to be a viable, nonchemical, residue-free strategy. Disinfestation of pulses with low energy electron irradiation potentially will have less deleterious effects on commodity quality than irradiation with other sources. Internationally, new radiation generating sources as Electron beam (EB) are being explored to meet import standards of quality and quarantine. The EB has a machine source and can be simply switched on or off. Irradiation of legume seeds viz., blackgram, greengram and soybean infested with pulse beetles (Callosobruchus maculatus and C. chinensis) at different doses at an energy level of 500 keV using the Accelerator facility at Raja Ramanna Centre for Advanced Technology, Indore revealed the dose-dependent effects on the insect growth parameters. Adult emergence from seeds infested with different stages was negligible and eggs laid by beetles that survived treatment did not develop into adults at higher doses. The lower doses viz., 170, 340 and 510 Gy on the other hand caused sterility effect on the insect but showed stimulatory effect on the physiological seed parameters . viz., seedling vigour and vigour index. Electron beam irradiation has a great potential for use in the disinfestation for phytosanitary purposes. Nevertheless

  18. Beamed-Energy Propulsion (BEP) Study

    Science.gov (United States)

    George, Patrick; Beach, Raymond

    2012-01-01

    The scope of this study was to (1) review and analyze the state-of-art in beamed-energy propulsion (BEP) by identifying potential game-changing applications, (2) formulate a roadmap of technology development, and (3) identify key near-term technology demonstrations to rapidly advance elements of BEP technology to Technology Readiness Level (TRL) 6. The two major areas of interest were launching payloads and space propulsion. More generally, the study was requested and structured to address basic mission feasibility. The attraction of beamed-energy propulsion (BEP) is the potential for high specific impulse while removing the power-generation mass. The rapid advancements in high-energy beamed-power systems and optics over the past 20 years warranted a fresh look at the technology. For launching payloads, the study concluded that using BEP to propel vehicles into space is technically feasible if a commitment to develop new technologies and large investments can be made over long periods of time. From a commercial competitive standpoint, if an advantage of beamed energy for Earth-to-orbit (ETO) is to be found, it will rest with smaller, frequently launched payloads. For space propulsion, the study concluded that using beamed energy to propel vehicles from low Earth orbit to geosynchronous Earth orbit (LEO-GEO) and into deep space is definitely feasible and showed distinct advantages and greater potential over current propulsion technologies. However, this conclusion also assumes that upfront infrastructure investments and commitments to critical technologies will be made over long periods of time. The chief issue, similar to that for payloads, is high infrastructure costs.

  19. Innovative electron-beam welding of high-melting metals

    International Nuclear Information System (INIS)

    Behr, W.; Reisgen, U.

    2007-01-01

    Since its establishment as nuclear research plant Juelich in the year 1956, the research centre Juelich (FZJ) is concerned with the material processing of special metals. Among those are, above all, the high-melting refractory metals niobium, molybdenum and tungsten. Electron beam welding has always been considered to be an innovative special welding method; in the FZJ, electron beam welding has, moreover, always been adapted to the increasing demands made by research partners and involved manufacturing and design sectors. From the manual equipment technology right up to highly modern multi-beam technique, the technically feasible for fundamental research has, this way, always been realised. (Abstract Copyright [2007], Wiley Periodicals, Inc.) [de

  20. Ion beam processing of bio-ceramics

    International Nuclear Information System (INIS)

    Ektessabi, A.M.

    1995-01-01

    Thin films of bio-inert (TiO 2+α , Al 2 O 3+α ) and bio-active (compounds of calcium and phosphorus oxides, hydroxy-apatite) were deposited on the most commonly used implant materials such as titanium and stainless steel, using a dual-ion-beam deposition system. Rutherford backscattering spectroscopy was carried out for quantitative measurement of the interfacial atomic mixing and the composition of the elements. The experimental results show that by controlling the ion beam energy and current, thin films with very good mechanical properties are obtained as a result of the ion beam mixing within the film and at the interface of the film and substrate. (orig.)

  1. Ion beam processing of bio-ceramics

    Science.gov (United States)

    Ektessabi, A. M.

    1995-05-01

    Thin films of bio-inert (TiO 2+α, Al 2O 3+α) and bio-active (compounds of calcium and phosphorus oxides, hydroxyapatite) were deposited on the most commonly used implant materials such as titanium and stainless steel, using a dual-ion-beam deposition system. Rutherford backscattering spectroscopy was carried out for quantitative measurement of the interfacial atomic mixing and the composition of the elements. The experimental results show that by controlling the ion beam energy and current, thin films with very good mechanical properties are obtained as a result of the ion beam mixing within the film and at the interface of the film and substrate.

  2. Investigation and optimisation of a plasma cathode electron beam gun for material processing applications

    OpenAIRE

    Del Pozo Rodriguez, Sofia

    2016-01-01

    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University London. This thesis describes design, development and testing work on a plasma cathode electron beam gun as well as plasma diagnosis experiments and Electron Beam (EB) current measurements carried out with the aim of maximising the power of the EB extracted and optimising the electron beam gun system for material processing applications. The elements which influence EB gun design are described...

  3. Beam equipment electromagnetic interaction in accelerators: simulation and experimental benchmarking

    CERN Document Server

    Passarelli, Andrea; Vaccaro, Vittorio Giorgio; Massa, Rita; Masullo, Maria Rosaria

    One of the most significant technological problems to achieve the nominal performances in the Large Hadron Collider (LHC) concerns the system of collimation of particle beams. The use of collimators crystals, exploiting the channeling effect on extracted beam, has been experimentally demonstrated. The first part of this thesis is about the optimization of UA9 goniometer at CERN, this device used for beam collimation will replace a part of the vacuum chamber. The optimization process, however, requires the calculation of the coupling impedance between the circulating beam and this structure in order to define the threshold of admissible intensity to do not trigger instability processes. Simulations have been performed with electromagnetic codes to evaluate the coupling impedance and to assess the beam-structure interaction. The results clearly showed that the most concerned resonance frequencies are due solely to the open cavity to the compartment of the motors and position sensors considering the crystal in o...

  4. Application of Java technology in radiation image processing

    International Nuclear Information System (INIS)

    Cheng Weifeng; Li Zheng; Chen Zhiqiang; Zhang Li; Gao Wenhuan

    2002-01-01

    The acquisition and processing of radiation image plays an important role in modern application of civil nuclear technology. The author analyzes the rationale of Java image processing technology which includes Java AWT, Java 2D and JAI. In order to demonstrate applicability of Java technology in field of image processing, examples of application of JAI technology in processing of radiation images of large container have been given

  5. Ozone/electron beam process for water treatment: design, limitations and economic considerations

    International Nuclear Information System (INIS)

    Gehringer, P.; Eschweiler, H.

    1996-01-01

    Electron beam irradiation of water is the easiest way to generate OH free radicals but the efficiency of the irradiation process as advanced oxidation process (AOP) is deteriorated by reducing species formed simultaneously with the OH free radicals. Addition of ozone to the water before or during irradiation improves the efficiency essentially by converting the reducing species into OH free radicals and turning by that the irradiation process into a full AOP. The main reaction pathways of the primary species formed by the action of ionizing radiation on water in a natural groundwater with and without the presence of ozone are reviewed. Based on these data an explanation of both the dose rate effect and the ozone effect is attempted. New data is presented which illustrates the effect of alkalinity on the way in which ozone is introduced into the water, and the impact of both water matrix and chemical structure of the pollutants to the efficacy of the ozone/electron beam process. (author)

  6. Medium and high energy electron beam processing system

    Energy Technology Data Exchange (ETDEWEB)

    Kashiwagi, Masayuki [Nissin-High Voltage Co., Ltd., Kyoto (Japan)

    2003-02-01

    Electron Beam Processing System (EPS) is a useful and powerful tool for industrial irradiation process. The specification of EPS is decided by consideration to irradiate what material with how thick and wide, how much dose, how to handle, in what atmosphere. In designing an EPS, it is necessary to consider safety measure such as x-ray shielding, ozone control and interlock system. The initial costs to install typical EPS are estimated for acceleration voltages from 500 kV to 5 MV, including following items; those are electron beam machine, x-ray shielding, auxiliary equipment, material handling, survey for installation, ozone exhaust duct, cooling water system, wiring and piping. These prices are reference only because the price should be changed for each case. The price of x-ray shielding should be changed by construction cost. Auxiliary equipment includes window, cooling blower, ozone exhaust blower and SF6 gas handling equipment. In installation work at site, actual workers of 3 - 4 persons for 2 months are necessary. Material handling system is considered only rolls provided in the shielding room as reference. In addition to the initial installation, operators and workers may be required to wear a personal radiation monitor. An x-ray monitor of suitable design should be installed outside the shield room to monitor x-ray level in the working area. (Y. Tanaka)

  7. Optimization of signal processing algorithm for digital beam position monitor

    International Nuclear Information System (INIS)

    Lai Longwei; Yi Xing; Leng Yongbin; Yan Yingbing; Chen Zhichu

    2013-01-01

    Based on turn-by-turn (TBT) signal processing, the paper emphasizes on the optimization of system timing and implementation of digital automatic gain control, slow application (SA) modules. Beam position including TBT, fast application (FA) and SA data can be acquired. On-line evaluation on Shanghai Synchrotron Radiation Facility (SSRF) shows that the processor is able to get the multi-rate position data which contain true beam movements. When the storage ring is 174 mA and 500 bunches filled, the resolutions of TBT data, FA data and SA data achieve 0.84, 0.44 and 0.23 μm respectively. The above results prove that the design could meet the performance requirements. (authors)

  8. Aerosol processing for nanomanufacturing

    International Nuclear Information System (INIS)

    Girshick, Steven L.

    2008-01-01

    Advances in nanoparticle synthesis are opening new opportunities for a broad variety of technologies that exploit the special properties of matter at the nanoscale. To realize this potential will require the development of new technologies for processing nanoparticles, so as to utilize them in a manufacturing context. Two important classes of such processing technologies include the controlled deposition of nanoparticles onto surfaces, and the application of chemically specific coatings onto individual nanoparticles, so as to either passivate or functionalize their surfaces. This paper provides an overview of three technologies related to these objectives, with an emphasis on aerosol-based methods: first, the deposition of nanoparticles by hypersonic impaction, so as so spray-coat large areas with nanoparticles; second, the use of aerodynamic lenses to produce focused beams of nanoparticles, with beam widths of a few tens of microns, so as to integrate nanoparticle-based structures into microelectromechanical systems; and third, the coating of individual nanoparticles by means of photoinduced chemical vapor deposition (photo-CVD), driven by excimer lamps. We also discuss the combination of these technologies, so that nanoparticle synthesis, together with multiple processing steps, can be accomplished in a single flow stream.

  9. Advanced electron beam techniques

    International Nuclear Information System (INIS)

    Hirotsu, Yoshihiko; Yoshida, Yoichi

    2007-01-01

    After 100 years from the time of discovery of electron, we now have many applications of electron beam in science and technology. In this report, we review two important applications of electron beam: electron microscopy and pulsed-electron beam. Advanced electron microscopy techniques to investigate atomic and electronic structures, and pulsed-electron beam for investigating time-resolved structural change are described. (author)

  10. Technology strategy for subsea processing and transport; Technology Target Areas; TTA6 - Subsea processing and transportation

    Energy Technology Data Exchange (ETDEWEB)

    2008-07-01

    OG21 (www.OG21.org) Norway's official technology strategy for the petroleum sector issued a revised strategy document in November 2005 (new strategy planned in 2009). In this document 'Subsea processing and transport' was identified as one of the eight new technology target areas (TTAs). The overall OG21 strategy document is on an aggregated level, and therefore the Board of OG21 decided that a sub-strategy for each TTA was needed. This document proposes the sub-strategy for the technology target area 'Subsea processing and transport' which covers the technology and competence necessary to effectively transport well stream to a platform or to onshore facilities. This includes multiphase flow modelling, flow assurance challenges to avoid problems with hydrates, asphaltenes and wax, subsea or downhole fluid conditioning including bulk water removal, and optionally complete water removal, and sand handling. It also covers technologies to increase recovery by pressure boosting from subsea pumping and/or subsea compression. Finally it covers technologies to facilitate subsea processing such as control systems and power supply. The vision of the Subsea processing and transport TTA is: Norway is to be the leading international knowledge- and technology cluster in subsea processing and transport: Sustain increased recovery and accelerated production on the NCS by applying subsea processing and efficient transport solutions; Enable >500 km gas/condensate multiphase well stream transport; Enable >200 km oil-dominated multiphase well stream transport; Enable well stream transport of complex fluids; Enable subsea separation, boosting compression, and water injection; Enable deepwater developments; Enable environmentally friendly and energy efficient field development. Increase the export of subsea processing and transport technology: Optimize technology from the NCS for application worldwide; Develop new technology that can meet the challenges found in

  11. A new e-beam application in the pharmaceutical industry

    International Nuclear Information System (INIS)

    Sadat, Theo; Malcolm, Fiona

    2005-01-01

    The paper presents a new electron beam application in the pharmaceutical industry: an in-line self-shielded atropic transfer system using electron beam for surface decontamination of products entering a pharmaceutical filling line. The unit was developed by Linac Technologies in response to the specifications of a multi-national pharmaceutical company, to solve the risk of microbial contamination entering a filling line housed inside an isolator. In order to fit the sterilization unit inside the pharmaceutical plant, a 'miniature' low-energy (200 keV) electron beam accelerator and e-beam tunnel were designed, all conforming to the pharmaceutical good manufacturing practice (GMP) regulations. Process validation using biological indicators is described, with reference to the regulations governing the pharmaceutical industry. Other industrial applications of a small-sized self-shielded electron beam sterilization unit are mentioned

  12. Impact of chemical polishing on surface roughness and dimensional quality of electron beam melting process (EBM) parts

    Science.gov (United States)

    Dolimont, Adrien; Rivière-Lorphèvre, Edouard; Ducobu, François; Backaert, Stéphane

    2018-05-01

    Additive manufacturing is growing faster and faster. This leads us to study the functionalization of the parts that are produced by these processes. Electron Beam melting (EBM) is one of these technologies. It is a powder based additive manufacturing (AM) method. With this process, it is possible to manufacture high-density metal parts with complex topology. One of the big problems with these technologies is the surface finish. To improve the quality of the surface, some finishing operations are needed. In this study, the focus is set on chemical polishing. The goal is to determine how the chemical etching impacts the dimensional accuracy and the surface roughness of EBM parts. To this end, an experimental campaign was carried out on the most widely used material in EBM, Ti6Al4V. Different exposure times were tested. The impact of these times on surface quality was evaluated. To help predicting the excess thickness to be provided, the dimensional impact of chemical polishing on EBM parts was estimated. 15 parts were measured before and after chemical machining. The improvement of surface quality was also evaluated after each treatment.

  13. Mechanical and tribological properties of ion beam-processed surfaces

    International Nuclear Information System (INIS)

    Kodali, P.

    1998-01-01

    The intent of this work was to broaden the applications of well-established surface modification techniques and to elucidate the various wear mechanisms that occur in sliding contact of ion-beam processed surfaces. The investigation included characterization and evaluation of coatings and modified surfaces synthesized by three surface engineering methods; namely, beam-line ion implantation, plasma-source ion implantation, and DC magnetron sputtering. Correlation among measured properties such as surface hardness, fracture toughness, and wear behavior was also examined. This dissertation focused on the following areas of research: (1) investigating the mechanical and tribological properties of mixed implantation of carbon and nitrogen into single crystal silicon by beam-line implantation; (2) characterizing the mechanical and tribological properties of diamond-like carbon (DLC) coatings processed by plasma source ion implantation; and (3) developing and evaluating metastable boron-carbon-nitrogen (BCN) compound coatings for mechanical and tribological properties. The surface hardness of a mixed carbon-nitrogen implant sample improved significantly compared to the unimplanted sample. However, the enhancement in the wear factor of this sample was found to be less significant than carbon-implanted samples. The presence of nitrogen might be responsible for the degraded wear behavior since nitrogen-implantation alone resulted in no improvement in the wear factor. DLC coatings have low friction, low wear factor, and high hardness. The fracture toughness of DLC coatings has been estimated for the first time. The wear mechanism in DLC coatings investigated with a ruby slider under a contact stress of 1 GPa was determined to be plastic deformation. The preliminary data on metastable BCN compound coatings indicated high friction, low wear factor, and high hardness

  14. Cryogenic Beam Screens for High-Energy Particle Accelerators

    CERN Document Server

    Baglin, V; Tavian, L; van Weelderen, R

    2013-01-01

    Applied superconductivity has become a key enabling technology for high-energy particle accelerators, thus making them large helium cryogenic systems operating at very low temperature. The circulation of high-intensity particle beams in these machines generates energy deposition in the first wall through different processes. For thermodynamic efficiency, it is advisable to intercept these beam-induced heat loads, which may be large in comparison with cryostat heat in-leaks, at higher temperature than that of the superconducting magnets of the accelerator, by means of beam screens located in the magnet apertures. Beam screens may also be used as part of the ultra-high vacuum system of the accelerator, by sheltering the gas molecules cryopumped on the beam pipe from impinging radiation and thus avoiding pressure runaway. Space being extremely tight in the magnet apertures, cooling of the long, slender beam screens also raises substantial problems in cryogenic heat transfer and fluid flow. We present sizing rule...

  15. Beam halo in high-intensity beams

    International Nuclear Information System (INIS)

    Wangler, T.P.

    1993-01-01

    In space-charge dominated beams the nonlinear space-charge forces produce a filamentation pattern, which in projection to the 2-D phase spaces results in a 2-component beam consisting of an inner core and a diffuse outer halo. The beam-halo is of concern for a next generation of cw, high-power proton linacs that could be applied to intense neutron generators for nuclear materials processing. The author describes what has been learned about beam halo and the evolution of space-charge dominated beams using numerical simulations of initial laminar beams in uniform linear focusing channels. Initial results are presented from a study of beam entropy for an intense space-charge dominated beam

  16. Application of PLC technology in measurement of beam profile on 100 MeV accelerator

    International Nuclear Information System (INIS)

    Yu Luyang; Chinese Academy of Sciences, Beijing; Chen Yongzhong; Chen Yongzhong; Liu Dekang; Chinese Academy of Sciences, Beijing

    2005-01-01

    A comprehensive introduction is given to the real-time measuring method, which is based on the Programmable Logic Controller (PLC) technology and can measure intensity and profile of the beam by a scintillator screen. The whole system has many advantages, such as good reliability, high precision, intuitional measurement, etc. due to the use of the PLC and Labview software. (authors)

  17. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  18. Physics and Technology for the Next Generation of Radioactive Ion Beam Facilities: EURISOL

    CERN Document Server

    Kadi, Y; Catherall, R; Giles, T; Stora, T; Wenander, F K

    2012-01-01

    Since the discovery of artificial radioactivity in 1935, nuclear scientists have developed tools to study nuclei far from stability. A major breakthrough came in the eighties when the first high energy radioactive beams were produced at Berkeley, leading to the discovery of neutron halos. The field of nuclear structure received a new impetus, and the major accelerator facilities worldwide rivalled in ingenuity to produce more intense, purer and higher resolution rare isotope beams, leading to our much improved knowledge and understanding of the general evolution of nuclear properties throughout the nuclear chart. However, today, further progress is hampered by the weak beam intensities of current installations which correlate with the difficulty to reach the confines of nuclear binding where new phenomena are predicted, and where the r-process path for nuclear synthesis is expected to be located. The advancement of Radioactive Ion Beam (RIB) science calls for the development of so-called next-generation facil...

  19. TECHNOLOGY MANAGEMENT PROCESS FRAMEWORK

    Directory of Open Access Journals (Sweden)

    Ikura Yamamoto

    2012-02-01

    Full Text Available The effective management of technology as a source of competitive advantage is of vital importance for many organizations. It is necessary to understand, communicate and integrate technology strategy with marketing, financial, operations and human resource strategies. This is of particular importance when one considers the increasing cost, pace and complexity of technology developments, combined with shortening product life cycles. A five process model provides a framework within which technology management activities can be understood: identification, selection, acquisition, exploitation and protection. Based on this model, a technology management assessment procedure has been developed, using an ``action research’’ approach. This paper presents an industrial case study describing the first full application of the procedure within a high-volume manufacturing business. The impact of applying the procedure is assessed in terms of benefits to the participating business, together with improvements to the assessment procedure itself, in the context of the action research framework. Keyword: Technology, Strategy, Management, Assessment

  20. Development of ion beam sputtering technology for mold and die

    International Nuclear Information System (INIS)

    Lee, Jaehyung; Park, J.; Lee, J.; Jil, J.; Yang, D.; Noh, Y.; You, B.; You, J.

    2003-06-01

    Ion beam sputtering technique, one of the surface modification techniques, is to reduce surface roughness of materials with selective detaching atoms and micro particles from the surface by bombarding energetic ions of a few to a few tens keV onto the materials surfaces. This technique can be applied for the surfaces that need to have sub micrometer surface roughness, and it has already been used by companies and/or Institute over the world. Although this is relatively high cost process, it has been widely demanded in the industries with developing the eco-friend equipment due to its high quality of products. In the domestic industry, it has been pointed out that the mechanical polishing technique for molds and dies is relatively expensive and does not produce the required surface roughness. Therefore, in this R and D, techniques obtained from the ion source and the ion beam irradiation techniques developed for the proton accelerator has been applied to polish the surface of molds and dies to solve the above-mentioned problems that take place during mechanical polishing. In case that ion beam polishing technique is used, we expect not only producing the high quality polished surfaces but also producing the economically valuable end-products. In this R and D project, we are aiming at establishing ion beam techniques for industrialization as well as mass production of low cost products with developing the economical instrumentation techniques. Also, as a result of this R and D it is expected that importing of precise molds and dies may be reduced and technical competitiveness will be enhanced

  1. Modified betatron for ion beam fusion

    International Nuclear Information System (INIS)

    Rostoker, N.; Fisher, A.

    1986-01-01

    An intense neutralized ion beam can be injected and trapped in magnetic mirror or tokamak geometry. The details of the process involve beam polarization so that the beam crosses the fringing fields without deflection and draining the polarization when the beam reaches the plasma. Equilibrium requires that a large betatron field be added in tokamak geometry. In mirror geometry a toroidal field must be added by means of a current along the mirror axis. In either case, the geometry becomes that of the modified betatron which has been studied experimentally and theoretically in recent years. We consider beams of d and t ions with a mean energy of 500 kev and a temperature of about 50 kev. The plasma may be a proton plasma with cold ions. It is only necessary for beam trapping or to carry currents. The ion energy for slowing down is initially 500 kev and thermonuclear reactions depend only on the beam temperature of 50 kev which changes very slowly. This new configuration for magnetic confinement fusion leads to an energy gain of 10--20 for d-t reactions whereas previous studies of beam target interaction predicted a maximum energy gain of 3--4. The high beam energy available with pulsed ion diode technology is also essential for advanced fuels. 16 refs., 3 figs

  2. Development and Application of Chlorinated, Fluorinated and Technological Polymer Films Modified by Grafting Process Using Electron Beam and Gamma Radiation

    Energy Technology Data Exchange (ETDEWEB)

    Manzoli, J E [Nuclear Energy National Commission, Nuclear and Energetic Research Institute, Sao Paulo (Brazil); Universidade Sao Judas Tadeu, Sao Paulo (Brazil); Geraldo, A B.C.; Moura, E; Somesari, E S.R.; Silveira, C G; Oikawa, H; Moreira, N S; Forbicini, C [Nuclear Energy National Commission, Nuclear and Energetic Research Institute, Sao Paulo (Brazil); Tenorio, E [FATEC, Tatui (Brazil); Augusto, C G [IFSP, Sao Paulo (Brazil); Universidade Sao Judas Tadeu, Sao Paulo (Brazil); Panzarini, L C.G.A. [FEI, Sao Bernardo do Campo (Brazil)

    2012-09-15

    The ionizing irradiation (electron beam and gamma irradiation) induced grafting to fluorinated and chlorinated polymeric films were studied. Styrene grafting onto fluorinated and perfluorinated polymers and their ulterior sulfonation constitute a process to produce ionomers for many applications. The modification of polyvinylchloride with dimethylaminethylmethacrylate-heparin grafting attempt for the fact that grafting can be applied in packaging industry as an alternative for decreasing of plasticizer or another chemical species migration, in many cases nocivus contaminant for human health, and, in the specific study of this project, to obtain a less thrombogenic polymer surface to be used in medical applications. The results indicate mutual styrene grafting performed by industrial EB accelerator can be a fast alternative to produce ionomers that can compete in market. The numerical method to simulate diffusion process evolved is simple and fast and applied to fit experimental results. (author)

  3. Novel process windows, part 1: Boosted micro process technology

    NARCIS (Netherlands)

    Hessel, V.; Wang, Q.

    2011-01-01

    Novel Process Windows (NPW) is the use of highly intensified, unusual and typically harsh process conditions to boost micro process technology and flow chemistry for the production of high-added value fine chemicals, pharmaceuticals, etc.. It is far from conventional processing and also from

  4. Numerical modeling of heat-transfer and the influence of process parameters on tailoring the grain morphology of IN718 in electron beam additive manufacturing

    International Nuclear Information System (INIS)

    Raghavan, Narendran; Dehoff, Ryan; Pannala, Sreekanth; Simunovic, Srdjan; Kirka, Michael; Turner, John; Carlson, Neil; Babu, Sudarsanam S.

    2016-01-01

    The fabrication of 3-D parts from CAD models by additive manufacturing (AM) is a disruptive technology that is transforming the metal manufacturing industry. The correlation between solidification microstructure and mechanical properties has been well understood in the casting and welding processes over the years. This paper focuses on extending these principles to additive manufacturing to understand the transient phenomena of repeated melting and solidification during electron beam powder melting process to achieve site-specific microstructure control within a fabricated component. In this paper, we have developed a novel melt scan strategy for electron beam melting of nickel-base superalloy (Inconel 718) and also analyzed 3-D heat transfer conditions using a parallel numerical solidification code (Truchas) developed at Los Alamos National Laboratory. The spatial and temporal variations of temperature gradient (G) and growth velocity (R) at the liquid-solid interface of the melt pool were calculated as a function of electron beam parameters. By manipulating the relative number of voxels that lie in the columnar or equiaxed region, the crystallographic texture of the components can be controlled to an extent. The analysis of the parameters provided optimum processing conditions that will result in columnar to equiaxed transition (CET) during the solidification. The results from the numerical simulations were validated by experimental processing and characterization thereby proving the potential of additive manufacturing process to achieve site-specific crystallographic texture control within a fabricated component.

  5. Novel technologies for the lost foam casting process

    Science.gov (United States)

    Jiang, Wenming; Fan, Zitian

    2018-03-01

    Lost foam casting (LFC) is a green precision casting process categorized as a near net forming technology. Yet, despite its popularity, it still suffers from some technological problems, such as poor filling ability of the castings, coarse and non-dense microstructure, low mechanical properties for the Al and Mg LFC processes, and defective carburization for the low carbon steel LFC process. These drawbacks restrict the development and widespread application of the LFC process. To solve these problems, the present study developed several novel LFC technologies, namely, LFC technologies under vacuum and low pressure, vibration solidification, and pressure solidification conditions; expendable shell casting technology; and preparation technology of bimetallic castings based on the LFC process. The results showed that the LFC under vacuum and low pressure evidently improved the filling ability and solved the oxidization problem of the alloys, which is suitable for producing complex and thinwall castings. The vibration and pressure solidifications increased the compactness of the castings and refined the microstructure, significantly improving the mechanical properties of the castings. The expendable shell casting technology could solve the pore, carburization, and inclusion defects of the traditional LFC method, obtaining castings with acceptable surface quality. Moreover, the Al/Mg and Al/Al bimetallic castings with acceptable metallurgical bonding were successfully fabricated using the LFC process. These proposed novel LFC technologies can solve the current technological issues and promote the technological progress of the LFC process.

  6. Radiation processing technology in Malaysia

    International Nuclear Information System (INIS)

    Khairul Zaman Hj Mohd Dahlan

    2004-01-01

    Radiation processing technology is widely used in industry to enhance efficiency and productivity, improve product quality and competitiveness. Efforts have been made by MINT to expand the application of radiation processing technology for modification of indigenous materials such as natural rubber and rubber based products, palm oil and palm oil based products and polysaccharide into new and high value added products. This paper described MINT experiences on developing products through R and D from the laboratory to the pilot plant stage and commercialization. The paper also explained some issues and challenges that MINT encountered in the process of commercialization of its R and D results. (author)

  7. Rapid prototyping of magnetic tunnel junctions with focused ion beam processes

    International Nuclear Information System (INIS)

    Persson, Anders; Thornell, Greger; Nguyen, Hugo

    2010-01-01

    Submicron-sized magnetic tunnel junctions (MTJs) are most often fabricated by time-consuming and expensive e-beam lithography. From a research and development perspective, a short lead time is one of the major concerns. Here, a rapid process scheme for fabrication of micrometre size MTJs with focused ion beam processes is presented. The magnetic properties of the fabricated junctions are investigated in terms of magnetic domain structure, tunnelling magnetoresistance (TMR) and coercivity, with extra attention given to the effect of Ga implantation from the ion beam. In particular, the effect of the implantation on the minimum junction size and the magnetization of the sensing layer are studied. In the latter case, magnetic force microscopy and micromagnetic simulations, with the object-oriented micromagnetic framework (OOMMF), are used to study the magnetization reversal. The fabricated junctions show considerable coercivity both along their hard and easy axes. Interestingly, the sensing layer exhibits two remanent states: one with a single and one with a double domain. The hard axis TMR loop has kinks at about ±20 mT which is attributed to a non-uniform lateral coercivity, where the rim of the junctions, which is subjected to Ga implantation from the flank of the ion beam, is more coercive than the unirradiated centre. The width of the coercive rim is estimated to be 160 nm from the hard axis TMR loop. The easy axis TMR loop shows more coercivity than an unirradiated junction and, this too, is found to stem from the coercive rim, as seen from the simulations. It is concluded that the process scheme has three major advantages. Firstly, it has a high lateral and depth resolution—the depth resolution is enhanced by end point detection—and is capable of making junctions of sizes down towards the limit set by the width of the irradiated rim. Secondly, the most delicate process steps are performed in the unbroken vacuum enabling the use of materials prone to

  8. External beam monitoring of the Cyclone 30 cyclotron of IPEN-CNEN-SP

    International Nuclear Information System (INIS)

    Matsuda, Hylton

    2002-01-01

    Due to the increasing demand for cyclotron radioisotopes and the high cost of equipment and materials involved in the process, it becomes evident the importance of external beam monitoring of the cyclotron. In this way, the beam of the Cyclone 30 cyclotron of IPEN-CNEN/S P was characterized throughout the evaluation of its current intensity, profile (position, focus and geometry), alignment and homogeneity, by measuring currents, temperatures and pressures of irradiation systems. For this purpose, techniques and conventional devices, thermocouples and pressure sensors associated to electronic of instrumentation, and technology and flexibility of micro controllers allowed observing the beam behavior during irradiations in real time. The ion beam energy was also evaluated using activation analysis technique of monitor reactions in nat Cu. The beam monitoring systems have been contributing to prevent material damages and they have already been used in routine irradiations, bringing important advantages in the process of beam optimization of the Cyclone 30. (author)

  9. Electron beam treatment technology for exhaust gas for preventing acid rain

    International Nuclear Information System (INIS)

    Aoki, Shinji

    1990-01-01

    Recently, accompanying the increase of the use of fossil fuel, the damage due to acid rain such as withering of trees and extinction of fishes and shells has occurred worldwide, and it has become a serious problem. The sulfur oxides and nitrogen oxides contained in exhaust gas are oxidized by the action of sunbeam to become sulfuric acid and nitric acid mists, which fall in the form of rain. Acid rain is closely related to the use of the coal containing high sulfur, and it hinders the use of coal which is rich energy source. In order to simplify the processing system for boiler exhaust gas and to reduce waste water and wastes, Ebara Corp. developed the dry simultaneous desulfurizing and denitrating technology utilizing electron beam in cooperation with Japan Atomic Energy Research Institute. The flow chart of the system applied to the exhaust gas treatment in a coal-fired thermal power station is shown. The mechanism of desulfurization and denitration, and the features of this system are described. The demonstration plant was constructed in a coal-fired thermal power station in Indianapolis, Indiana, USA, and the trial operation was completed in July, 1987. The test results are reported. (K.I.)

  10. Irradiation of wastewater with electron beam is a key to sustainable smart/green cities: a review

    Science.gov (United States)

    Hossain, Kaizar; Maruthi, Y. Avasn; Das, N. Lakshmana; Rawat, K. P.; Sarma, K. S. S.

    2018-03-01

    Remediation of wastewater, sludge and removal of objectionable substances from our environment using radiation technology is neglected. Hardly, a couple of decades ago, application of electron beam (EB) technology has gained attention for waste management. When wastewater is irradiated with electron beam, the beam can alter the physico-chemical properties of irradiated aqueous material and also transform wastewater chemicals due to the excitation or ionization of chemical molecules. Thus, chemical reactions may be capable of producing new compounds. The beam of electrons initiates primary reactions to induce the excitation or ionization of molecules at varied rates. This review paper will help to a budding researcher how to optimize the irradiation process to achieve high efficiency with low electron beam energy which is economically viable/feasible. Application of E-beam radiation for wastewater treatment may ensure future smart cities with sustainable water resources management.

  11. Fundamental aspects on ion-beam surface modification: defect production and migration processes

    International Nuclear Information System (INIS)

    Rehn, L.E.; Averback, R.S.; Okamoto, P.R.

    1984-09-01

    Ion-beam modification of metals is generating increasing scientific interest not only because it has exciting technological potential, but also because it has raised fundamental questions concerning radiation-induced diffusion processes. In addition to the implanted species, several defect production and migration mechanisms contribute to changes in the near-surface composition of an alloy during ion bombardment, e.g., atoms exchange positions via displacements and replacement sequences; preferential sputtering effects arise; radiation-enhanced diffusion and radiation-induced segregation occur. The latter two defect migration mechanisms are of particular significance since they can alter the composition to depths which are much greater than the implanted ion range. By altering various parameters such as irradiation temperature, ion mass, energy, and current density, and initial alloying distributions, a rich variety of near-surface composition profiles can be created. We have utilized changes in ion mass and energy, and irradiation temperature to distinguish defect production from defect migration effects. Experimental results are presented which provide a guide to the relative efficiencies of different mechanisms under various irradiation conditions. 46 references

  12. VII International scientific conference Radiation-thermal effects and processes in inorganic materials. Proceedings

    International Nuclear Information System (INIS)

    2010-01-01

    In the collection there are the reports of the VII International scientific conference and the VII All-Russian school-conference Radiation-thermal effects and processes in inorganic materials which were conducted on October 2-10, 2010, in Tomsk. The reports deal with new developments of charged particles high-intensity beam sources, high-temperature metrology of high-current beams and work materials, radiation-thermal stimulated effects and processes in inorganic materials, physical basics of technological processes, radiation-thermal technologies and equipment for their realization, allied branches of science and technology, specifically, nanotechnologies [ru

  13. Deep n-well MAPS in a 130 nm CMOS technology: Beam test results

    International Nuclear Information System (INIS)

    Neri, N.; Avanzini, C.; Batignani, G.; Bettarini, S.; Bosi, F.; Ceccanti, M.; Cenci, R.; Cervelli, A.; Crescioli, F.; Dell'Orso, M.; Forti, F.; Giannetti, P.; Giorgi, M.A.; Gregucci, S.; Mammini, P.; Marchiori, G.; Massa, M.; Morsani, F.; Paoloni, E.; Piendibene, M.

    2010-01-01

    We report on recent beam test results for the APSEL4D chip, a new deep n-well MAPS prototype with a full in-pixel signal processing chain obtained by exploiting the triple well option of the CMOS 0.13μm process. The APSEL4D chip consists of a 4096 pixel matrix (32 rows and 128 columns) with 50x50μm 2 pixel cell area, with custom readout architecture capable of performing data sparsification at pixel level. APSEL4D has been characterized in terms of charge collection efficiency and intrinsic spatial resolution under different conditions of discriminator threshold settings using a 12 GeV/c proton beam in the T9 area of the CERN PS. We observe a maximum hit efficiency of 92% and we estimate an intrinsic resolution of about 14μm. The data driven approach of the tracking detector readout chips has been successfully used to demonstrate the possibility to build a Level 1 trigger system based on associative memories. The analysis of the beam test data is critically reviewed along with the characterization of the device under test.

  14. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  15. Radiation technologies in metallurgy and machinery

    International Nuclear Information System (INIS)

    Meshkov, I.N.

    1990-01-01

    Applications of electron beam accelerators for technologies in metallurgy and machinery are discussed. Processes described are provided with special industrial accelerators, developed in the Institute of Nuclear Physics, Novosibirsk. (author)

  16. An argon ion beam milling process for native AlOx layers enabling coherent superconducting contacts

    Science.gov (United States)

    Grünhaupt, Lukas; von Lüpke, Uwe; Gusenkova, Daria; Skacel, Sebastian T.; Maleeva, Nataliya; Schlör, Steffen; Bilmes, Alexander; Rotzinger, Hannes; Ustinov, Alexey V.; Weides, Martin; Pop, Ioan M.

    2017-08-01

    We present an argon ion beam milling process to remove the native oxide layer forming on aluminum thin films due to their exposure to atmosphere in between lithographic steps. Our cleaning process is readily integrable with conventional fabrication of Josephson junction quantum circuits. From measurements of the internal quality factors of superconducting microwave resonators with and without contacts, we place an upper bound on the residual resistance of an ion beam milled contact of 50 mΩ μm2 at a frequency of 4.5 GHz. Resonators for which only 6% of the total foot-print was exposed to the ion beam milling, in areas of low electric and high magnetic fields, showed quality factors above 106 in the single photon regime, and no degradation compared to single layer samples. We believe these results will enable the development of increasingly complex superconducting circuits for quantum information processing.

  17. I-PFO: the new technology for simple and flexible implementation of high productive on-the-fly remote processes

    Science.gov (United States)

    Müllegger, Andreas; Ryba, Tracey

    2017-02-01

    Standardized production systems which can be implemented, programmed, maintained and sourced in a simple and efficient way are key for a successful global production of automobiles or related parts at component suppliers. This is also valid for systems, which are built by laser based processes. One of the key applications is remote laser welding (RLW) of "Body in White" (BIW) parts (such as hang-on parts, B-Pillars, side frames, etc.), but also builtin components (such as car seats, batteries, etc.). The majority of RLW applications are based on the implementation of a 3-D scanner optic (e.g. the PFO 3D from TRUMPF) which positions the laser beam on the various component surfaces to be welded. Over the past 10 years it has been proven that the most efficient way to build up the RLW process is to have a system where an industrial robot and a scanner optic are combined in one production cell. They usually cooperate within an "On-The-Fly" (OTF) process as this ensures minimum cycle times. Until now there are several technologies on the market which can coordinate both the robot and scanner in the OTF mode. But none of them meet all requirements of global standardized production solutions. With the introduction of the I-PFO (Intelligent Programmable Focusing Optics) technology the situation has changed. It is now possible to program or adopt complex remote processes in a fast and easy way by the "Teach-in" function via the robot teach pendant. Additionally a 3D offline designer software is an option for this system. It automatically creates the ideal remote process based on the part, fixture, production cell and required process parameters. The I-PFO technology doesn't need additional hardware due to the fact that it runs on the controller within the PFO 3D. Furthermore it works together with different types of industrial robots (e.g. ABB, Fanuc and KUKA) which allow highest flexibility for the production planning phase. Finally a single TRUMPF laser source can supply

  18. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  19. Automatically produced FRP beams with embedded FOS in complex geometry: process, material compatibility, micromechanical analysis, and performance tests

    Science.gov (United States)

    Gabler, Markus; Tkachenko, Viktoriya; Küppers, Simon; Kuka, Georg G.; Habel, Wolfgang R.; Milwich, Markus; Knippers, Jan

    2012-04-01

    The main goal of the presented work was to evolve a multifunctional beam composed out of fiber reinforced plastics (FRP) and an embedded optical fiber with various fiber Bragg grating sensors (FBG). These beams are developed for the use as structural member for bridges or industrial applications. It is now possible to realize large scale cross sections, the embedding is part of a fully automated process and jumpers can be omitted in order to not negatively influence the laminate. The development includes the smart placement and layout of the optical fibers in the cross section, reliable strain transfer, and finally the coupling of the embedded fibers after production. Micromechanical tests and analysis were carried out to evaluate the performance of the sensor. The work was funded by the German ministry of economics and technology (funding scheme ZIM). Next to the authors of this contribution, Melanie Book with Röchling Engineering Plastics KG (Haren/Germany; Katharina Frey with SAERTEX GmbH & Co. KG (Saerbeck/Germany) were part of the research group.

  20. Metaoptics for Spectral and Spatial Beam Manipulation

    Science.gov (United States)

    Raghu Srimathi, Indumathi

    Laser beam combining and beam shaping are two important areas with applications in optical communications, high power lasers, and atmospheric propagation studies. In this dissertation, metaoptical elements have been developed for spectral and spatial beam shaping, and multiplexing. Beams carrying orbital angular momentum (OAM), referred to as optical vortices, have unique propagation properties. Optical vortex beams carrying different topological charges are orthogonal to each other and have low inter-modal crosstalk which allows for them to be (de)multiplexed. Efficient spatial (de)multiplexing of these beams have been carried out by using diffractive optical geometrical coordinate transformation elements. The spatial beam combining technique shown here is advantageous because the efficiency of the system is not dependent on the number of OAM states being combined. The system is capable of generating coaxially propagating beams in the far-field and the beams generated can either be incoherently or coherently multiplexed with applications in power scaling and dynamic intensity profile manipulations. Spectral beam combining can also be achieved with the coordinate transformation elements. The different wavelengths emitted by fiber sources can be spatially overlapped in the far-field plane and the generated beams are Bessel-Gauss in nature with enhanced depth of focus properties. Unique system responses and beam shapes in the far-field can be realized by controlling amplitude, phase, and polarization at the micro-scale. This has been achieved by spatially varying the structural parameters at the subwavelength scale and is analogous to local modification of material properties. With advancements in fabrication technology, it is possible to control not just the lithographic process, but also the deposition process. In this work, a unique combination of spatial structure variations in conjunction with the conformal coating properties of an atomic layer deposition tool

  1. Electron beam facilities and technologies developed in the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Walis, L.; Zimek, Z.

    1992-01-01

    The operation of the first electron accelerator has been started at Institute /former Institute for Nuclear Research/ in 1971. This LAE-13/9 accelerator is a two-section lineac with adjustable energy of electrons: 5 to 13 MeV and the beam power up to 9 kW. The main technologies developed on the basis of LAE-13/9 are: sterilization, manufacturing of thermoshrinkable materials and modification of semiconductors. The accelerator is operated 4000 hours per year and used for small scale production and services in these fields. The other problems investigated in laboratory scale are: food preservation and hygenization, hygenization of municipal sewage sludge, and bio-conversion of pig-farm wastes into animal fodder. The laboratory experiments are basis for pilot construction and other industrial applications. The mentioned technology of thermoshrinkable tube production was implemented in industrial scale at ZWUT Czluchow which factory is equipped in the accelerator ILU-6 /20 kW, 2 MeV/. On the basis of similar unit a technological installation was built at Institute. The plant is furnished with a conveyer and the rewinding machines for tubes and tapes manufacturing. This allows continuous production of these materials. The plant will start operation next year and linear accelerator /10 MeV, 15 kW/ for this purpose is already delivered. The pilot plant for food preservation and hygenization has been built. It is equipped in small pilot accelerator 10 MeV, 1 kW and will be furnished with 10 MeV, 10 kW lineac this year. Beside of this technological lines Institute is furnished in Van de Graff accelerator /2, MeV, 100 μA/ and another laboratory unit LAE-10 /10 MeV, 10-100 ns 2 us/ is under construction. (J.P.N.)

  2. Conjoint Management of Business Processes and Information Technologies

    DEFF Research Database (Denmark)

    Siurdyban, Artur

    and improve business processes. As a consequence, there is a growing need to address managerial aspects of the relationships between information technologies and business processes. The aim of this PhD study is to investigate how the practice of conjoint management of business processes and information...... technologies can be supported and improved. The study is organized into five research papers and this summary. Each paper addresses a different aspect of conjoint management of business processes and information technologies, i.e. problem development and managerial practices on software...... and information technologies in a project environment. It states that both elements are intrinsically related and should be designed and considered together. The second case examines the relationships between information technology management and business process management. It discusses the multi-faceted role...

  3. Application of electron beam technology for treatment of gases in Malaysia

    International Nuclear Information System (INIS)

    Khairul Zaman Hj Mohd Dahlan; Siti A'iasah Hashim; Mohd Nahar Othman

    2005-01-01

    A laboratory scale test rig to treat simulated flue gas using electron beam technology was installed at the Alurtron EB-Irradiation Center, MINT. The test rig system consisted of several components, among other, diesel generator sets, gas analyzers and spray cooler. The flue gas generated from the diesel generator is mainly NO x . SO 2 is added into the system. Results from the commissioning test runs and subsequent experimental work showed that the efficiency of flue gas removal is as high as 65% for NO x and 81% for SO 2 at 8.0 mA current and 1.0 MeV. MINT has also conducted study on electron beam treatment of volatile organic compounds (VOCs) by using benzene gases. Benzene is one of the most stable compounds and it is very difficult to degrade. In this experiment, benzene is kept in a Tedlar bag or glass jar whereby it is irradiated in a static and control conditions. The concentrations of benzene gases used are 100 ppm and 1 ppmv. The results indicated that the irradiation dose needed for 85-95% degradation of benzene molecules was between 8-12 kGy and several new compounds were produced. (author)

  4. 2000W high beam quality diode laser for direct materials processing

    Science.gov (United States)

    Qin, Wen-bin; Liu, You-qiang; Cao, Yin-hua; Gao, Jing; Pan, Fei; Wang, Zhi-yong

    2011-11-01

    This article describes high beam quality and kilowatt-class diode laser system for direct materials processing, using optical design software ZEMAX® to simulate the diode laser optical path, including the beam shaping, collimation, coupling, focus, etc.. In the experiment, the diode laser stack of 808nm and the diode laser stack of 915nm were used for the wavelength coupling, which were built vertical stacks up to 16 bars. The threshold current of the stack is 6.4A, the operating current is 85A and the output power is 1280W. Through experiments, after collimating the diode laser beam with micro-lenses, the fast axis BPP of the stack is less than 60mm.mrad, and the slow-axis BPP of the stack is less than 75mm.mrad. After shaping the laser beam and improving the beam quality, the fast axis BPP of the stack is still 60mm.mrad, and the slow-axis BPP of the stack is less than 19mm.mrad. After wavelength coupling and focusing, ultimately the power of 2150W was obtained, focal spot size of 1.5mm * 1.2mm with focal length 300mm. The laser power density is 1.2×105W/cm2, and that can be used for metal remelting, alloying, cladding and welding. The total optical coupling conversion efficiency is 84%, and the total electrical - optical conversion efficiency is 50%.

  5. Applications of high energy neutralized ion beams to a compact torus

    International Nuclear Information System (INIS)

    Rostoker, N.; Katzenstein, J.

    1986-01-01

    Pulsed ion beams can be produced with ion diodes and Marx generators. The technology exists to produce high energy beams efficiently. A neutralized ion beam has an equal number of co-moving electrons. The resultant beam is electrically neutral, has no net current and can be transported across a magnetic field if the current density is sufficiently large. Preliminary experimental results have been obtained on injecting a neutralized proton beam into a small tokamak. To illuminate the physical processes involved in injection and trapping an experiment has been designed for TEXT. Possible applications to a compact torus include plasma heating, current maintenance and non-equilibrium reactors that do not require ignition. Each application is discussed and comparisons are made with other methods. (author)

  6. Biological shielding design and qualification of concreting process for construction of electron beam irradiation facility

    International Nuclear Information System (INIS)

    Petwal, V.C.; Kumar, P.; Suresh, N.; Parchani, G.; Dwivedi, J.; Thakurta, A.C.

    2011-01-01

    A technology demonstration facility for irradiation of food and agricultural products is being set-up by RRCAT at Indore. The facility design is based on linear electron accelerator with maximum beam power of 10 kW and can be operated either in electron mode at 10 MeV or photon modes at 5/7.5 MeV. Biological shielding has been designed in accordance with NCRP 51 to achieve dose rate at all accessible points outside the irradiation vault less than the permissible limit of 0.1 mR/hr. In addition to radiation attenuation property, concrete must have satisfactory mechanical properties to meet the structural requirements. There are number of site specific variables which affect the structural, thermal and radiological properties of concrete, leading to considerable difference in actual values and design values. Hence it is essential to establish a suitable site and environmental specific process to cast the concrete and qualify the process by experimental measurement. For process qualification we have cast concrete test blocks of different thicknesses up to 3.25 m and evaluated the radiological and mechanical properties by radiometry, ultrasonic and mechanical tests. In this paper we describe the biological shielding design of the facility and analyse the results of tests carried out for qualification of the process. (author)

  7. Electron beam treatment of toxic volatile organic compounds and dioxins

    International Nuclear Information System (INIS)

    Kojima, Takuji

    2006-01-01

    Considerations of wastes based on the reduction, reuse and recycle in daily life are primary measures to conserve our environment, but the control technology is necessary to support these measures. The electron beam (EB) process is promising as an advanced purification process having advantages such as a quick treatment of big volume gas, applicability even for very low concentration pollutants as the further purification at the downstream of existing process, and decomposition of pollutants into non-toxic substances by one process. The EB technology has been developed for treatment of toxic volatile organic compounds (VOCs) in ventilation gas and dioxins in solid waste incineration flue gas. (author)

  8. Ion-beam plasma and propagation of intense compensated ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Gabovich, M D [AN Ukrainskoj SSR, Kiev. Inst. Fiziki

    1977-02-01

    Discussed are the results of investigation of plasma properties received by neutralization of intense ion beam space charge. Considered is the process of ion beam compensation by charges, formed as a result of gas ionization by this beam or by externally introduced ones. Emphasis is placed on collective phenomena in ion-beam plasma, in particular on non-linear effects limiting amplitude of oscillations. It is shown that not only dynamic decompensation but the Coulomb collisions of ions with electrons as well as other collective oscillations significantly affects the propagation of compensated ion beams. All the processes are to be taken into account in solving the problem of obtaining ''superdense'' compensated beams.

  9. Ion-beam plasma and propagation of intense compensated ion beams

    International Nuclear Information System (INIS)

    Gabovich, M.D.

    1977-01-01

    Discussed are the results of investigation of plasma properties recieved by neutralization of intensive ion beam space charge. Considered is the process of ion beam compensation by charges, formed as a result of gas ionization by this beam or by externally introduced ones. Emphasis is placed on collective phenomena in ion-beam plasma, in particular on non-linear effects limiting amplitude of oscillations. It is shown, that not only dinamic decompensation but the Coulomb collisions of ions with electrons as well as other collective oscillations significantly affects the propagation of compensated ion beams. All the processes are to be taken into account at solving the problem of obtaining ''superdense'' compensated beams

  10. Current status of electron beam processing system and its applications

    International Nuclear Information System (INIS)

    Taniguchi, S.

    2005-01-01

    The feature and application fields of electron beam processing systems (EPS), the selection of machine ratings and safety measures for EPS are described. EPS has the various features: a) Chemical reactions occurs independent of the temperature, b) it occurs without any added substances such as catalysts, c) mass productivity, d) easy operation, as is exemplified by switch ON and OFF, and e) easy maintenance, compared with radioisotope sources. After briefly explaining acceleration type (DC or AC), power supply (Van-de-Graaf or Cockcroft-walton and others), beam scanning to be used for large area irradiation, and some typical applications (cross-linking, radical polymerization, the paper describes necessary safety measures such as X-ray shielding, ozone control including ozone generation and its disposal, interlock system, warning buzzer, and monitoring and measuring. (S. Ohno)

  11. Cavitation erosion of chromium-manganese and chromium-cobalt coatings processed by laser beam

    International Nuclear Information System (INIS)

    Giren, B.G.; Szkodo, M.

    2002-01-01

    In this work the cavitation erosion of chromium-manganese and chromium-cobalt clads were tested, each of them for three cases: (1) without additional processing; (2) after laser heating of the solid state and (3) after laser remelting of the material. Armco iron, carbon steel 45 and chromium-nickel steel 0H18N9T were used as substrates. C.W. CO 2 laser with a beam power of 1000 W was used as a source of radiation. The investigated samples were subjected to cavitation impingement in a rotating disk facility. The results indicate that laser processing of the thick, electrode deposited coatings by laser beam leads in some cases to an increase of their cavitation resistance. Strong dependence of the coatings performance on the substrate, both for the laser processed or unprocessed parts of the materials was also discovered. (author)

  12. Design process for NIF laser alignment and beam diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Grey, A., LLNL

    1998-06-09

    In a controller for an adaptive optic system designed to correct phase aberrations in a high power laser, the wavefront sensor is a discrete Hartmann-Shack design. It uses an army of lenslets (like a fly` s eye) to focus the laser into 77 spots on a CCD camera. Average local tilt of the wavefront across each lenslet changes the position of its focal spot. The system requires 0.1 pixel accuracy in determining the focal spot location. We determine a small area around each spot` s previous location. Within this area, we calculate the centroid of the light intensity in x and y. This calculation fails if the spot regions overlap. Especially during initial acquisition of a highly distorted beam, distinguishing overlapping spots is difficult. However, low resolution analysis of the overlapping spots allows the system to estimate their positions. With this estimate, it can use the deformable mirror to correct the beam enough so we can detect the spots using conventional image processing.

  13. Sustaining high energy efficiency in existing processes with advanced process integration technology

    International Nuclear Information System (INIS)

    Zhang, Nan; Smith, Robin; Bulatov, Igor; Klemeš, Jiří Jaromír

    2013-01-01

    Highlights: ► Process integration with better modelling and more advanced solution methods. ► Operational changes for better environmental performance through optimisation. ► Identification of process integration technology for operational optimisation. ► Systematic implementation procedure of process integration technology. ► A case study with crude oil distillation to demonstrate the operational flexibility. -- Abstract: To reduce emissions in the process industry, much emphasis has been put on making step changes in emission reduction, by developing new process technology and making renewable energy more affordable. However, the energy saving potential of existing systems cannot be simply ignored. In recent years, there have been significant advances in process integration technology with better modelling techniques and more advanced solution methods. These methods have been applied to the new design and retrofit studies in the process industry. Here attempts are made to apply these technologies to improve the environmental performance of existing facilities with operational changes. An industrial project was carried out to demonstrate the importance and effectiveness of exploiting the operational flexibility for energy conservation. By applying advanced optimisation technique to integrate the operation of distillation and heat recovery in a crude oil distillation unit, the energy consumption was reduced by 8% without capital expenditure. It shows that with correctly identified technology and the proper execution procedure, significant energy savings and emission reduction can be achieved very quickly without major capital expenditure. This allows the industry to improve its economic and environment performance at the same time.

  14. Introduction to Innovative Food Processing and Technology

    OpenAIRE

    Tokusoglu, Ozlem

    2015-01-01

    Consumers, the food industry and the regulatory agencies demand the innovative technologies to provide safe and stable foods. Nonthermal processing technologies offer unprecedented opportunities and challenges for the food industry to market safe, high quality health-promoting foods. Those innovative food processing is often perceived as an alternative to thermal food processing, yet there are many nonthermal preparatory unit operations as well as food processing and preservation opportunitie...

  15. The process for technology transfer in Baltimore

    Science.gov (United States)

    Golden, T. S.

    1978-01-01

    Ingredients essential for a successful decision process relative to proper technological choices for a large city were determined during four years of experience in the NASA/Baltimore Applications Project. The general approach, rationale, and process of technology transfer are discussed.

  16. Technology Summary Advancing Tank Waste Retrieval And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.; Mendoza, R.E.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. This technology overview provides a high-level summary of technologies being investigated, developed, and deployed by WRPS to advance Hanford Site tank waste retrieval and processing. Transformational technologies are needed to complete Hanford tank waste retrieval and treatment by 12/31/2047. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated because it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans, and methods. WRPS and the DOE are developing, testing, and deploying technologies to meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them. DOE's Office of Environmental Management (EM) identifies the environmental management technology needs and the activities necessary to address them. The U.S. Congress then funds these activities through EM or the DOE field offices. Finally, an array of entities that include DOE site prime contractors and

  17. Comparison of Failure Process of Bended Beams Reinforced with Steel Bars and GFRP Bars

    Science.gov (United States)

    Kaszyńska, Maria; Błyszko, Jarosław; Olczyk, Norbert

    2017-10-01

    The Fibre Reinforced Polymer (FRP) composite rebar has been used in civil engineering structures for several years. It has many characteristics, which not only are equal to those of steel rebar, but significantly surpass them. The composite rebar has high corrosion resistance, electromagnetic neutrality and has much higher tensile strength than steel. Also, because of its low weight and easy processing composite rebar is convenient for shipment and use. Development of architectural concrete technology in past years opens new, interesting perspectives for use of composite rebar. However, implementation of those concretes in structures is often burdened with many issues, especially concerning faulty performance. One of it is rebar’s corrosion, visible on the surface of the element as rusty stains. Even if the structure was properly developed meeting all the requirements for texture, porosity or colour uniformity, and rusty stains can completely destroy the final decorative effect of concrete’s surface. Despite many advantages, the use of composite rebar in reinforced structures creates significant number of new “behaviours” in its different working stages. Structures reinforced with the steel rebar will behave differently than the ones with composite FRP rebar under continuous load, in case of a fire, exposed to aggressive environment or at breaking point. In the latter, significant role plays its linear-elastic behaviour in the whole tensile range till rupture. This means that the FPR rebar does not exhibit plastic deformation and reaches its bearing capacity suddenly without any visible signs. This should be considered during designing stage and included as an additional reduction coefficient. The article presents result of research and analysis of destructive tests performed on concrete beams reinforced with traditional steel rebar and composite rebar made of glass fibre and braided with basaltic (GFRP). Four single-span simply supported beams under static

  18. Desalination processes and technologies

    International Nuclear Information System (INIS)

    Furukawa, D.H.

    1996-01-01

    Reasons of the development of desalination processes, the modern desalination technologies, such as multi-stage flash evaporation, multi-effect distillation, reverse osmosis, and the prospects of using nuclear power for desalination purposes are discussed. 9 refs

  19. Legitimation problems of participatory processes in technology assessment and technology policy.

    Science.gov (United States)

    Saretzki, Thomas

    2012-11-01

    Since James Carroll (1971) made a strong case for "participatory technology", scientists, engineers, policy-makers and the public at large have seen quite a number of different approaches to design and implement participatory processes in technology assessment and technology policy. As these participatory experiments and practices spread over the last two decades, one could easily get the impression that participation turned from a theoretical normative claim to a working practice that goes without saying. Looking beyond the well-known forerunners and considering the ambivalent experiences that have been made under different conditions in various places, however, the "if" and "how" of participation are still contested issues when questions of technology are on the agenda. Legitimation problems indicate that attempts to justify participation in a given case have not been entirely successful in the eyes of relevant groups among the sponsors, participants, organizers or observers. Legitimation problems of participatory processes in technology assessment and technology policy vary considerably, and they do so not only with the two domains and the ways of their interrelation or the specific features of the participatory processes. If we ask whether or not participation is seen as problematic in technology assessment and technology policy-making and in what sense it is being evaluated as problematic, then we find that the answer depends also on the approaches and criteria that have been used to legitimize or delegitimize the call for a specific design of participation.

  20. Research on process management of nuclear power technological innovation

    International Nuclear Information System (INIS)

    Yang Hua; Zhou Yu

    2005-01-01

    Different from the other technological innovation processes, the technological innovation process of nuclear power engineering project is influenced deeply by the extensive environmental factors, the technological innovation of nuclear power engineering project needs to make an effort to reduce environmental uncertainty. This paper had described the mechanism of connection technological innovation process of nuclear power engineering project with environmental factors, and issued a feasible method based on model of bargaining to incorporate technological innovation process management of nuclear power engineering project with environmental factors. This method has realistic meanings to guide the technological innovation of nuclear power engineering project. (authors)

  1. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETRIEVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL; MENDOZA RE

    2010-08-11

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  2. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETREIVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL

    2010-07-07

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  3. Feasibility tests of a new all-digital beam control scheme for LEIR

    CERN Document Server

    Angoletta, Maria Elena; Findlay, A; Pedersen, F; CERN. Geneva. AB Department

    2004-01-01

    The new Low Energy Ion Ring (LEIR), to be commissioned at CERN in early 2005, will be a very important element of the LHC lead ions injection chain and will require a new beam control and cavity servoing system. Current plans call for a new all-digital beam control system based on the same technology deployed at Brookhaven National Laboratory (BNL) for the to control the AGS Booster beam. That beam control system, now under development, relies on VME modules and daughter boards based on Digital Signal Processors (DSPs) and Field Programmable Gate Arrays (FPGAs). The DSP provides high throughput processing capabilities and ease of connection with the software layer immediately above, while the daughter boards implement master clock, digital receiver and modulator capabilities. The resulting system is very flexible because is configurable via software and offers multi-processing capabilities and high bandwidth data paths, features that make it very attractive for a beam control implementation. For application t...

  4. European consumers' acceptance of beef processing technologies

    DEFF Research Database (Denmark)

    de Barcellos, Marcia Dutra; Kügler, Jens Oliver; Grunert, Klaus G.

    2010-01-01

    The use of new technologies in beef production chains may affect consumers' opinion of meat products. A qualitative study was performed to investigate consumers' acceptance of seven beef processing technologies: marinating by injection aiming for increased 1) healthiness; 2) safety; and 3) eating...... adults (19-60 years old) participated in eight focus groups in Spain, France, Germany and the UK. Results suggested a relationship between acceptance of new beef products, technology familiarity and perceived risks related to its application. Excessive manipulation and fear of moving away from 'natural......' beef were considered negative outcomes of technological innovations. Beef processing technologies were predominantly perceived as valuable options for convenience shoppers and less demanding consumers. Overall, respondents supported the development of 'non-invasive' technologies that were able...

  5. Digital Signal Processing in Beam Instrumentation Latest Trends and Typical Applications

    CERN Document Server

    Angoletta, Maria Elena

    2003-01-01

    The last decade has seen major improvements in digital hardware, algortithms and software, which have trickled down to the Beam Instrumentation (BI) area. An advantageous transition is taking place towards systems with an ever-stronger digital presence. Digital systems are assembled by means of a rather small number of basic building blocks, with improved speed, precision, signal-to-noise ratio, dynamic range, flexibility, and accompanied by a range of powerful and user-friendly development tools. The paper reviews current digital BI trends, including using Digital Signal Processors, Field Programmable Gate Arrays, Digital Receivers and General Purpose Processors as well as some useful processing algorithms. Selected digital applications are illustrated on control/feedback and beam diagnostics.

  6. ICAT and the NASA technology transfer process

    Science.gov (United States)

    Rifkin, Noah; Tencate, Hans; Watkins, Alison

    1993-01-01

    This paper will address issues related to NASA's technology transfer process and will cite the example of using ICAT technologies in educational tools. The obstacles to effective technology transfer will be highlighted, viewing the difficulties in achieving successful transfers of ICAT technologies.

  7. Development of aromatic VOC control technology by electron beam hybrid

    International Nuclear Information System (INIS)

    Kim, Jo-Chun; Kim, Ki-Joon

    2006-01-01

    As a fundamental study, the decomposition of volatile organic compounds (VOCs) using electron beam (EB) irradiation has been extensively investigated. EB treatments of VOCs such as toluene and styrene are discussed. The degradation characteristics were intensively investigated under various concentrations and irradiation doses to determine and improve VOC removal efficiencies. This work illustrates that the removal efficiencies of aromatic VOCs generally increase as their concentrations decrease and the irradiation doses increase. Based on these basic studies, it was found that by-products produced from EB irradiation of VOCs would cause a secondary pollution problem. Therefore, a novel hybrid technology has been applied to control aromatic VOC emissions by annexing the catalyst technique with conventional treatment study using EB technology. The experiments were carried out using a bench-scale at first, then a pilot-scale system was followed. Toluene was selected as a typical VOC for EB hybrid control to investigate by-products, effects of ceramic and catalyst, and factors affecting overall efficiency of degradation. It was concluded that VOCs could be destroyed more effectively by a novel hybrid system than single EB irradiation. (author)

  8. Exhaust gas treatment by electron beam irradiation

    International Nuclear Information System (INIS)

    Shibamura, Yokichi; Suda, Shoichi; Kobayashi, Toshiki

    1991-01-01

    Among global environmental problems, atmospheric pollution has been discussed since relatively old days, and various countermeasures have been taken, but recently in connection with acid rain, the efficient and economical treatment technology is demanded. As the denitration and desulfurization technology for the exhaust gas from the combustion of fossil fuel, the incineration of city trash and internal combustion engines, three is the treatment method by electron beam irradiation. By irradiating electron beam to exhaust gas, nitrogen oxides and sulfur oxides are oxidized to nitric acid and sulfuric acid, and by promoting the neutralization of these acids with injected alkali, harmless salts are recovered. This method has the merit that nitrogen oxides and surfur oxides can be removed efficiently with a single system. In this report, as for the exhaust gas treatment by electron beam irradiation, its principle, features, and the present status of research and development are described, and in particular, the research on the recent exhaust gas treatment in city trash incineration is introduced. This treatment method is a dry process, accordingly, waste water disposal is unnecessary. The reaction products are utilized as fertilizer, and waste is not produced. (K.I.)

  9. Rf beam control for the AGS Booster

    International Nuclear Information System (INIS)

    Brennan, J.M.

    1994-01-01

    RF beam control systems for hadron synchrotrons have evolved over the past three decades into an essentially standard design. The key difference between hadron and lepton machines is the absence of radiation damping and existence of significant frequency variation in the case of hadrons. Although the motion of the hadron in the potential well of the rf wave is inherently stable it is not strongly damped. Damping must be provided by electronic feedback through the accelerating system. This feedback is typically called the phase loop. The technology of the rf beam control system for the AGS Booster synchrotron is described. First, the overall philosophy of the design is explained in terms of a conventional servo system that regulates the beam horizontal position in the vacuum chamber. The concept of beam transfer functions is fundamental to the mathematics of the design process and is reviewed. The beam transfer functions required for this design are derived from first principles. An overview of the beam signal pick-ups and high level rf equipment is given. The major subsystems, the frequency program, the heterodyne system, and beam feedback loops, are described in detail. Beyond accelerating the beam, the rf system must also synchronize the bunches in the Booster to the buckets in the AGS before transfer. The technical challenge in this process is heightened by the need to accomplish synchronization while the frequency is still changing. Details of the synchronization system are given. This report is intended to serve two purposes. One is to document the hardware and performance of the systems that have been built. The other is to serve as a tutorial vehicle from which the non-expert can not only learn the details of this system but also learn the principles of beam control that have led to the particular design choices made

  10. Rf beam control for the AGS Booster

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, J.M.

    1994-09-26

    RF beam control systems for hadron synchrotrons have evolved over the past three decades into an essentially standard design. The key difference between hadron and lepton machines is the absence of radiation damping and existence of significant frequency variation in the case of hadrons. Although the motion of the hadron in the potential well of the rf wave is inherently stable it is not strongly damped. Damping must be provided by electronic feedback through the accelerating system. This feedback is typically called the phase loop. The technology of the rf beam control system for the AGS Booster synchrotron is described. First, the overall philosophy of the design is explained in terms of a conventional servo system that regulates the beam horizontal position in the vacuum chamber. The concept of beam transfer functions is fundamental to the mathematics of the design process and is reviewed. The beam transfer functions required for this design are derived from first principles. An overview of the beam signal pick-ups and high level rf equipment is given. The major subsystems, the frequency program, the heterodyne system, and beam feedback loops, are described in detail. Beyond accelerating the beam, the rf system must also synchronize the bunches in the Booster to the buckets in the AGS before transfer. The technical challenge in this process is heightened by the need to accomplish synchronization while the frequency is still changing. Details of the synchronization system are given. This report is intended to serve two purposes. One is to document the hardware and performance of the systems that have been built. The other is to serve as a tutorial vehicle from which the non-expert can not only learn the details of this system but also learn the principles of beam control that have led to the particular design choices made.

  11. Risk calculations in the manufacturing technology selection process

    DEFF Research Database (Denmark)

    Farooq, S.; O'Brien, C.

    2010-01-01

    Purpose - The purpose of this paper is to present result obtained from a developed technology selection framework and provide a detailed insight into the risk calculations and their implications in manufacturing technology selection process. Design/methodology/approach - The results illustrated...... in the paper are the outcome of an action research study that was conducted in an aerospace company. Findings - The paper highlights the role of risk calculations in manufacturing technology selection process by elaborating the contribution of risk associated with manufacturing technology alternatives...... in the shape of opportunities and threats in different decision-making environments. Practical implications - The research quantifies the risk associated with different available manufacturing technology alternatives. This quantification of risk crystallises the process of technology selection decision making...

  12. Design and fabrication of a diffractive beam splitter for dual-wavelength and concurrent irradiation of process points.

    Science.gov (United States)

    Amako, Jun; Shinozaki, Yu

    2016-07-11

    We report on a dual-wavelength diffractive beam splitter designed for use in parallel laser processing. This novel optical element generates two beam arrays of different wavelengths and allows their overlap at the process points on a workpiece. To design the deep surface-relief profile of a splitter using a simulated annealing algorithm, we introduce a heuristic but practical scheme to determine the maximum depth and the number of quantization levels. The designed corrugations were fabricated in a photoresist by maskless grayscale exposure using a high-resolution spatial light modulator. We characterized the photoresist splitter, thereby validating the proposed beam-splitting concept.

  13. The formalization of innovative processes of food technology equipment

    Directory of Open Access Journals (Sweden)

    V. A. Panfilov

    2016-01-01

    Full Text Available Improving the efficiency of scientific and engineering work to develop methods for converting agricultural raw materials into food is the most important condition of output processing and food sectors of agriculture in the sixth technological structure. The purpose of this article is to formalize the process of creating a progressive technique of food technologies. The process of self-organizing technological systems, presents a model of dual mechanism of control with regard to the processes of food technology. It is shown that in the process of adaptation development of the technological system as purposefully improving the structure and functioning of the system: increases the efficiency of interaction with the external environment. This smoothed out the contradictions of the technological system and its the main thing, the main technical contradiction: «productivity – quality». The steps to be taken to ensure that the technological system of conditions for intensive development. It is concluded that the potential development of some technological systems is hidden in the perspective of automation, and others – is associated with adaptive development processes, in particular machines, devices and bioreactors. The paper shows that innovative and truly breakthrough developments leading to the creation of fundamentally new equipment and new generations of technological systems, possible only with the establishment of patterns of organization, structure, functioning and development of open systems, which are modern technologies of agriculture. The mechanism of control of technological object acts as a core of adaptive development, which implements the anti-entropic entity management object, formalizing the innovation process of innovative food processing technologies.

  14. Dehydration process of fish analyzed by neutron beam imaging

    International Nuclear Information System (INIS)

    Tanoi, K.; Hamada, Y.; Seyama, S.; Saito, T.; Iikura, H.; Nakanishi, T.M.

    2009-01-01

    Since regulation of water content of the dried fish is an important factor for the quality of the fish, water-losing process during drying (squid and Japanese horse mackerel) was analyzed through neutron beam imaging. The neutron image showed that around the shoulder of mackerel, there was a part where water content was liable to maintain high during drying. To analyze water-losing process more in detail, spatial image was produced. From the images, it was clearly indicated that the decrease of water content was regulated around the shoulder part. It was suggested that to prevent deterioration around the shoulder part of the dried fish is an important factor to keep quality of the dried fish in the storage.

  15. Innovation processes in technologies for the processing of refractory mineral raw materials

    Science.gov (United States)

    Chanturiya, V. A.

    2008-12-01

    Analysis of the grade of mineral resources of Russia and other countries shows that end products that are competitive in terms of both technological and environmental criteria in the world market can only be obtained by the development and implementation of progressive technologies based on the up-to-date achievements of fundamental sciences. The essence of modern innovation processes in technologies developed in Russia for the complex and comprehensive processing of refractory raw materials with a complex composition is ascertained. These processes include (i) radiometric methods of concentration of valuable components, (ii) high-energy methods of disintegration of highly dispersed mineral components, and (iii) electrochemical methods of water conditioning to obtain target products for solving specific technological problems.

  16. Observation of damage process in RC beams under cucle bending by acoustic emission

    International Nuclear Information System (INIS)

    Shigeishi, Mitsuhiro; Ohtsu, Masayasu; Tsuji, Nobuyuki; Yasuoka, Daisuke

    1997-01-01

    Reinforced concrete (RC) structures are generally applied to construction of buildings and bridges, and are imposed on cyclic loading incessantly. It is considered that detected acoustic emission (AE) waveforms are associated with the damage degree and the fracture mechanisms of RC structures. Therefor, the cyclic bending tests are applied to damaged RC beam specimens. To evaluate the interior of the damaged RC beams, the AE source kinematics are determined by 'SiGMA' procedure for AE moment tensor analysis. By using 'SiGMA' procedure, AE source kinematics, such as source locations, crack types, crack orientations and crack motions, can be identified. The results show the applicability to observation of the fracture process under cyclic bending load and evaluation the degree of damage of RC beam.

  17. Accelerators for E-beam and X-ray processing

    Energy Technology Data Exchange (ETDEWEB)

    Auslender, V.L. E-mail: auslen@inp.nsk.su; Bryazgin, A.A.; Faktorovich, B.L.; Gorbunov, V.A.; Kokin, E.N.; Korobeinikov, M.V.; Krainov, G.S.; Lukin, A.N.; Maximov, S.A.; Nekhaev, V.E.; Panfilov, A.D.; Radchenko, V.N.; Tkachenko, V.O.; Tuvik, A.A.; Voronin, L.A

    2002-03-01

    During last years the demand for pasteurization and desinsection of various food products (meat, chicken, sea products, vegetables, fruits, etc.) had increased. The treatment of these products in industrial scale requires the usage of powerful electron accelerators with energy 5-10 MeV and beam power at least 50 kW or more. The report describes the ILU accelerators with energy range up to 10 MeV and beam power up to 150 kW.The different irradiation schemes in electron beam and X-ray modes for various products are described. The design of the X-ray converter and 90 deg. beam bending system are also given.

  18. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  19. Advanced metal lift-off process using electron-beam flood exposure of single-layer photoresist

    Science.gov (United States)

    Minter, Jason P.; Ross, Matthew F.; Livesay, William R.; Wong, Selmer S.; Narcy, Mark E.; Marlowe, Trey

    1999-06-01

    In the manufacture of many types of integrated circuit and thin film devices, it is desirable to use a lift-of process for the metallization step to avoid manufacturing problems encountered when creating metal interconnect structures using plasma etch. These problems include both metal adhesion and plasma etch difficulties. Key to the success of the lift-off process is the creation of a retrograde or undercut profile in the photoresists before the metal deposition step. Until now, lift-off processing has relied on costly multi-layer photoresists schemes, image reversal, and non-repeatable photoresist processes to obtain the desired lift-off profiles in patterned photoresist. This paper present a simple, repeatable process for creating robust, user-defined lift-off profiles in single layer photoresist using a non-thermal electron beam flood exposure. For this investigation, lift-off profiles created using electron beam flood exposure of many popular photoresists were evaluated. Results of lift-off profiles created in positive tone AZ7209 and ip3250 are presented here.

  20. PREVAIL: IBM's e-beam technology for next generation lithography

    Science.gov (United States)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  1. Digital Process Management Technology for Nuclear Power Plants

    International Nuclear Information System (INIS)

    You, Young M.; Suh, Kune Y.

    2009-01-01

    PHILOSOPHIA, Inc. and Seoul National University have utilized the cutting edge Digital Process Management (DPM) technology for the good of Nuclear Power Plant in recent days. This work represent the overall benefits and the use of this new flow of technology which come into the spotlight. Before realizing the three dimensional (3D) technologies and applying it to real mechanical manufactures and constructions, majority of planning and designing works need huge time and cost even if the process is before the real work. Especially, for a massive construction such as power plant and harbor, without computer-aided technology currently we cannot imagine the whole process can be established easily. Computer-aided Design (CAD) is now main and common technology for manufacturing or construction. This technology lead the other virtual reality 3D technologies into the job site. As a member of these new technologies, DPM is utilized in high-tech and huge scale manufacturing and construction for the benefits of time and cost

  2. Digital Signal Processing and Generation for a DC Current Transformer for Particle Accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Zorzetti, Silvia [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States)

    2013-01-01

    The thesis topic, digital signal processing and generation for a DC current transformer, focuses on the most fundamental beam diagnostics in the field of particle accelerators, the measurement of the beam intensity, or beam current. The technology of a DC current transformer (DCCT) is well known, and used in many areas, including particle accelerator beam instrumentation, as non-invasive (shunt-free) method to monitor the DC current in a conducting wire, or in our case, the current of charged particles travelling inside an evacuated metal pipe. So far, custom and commercial DCCTs are entirely based on analog technologies and signal processing, which makes them inflexible, sensitive to component aging, and difficult to maintain and calibrate.

  3. RF beam control system for the Brookhaven Relativistic Heavy Ion Collider, RHIC

    International Nuclear Information System (INIS)

    Brennan, J.M.; Campbell, A.; DeLong, J.; Hayes, T.; Onillon, E.; Rose, J.; Vetter, K.

    1998-01-01

    The Relativistic Heavy Ion Collider, RHIC, is two counter-rotating rings with six interaction points. The RF Beam Control system for each ring will control two 28 MHz cavities for acceleration, and five 197 MHz cavities for preserving the 5 ns bunch length during 10 hour beam stores. Digital technology is used extensively in: Direct Digital Synthesis of rf signals and Digital Signal Processing for, the realization of state-variable feedback loops, real-time calculation of rf frequency, and bunch-by-bunch phase measurement of the 120 bunches. DSP technology enables programming the parameters of the feedback loops in order to obtain closed-loop dynamics that are independent of synchrotron frequency

  4. RF Beam control system for the Brookhaven relativistic heavy ion collider, RHIC

    International Nuclear Information System (INIS)

    Brennan, J.M.; Campbell, A.; Delong, J.; Hayes, T.; Onillon, E.; Rose, J.; Vetter, K.

    1998-01-01

    The Relativistic Heavy Ion Collider, RHIC, is two counter-rotating rings with six interaction points. The RF Beam Control system for each ring will control two 28 MHz cavities for acceleration, and five 197 MHz cavities for preserving the 5 ns bunch length during 10 hour beam stores. Digital technology is used extensively in: Direct Digital Synthesis of rf signals and Digital Signal Processing for, the realization of state-variable feedback loops, real-time calculation of rf frequency, and bunch-by-bunch phase measurement of the 120 bunches. DSP technology enables programming the parameters of the feedback loops in order to obtain closed-loop dynamics that are independent of synchrotron frequency

  5. Change of structure, microstructure and mechanical properties of steels after electron-beam quenching using new technology

    International Nuclear Information System (INIS)

    Tsenker, R.; Yun, V.; Rat'en, D.; Fritshe, G.

    1988-01-01

    Main principles and technological possibilities of a new method for electron-beam treatment are presented. The method lies in local-time high-frequency scanning of electron beam (surface-isothermal energy transfer). The method can be used for quenching of the band with up to 30(50) mm width and up to 1.5(2.0) mm depth of quenched layer. Changes of structure, microstructure and properties were investigated with the use of the following methods: surface sounding, light microscopy, scanning electron microscopy, X-ray phase analysis, X-ray radiographic analysis of internal stresses, macrohardness, microhardness and recording hardness measuring. A study was made on the effect of parameters of electron-beam quenching of steel (S45, 55St1, S100.1, 90MnV8, 100ST6) basic state on quenched layer depth, surface relief, martensite morphology, residual austenite amount, austenite grain system, internal stresses, hardness profiles and determined hardness

  6. Ion-beam technology for novel rice improvement

    International Nuclear Information System (INIS)

    Sobri Hussin; Azhar Mohammad; Abd Rahim Hussin; Rusli Ibrahim; Anna, L.P.K.

    2009-01-01

    In Malaysia, rice is the most important food crop and is cultivated in about 670,000 ha of arable land in the country. Conventional rice breeding carried out for the last 37 years resulted in the release of 34 modern varieties having an average yield of 5 t per/ha. The major objectives of the rice-breeding program in Malaysia are to develop high-yielding cultivars and to improve yield stability through higher levels of resistance to diseases, insect pests and other stresses. Most of these cultivars have been developed through conventional breeding. In this study, a new method through nuclear technology was used to develop novel superior cultivars. A total number of 100 seeds per dose (0, 10, 20, 40, 60, 80, 100, 120, 160, 200 Gy) of MR219 were exposed to carbone-ion irradiation (Ion Beam). The irradiated seeds were successfully planted under Controlled Environment Greenhouse for mutation frequency observation. After 2 months, it was revealed that the shoulder dose was significant obtained at 60 and 80 Gy. It was found that germination rates of the mutants were more than 60% for the doses range from 0 to 120 Gy and significantly decreased after 120 Gy. (Author)

  7. PHYSICAL RESOURCES OF INFORMATION PROCESSES AND TECHNOLOGIES

    Directory of Open Access Journals (Sweden)

    Mikhail O. Kolbanev

    2014-11-01

    Full Text Available Subject of study. The paper describes basic information technologies for automating of information processes of data storage, distribution and processing in terms of required physical resources. It is shown that the study of these processes with such traditional objectives of modern computer science, as the ability to transfer knowledge, degree of automation, information security, coding, reliability, and others, is not enough. The reasons are: on the one hand, the increase in the volume and intensity of information exchange in the subject of human activity and, on the other hand, drawing near to the limit of information systems efficiency based on semiconductor technologies. Creation of such technologies, which not only provide support for information interaction, but also consume a rational amount of physical resources, has become an actual problem of modern engineering development. Thus, basic information technologies for storage, distribution and processing of information to support the interaction between people are the object of study, and physical temporal, spatial and energy resources required for implementation of these technologies are the subject of study. Approaches. An attempt is made to enlarge the possibilities of traditional cybernetics methodology, which replaces the consideration of material information component by states search for information objects. It is done by taking explicitly into account the amount of physical resources required for changes in the states of information media. Purpose of study. The paper deals with working out of a common approach to the comparison and subsequent selection of basic information technologies for storage, distribution and processing of data, taking into account not only the requirements for the quality of information exchange in particular subject area and the degree of technology application, but also the amounts of consumed physical resources. Main findings. Classification of resources

  8. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  9. Development of functionally-oriented technological processes of electroerosive processing

    Science.gov (United States)

    Syanov, S. Yu

    2018-03-01

    The stages of the development of functionally oriented technological processes of electroerosive processing from the separation of the surfaces of parts and their service functions to the determination of the parameters of the process of electric erosion, which will provide not only the quality parameters of the surface layer, but also the required operational properties, are described.

  10. Further development of technology for liquid waste processing

    International Nuclear Information System (INIS)

    Hashimoto, Shoji

    1998-01-01

    Passing through of radiation causes chemical and physical changes in materials. These effects of radiation are able to be utilized for decomposition of organic compounds, precipitation of suspended small particles. Thus, clarification of waste water using radiation has been investigated. This report summarizes the principle, the studies and the trend to practical use of waste water processing with radiation. Generally, γ-ray from 60 Co and electron beam from electron accelerator are usable for water treatment. The penetrating power of electron beam is smaller than that of γ-ray, but the former is more suitable for the processing of a large amount of waste water since an electron accelerator with large power is usable now. Utilization of radiation has been examined for degradation of organic compounds with toxicity, sterilization and inactivation of pathological microbials and viruses, and reactivation of used active carbon and radiation was found applicable to all such purposes. (M.N.)

  11. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  12. Metallized ceramic vacuum pipe for particle beams

    International Nuclear Information System (INIS)

    Butler, B.L.; Featherby, M.

    1990-01-01

    A ceramic vacuum chamber segment in the form of a long pipe of rectangular cross section has been assembled from standard shapes of alumina ceramic using glass bonding techniques. Prior to final glass bonding, the internal walls of the pipe are metallized using an electroplating technology. These advanced processes allow for precision patterning and conductivity control of surface conducting films. The ability to lay down both longitudinal and transverse conductor patterns separated by insulating layers of glass give the accelerator designer considerable freedom in tailoring longitudinal and transverse beam pipe impedances. Assembly techniques of these beam pipes are followed through two iterations of semi-scale pipe sections made using candidate materials and processes. These demonstrate the feasibility of the concepts and provide parts for electrical characterization and for further refinement of the approach. In a parallel effort, a variety of materials, joining processes and assembly procedures have been tried to assure flexibility and reliability in the construction of 10-meter long sections to any required specifications

  13. Scaling of heavy ion beam probes for reactor-size devices

    International Nuclear Information System (INIS)

    Hickok, R.L.; Jennings, W.C.; Connor, K.A.; Schoch, P.M.

    1984-01-01

    Heavy ion beam probes for reactor-size plasma devices will require beam energies of approximately 10 MeV. Although accelerator technology appears to be available, beam deflection systems and parallel plate energy analyzers present severe difficulties if existing technology is scaled in a straightforward manner. We propose a different operating mode which will use a fixed beam trajectory and multiple cylindrical energy analyzers. Development effort will still be necessary, but we believe the basic technology is available

  14. Analysis of contour images using optics of spiral beams

    Science.gov (United States)

    Volostnikov, V. G.; Kishkin, S. A.; Kotova, S. P.

    2018-03-01

    An approach is outlined to the recognition of contour images using computer technology based on coherent optics principles. A mathematical description of the recognition process algorithm and the results of numerical modelling are presented. The developed approach to the recognition of contour images using optics of spiral beams is described and justified.

  15. Numerical modeling of optical coherent transient processes with complex configurations - II. Angled beams with arbitrary phase modulations

    International Nuclear Information System (INIS)

    Chang Tiejun; Tian Mingzhen; Barber, Zeb W.; Randall Babbitt, Wm.

    2004-01-01

    This work is a continuation of the development of the theoretical model for optical coherent transient (OCT) processes with complex configurations. A theoretical model for angled beams with arbitrary phase modulation has been developed based on the model presented in our previous work for the angled beam geometry. A numerical tool has been devised to simulate the OCT processes involving angled beams with the frequency detuning, chirped, and phase-modulated laser pulses. The simulations for pulse shaping and arbitrary waveform generation (AWG) using OCT processes have been performed. The theoretical analysis of programming and probe schemes for pulse shaper and AWG is also presented including the discussions on the rephasing condition and the phase compensation. The results from the analysis, the simulation, and the experiment show very good agreement

  16. Contrastive Analysis and Research on Negative Pressure Beam Tube System and Positive Pressure Beam Tube System for Mine Use

    Science.gov (United States)

    Wang, Xinyi; Shen, Jialong; Liu, Xinbo

    2018-01-01

    Against the technical defects of universally applicable beam tube monitoring system at present, such as air suction in the beam tube, line clogging, long sampling time, etc., the paper analyzes the current situation of the spontaneous combustion fire disaster forecast of mine in our country and these defects one by one. On this basis, the paper proposes a research thought that improving the positive pressure beam tube so as to substitute the negative pressure beam tube. Then, the paper introduces the beam tube monitoring system based on positive pressure technology through theoretical analysis and experiment. In the comparison with negative pressure beam tube, the paper concludes the advantage of the new system and draws the conclusion that the positive pressure beam tube is superior to the negative pressure beam tube system both in test result and test time. At last, the paper proposes prospect of the beam tube monitoring system based on positive pressure technology.

  17. History of development of acceleration weapons with relativistic electron beam in USA

    International Nuclear Information System (INIS)

    Pavlov, A.V.

    1996-01-01

    Technological aspects of creating in the USA the accelerating weapon (AW) on the intensive electron beams is discussed. The analysis of the works process on the accelerating topics with priority studies on creating the means for destruction of intercontinental ballistic missiles at 500 km distance is given. Projects on creating perspective board electron high-gradient purposeful accelerators are elucidated and data on the accomplished cosmic experiments with electron beams in the USA are presented

  18. Beam-Beam effects at the CMS BRIL van-der-Meer scans

    CERN Document Server

    CMS Collaboration

    2017-01-01

    The CMS Beam Radiation Instrumentation and Luminosity Project (BRIL) is devoted to the simulation and measurement of luminosity, beam conditions and radiation fields in the CMS Experiment at CERN. The project is engaged in operating and developing new detectors, compatible with the high luminosity experimental environments at the LHC. BRIL operates several detectors based on different physical principles and technologies. The detectors are calibrated using van-der-Meer scans to measure the luminosity that is a fundamental quantity of the LHC beam. In van-der-Meer scans the count rate in a detector is measured as a function of the distance between beams in the plane perpendicular to beam direction, to extract the underlying beam overlap area. The goal of the van-der-Meer scans is to obtain the calibration constant for each luminometer to be used at calibration then in physics data taking runs. The note presents the overview of beam-beam effects at the van-der-Meer scan and the corresponding corrections that sh...

  19. Formulation and development of a methodology for selecting desulfurization processes, applicable to diluted sulfurous emissions from copper. Preparation of the engineering for a draft project using electron beam process, selected with this methodology

    International Nuclear Information System (INIS)

    Aros M, Patricia.

    1997-01-01

    A comparative study of clean desulfurization technologies was prepared. Sulfur abatement processes from S O 2 gas streams were analyzed in 21 processes grouped into 8 different types. Since there are a large number of potentially applicable processes, this thesis presents a process selection methodology based on a technical/economic analysis series, which produces a ranking by scores. Visual Basic 3.0 software was used to develop the program, which can be installed in any computer and uses Windows 95. Based on these results in Chilean Nuclear Energy Commission decided to present a draft project for electron beam technology. The full design and calculation for the humidifying and cooling tower was prepared together with the design of the remaining equipment for size, in order to estimate probable costs. The pre-feasibility evaluation determined that the process would generate profits, when the selling price of ammonium sulfate - which is a byproduct of the process that is used as fertilizer - is above US$ 110/ton. The process cost is heavily influenced by the capital cost of storage facilities, since a long term supply for ammonia reagent is needed. This product is imported in Chile and it is currently an expensive reagent. (author). 33 app., 7 tabs

  20. Targets for high power neutral beams

    International Nuclear Information System (INIS)

    Kim, J.

    1980-01-01

    Stopping high-power, long-pulse beams is fast becoming an engineering challenge, particularly in neutral beam injectors for heating magnetically confined plasmas. A brief review of neutral beam target technology is presented along with heat transfer calculations for some selected target designs

  1. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  2. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting-Selection Guidelines.

    Science.gov (United States)

    Gokuldoss, Prashanth Konda; Kolla, Sri; Eckert, Jürgen

    2017-06-19

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties.

  3. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting—Selection Guidelines

    Science.gov (United States)

    Konda Gokuldoss, Prashanth; Kolla, Sri; Eckert, Jürgen

    2017-01-01

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties. PMID:28773031

  4. Pulsed power particle beam fusion research

    International Nuclear Information System (INIS)

    Yonas, G.

    1979-01-01

    Although substantial progress has been made in the last few years in developing the technology of intense particle beam drivers, there are still several unanswered questions which will determine their ultimate feasibility as fusion ignition systems. The questions of efficiency, cost, and single pulse scalability appear to have been answered affirmatively but repetitive pulse technology is still in its infancy. The allowable relatively low pellet gains and high available beam energies should greatly ease questions of pellet implosion physics. Insofar as beam-target coupling is concerned, ion deposition is thought to be understood and our measurements of enhanced electron deposition agree with theory. With the development of plasma discharges for intense beam transport and concentration it appears that light ion beams will be the preferred approach for reactors

  5. Experiences from operation of Pomorzany EBFGT plant and directions of technology development

    International Nuclear Information System (INIS)

    Paweleca, A.; Chmielewskia, A.G.; Tyminskia, B.; Zimek, Z.; Licki, J.; Mazurekc, L.; Sobolewskic, R.; Kostrzewskic, J.

    2011-01-01

    Electron beam flue gas treatment technology is one of the most advanced technologies among new generation air pollution control processes. It is the only one process for simultaneous removal of SO 2 and NO x , applied in the industrial scale. Moreover other pollutants as acidic compounds, VOC and dioxins can be removed in one step. Among the other advantages a fully usable by-product – a fertilizer is created in the process. The industrial demonstrational plant was constructed in EPS Pomorzany in Szczecin (Poland). The facility treats the flue gases of maximum flow of 270.000 Nm 3 /h, which are irradiated by four accelerators of 700 keV electron energy and 260 kW beam power each. The removal efficiency of SO 2 in this installation may reach 95%, while removal efficiency of NO x – 70%. Apart of technical analysis also economical calculations of investment and operational costs of EBFGT installations, based on the data obtained on the Polish installation, was performed. The results show that in the case of multi-pollutant control the electron beam technology is strongly competitive to conventional technologies. Description of the experiences obtained during the operation of the plant and further possibilities of the technology development are presented in this paper. (author)

  6. Experiences from operation of Pomorzany EBFGT plant and directions of technology development

    Energy Technology Data Exchange (ETDEWEB)

    Paweleca, A.; Chmielewskia, A. G.; Tyminskia, B.; Zimek, Z. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland); Licki, J. [Institute of Atomic Energy, Otwock-Świerk (Poland); Mazurekc, L.; Sobolewskic, R.; Kostrzewskic, J. [Dolna Odra Group, Pomorzany Power Plant, Szczecin (Poland)

    2011-07-01

    Electron beam flue gas treatment technology is one of the most advanced technologies among new generation air pollution control processes. It is the only one process for simultaneous removal of SO{sub 2} and NO{sub x}, applied in the industrial scale. Moreover other pollutants as acidic compounds, VOC and dioxins can be removed in one step. Among the other advantages a fully usable by-product – a fertilizer is created in the process. The industrial demonstrational plant was constructed in EPS Pomorzany in Szczecin (Poland). The facility treats the flue gases of maximum flow of 270.000 Nm{sup 3}/h, which are irradiated by four accelerators of 700 keV electron energy and 260 kW beam power each. The removal efficiency of SO{sub 2} in this installation may reach 95%, while removal efficiency of NO{sub x} – 70%. Apart of technical analysis also economical calculations of investment and operational costs of EBFGT installations, based on the data obtained on the Polish installation, was performed. The results show that in the case of multi-pollutant control the electron beam technology is strongly competitive to conventional technologies. Description of the experiences obtained during the operation of the plant and further possibilities of the technology development are presented in this paper. (author)

  7. Dosimetry study for electron beam irradiation in radiation processing

    International Nuclear Information System (INIS)

    Sunaga, Hiromi; Haruyama, Yasuyuki; Takizawa, Haruki; Kojima, Takuji; Yotsumoto, Keiichi

    1995-01-01

    For certain critical applications such as medical device sterilization and food irradiation, accurate calibration of electron energy and absorbed dose is required to assure the quality of irradiated products. To meet this requirement, TRCRE, JAERI has carried out research and development on high dose radiation dosimetry for electron beams in the energy range used in radiation processing (0.15 - 3.0 MeV). JAERI has developed a simultaneous electron beam energy and dosimeter calibration system that consist of a total absorption calorimeter, an electron current density meter, and a stacked thin-film dosimeter set. For low energy electrons, where it is important to measure the depth-dose profile in materials with high depth resolution, we studied the feasibility of a method using Gafchromic film dosimeters. This film, which has an 8-μm thick sensitive layer, is combined with a stepped array of absorber films of the same thickness to produce a high-resolution depth-dose profile on the Gafchromic film. The depth-dose profile obtained in this manner has about five times greater resolution than conventional radiochromic film dosimetry. (author)

  8. Technological innovation: a structrational process view

    NARCIS (Netherlands)

    Fehse, K.I.A.; Wognum, P.M.

    1999-01-01

    The central aim of our research is to describe and explain how the introduction of a computer-based technology, which supports co-operative work in engineering departments, induces change processes. The employment of computer-based technologies in product development organisations to support

  9. Advantages of fibre lasers in 3D metal cutting and welding applications supported by a 'beam in motion (BIM)' beam delivery system

    Science.gov (United States)

    Scheller, Torsten; Bastick, André; Griebel, Martin

    2012-03-01

    Modern laser technology is continuously opening up new fields of applications. Driven by the development of increasingly efficient laser sources, the new technology is successfully entering classical applications such as 3D cutting and welding of metals. Especially in light weight applications in the automotive industry laser manufacturing is key. Only by this technology the reduction of welding widths could be realised as well as the efficient machining of aluminium and the abrasion free machining of hardened steel. The paper compares the operation of different laser types in metal machining regarding wavelength, laser power, laser brilliance, process speed and welding depth to give an estimation for best use of single mode or multi mode lasers in this field of application. The experimental results will be presented by samples of applied parts. In addition a correlation between the process and the achieved mechanical properties will be made. For this application JENOPTIK Automatisierungstechnik GmbH is using the BIM beam control system in its machines, which is the first one to realize a fully integrated combination of beam control and robot. The wide performance and wavelength range of the laser radiation which can be transmitted opens up diverse possibilities of application and makes BIM a universal tool.

  10. Prospects of utilization of electron beam irradiation technology to augment control of SO2 and other emissions from Chilean copper smelting plants

    International Nuclear Information System (INIS)

    Villanueva, L.; Ahumada, L.; Ellison, W.; Chmielewski, A.G.; Zimek, Z.

    1998-01-01

    Analysis of potential utilization of applicable SO 2 -removal process for reduced-SO 2 -strength off-gases, including electron-beam irradiation, for incrementally improving overall abatement of SO 2 /SO 3 emissions from existing copper smelting facilities in Chile has been carried out. Off-gases are characterized by SO 2 content higher than 3,000 ppm, a complex chemical composition and highly oxidizing conditions, along with cyclical and fluctuating generation, reflecting relatively severe service. Laboratory tests with simulated high-SO 2 -strength process gas were performed at Polish Institute of Nuclear Chemistry and Technology. Test work proved the technical feasibility of removing SO 2 from ultra-high SO 2 content smelter gases by E-beam irradiation with ammonia injection. A laboratory unit with flow rate of 20 Nm 3 /h equipped with electron accelerator of 800 keV beam energy was used. Influence of different parameters on SO 2 removal efficiency, like temperature, dose, ammonia stoichiometry and water vapor content, has been established. Tests covered a high SO 2 content range, 2,000 to 10,000 ppm. A generic design has been deviced to address system arrangement, performance and control requirements. It encompasses upgrading of in-plant ventilation to the extent required by health standards, together with gas cleaning system based on EB Process. The arrangement of the facilities provides for gathering and treating off-gas from selected fugitive emission sources on a continuous basis, at the same time in-drafting a minimum amount of tramp air. An introductory dry dedusting stage uses activated coke injection in conjunction with a fabric filter to efficiently treat raw gas to remove heavy metals, including arsenic, along with particulate matter. Main conclusion of the two major stages of this work, i.e. experimental tests and engineering oriented studies, is that the EB Process is a simple and appropriate, cost-effective, chemical process that would, on a site

  11. Development of KSTAR Neutral Beam Heating System

    Energy Technology Data Exchange (ETDEWEB)

    Oh, B. H.; Song, W. S.; Yoon, B. J. (and others)

    2007-10-15

    The prototype components of a neutral beam injection (NBI) system have been developed for the KSTAR, and a capability of the manufactured components has been tested. High power ion source, acceleration power supply, other ion source power supplies, neutralizer, bending magnet for ion beam separation, calorimeter, and cryo-sorption pump have been developed by using the domestic technologies and tested for a neutral beam injection of 8 MW per beamline with a pulse duration of 300 seconds. The developed components have been continuously upgraded to achieve the design requirements. The development technology of high power and long pulse neutral beam injection system has been proved with the achievement of 5.2 MW output for a short pulse length and 1.6 MW output for a pulse length of 300 seconds. Using these development technologies, the domestic NB technology has been stabilized under the development of high power ion source, NB beamline components, high voltage and current power supplies, NB diagnostics, NB system operation and control.

  12. Particle beam source development

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Electron beam research directed toward providing improved in-diode pinched beam sources and establishing the efficiency and feasibility for superposition of many beams progressed in three major areas. Focusing stability has been improved from large effective aspect ratio (radius/gap of emitting surface) diodes. Substantial progress toward establishing the feasibility of combining beams guided along ionized current-carrying channels has been made. Two beams have been transported and overlayed on a target. Theoretical and experimental measurements on channel formation have resulted in specifications for the capacitor bank channel initiation system for a 12-beam combination experiment on Proto II. An additional area of beam research has been the development of a small pulsed X-ray source to yield high quality flash X-radiography of pellets. A source yielding approximately 100-μm resolution of objects has been demonstrated and work continues to improve the convenience and reliability of this source. The effort to extend the capability of higher power conventional pulse power generators to accelerate ions (rather than electrons), and assess the feasibility of this technology variation for target experiments and reactors has progressed. Progress toward development of a multistage accelerator for ions with pulse power technology centered on development of a new laboratory facility and design and procurement of hardware for a five-stage test apparatus for the Pulslac concept

  13. FY 1999 report on the results of the R and D of femtosecond technology. R and D of femtosecond technology; 1999 nendo femto byo technology no kenkyu kaihatsu seika hokokusho. Femto byo technology no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    This project aims at creating new industrial basement technology which supports the highly information-oriented society in the 21st century, conducts the R and D of technology to control the state of light and electron in the femtosecond time domain (10{sup -15} - 10{sup -12} sec), and establishes the basement technology which exceeds the speed limit of the conventional electronics technology and also includes new functionality. The themes are as follows: 1) R and D of ultra-short pulse optoelectronic technology; 2) R and D of ultra-short optical pulse applied measuring technology. In 1), in addition to the investigational study of the ultra-high speed light source technology, ultra-high speed modulation technology and ultra-high speed switching technology which are needed for the ultra-high speed optical information communication system and the construction, made were the survey and the evaluation of crystal growth technology/process technology of new semiconductor materials which make the fabrication of these high-tech ultra-high speed devices possible. In 2), investigationally studied were the technology for generation/control of ultra-short optical pulse and ultra-short electron beam pulse and the technology for stabilization, and the technology for generation of femtosecond high-intensity X-ray pulse by collision of optical pulse with electron beam pulse. (NEDO)

  14. Techniques for evaluation of E-beam evaporative processes

    International Nuclear Information System (INIS)

    Meier, T.C.; Nelson, C.M.

    1996-01-01

    High dynamic range video imaging of the molten pool surface has provided insight regarding process responses at the melt pool liquid-vapor interface. A water-cooled video camera provides continuous high resolution imaging of the pool surface from a low angle position within 20 cm of the liquid-vapor interface. From the vantage point, the e-beam footprint is clearly defined and melt pool free surface shape can be observed. Effects of changes in a beam footprint, power distribution, and sweep frequency on pool surface shape and stability of vaporization are immediately shown. Other events observed and recorded include: formation of the pool and dissipation of ''rafts'' on the pool surface during startup, behavior of feed material as it enters the pool, effects of feed configuration changes on mixing of feed entering the pool volume and behaviors of co-evaporated materials of different vapor pressures at the feed/pool boundary. When used in conjunction with laser vapor monitoring, correlation between pool surface phenomena and vaporizer performance has been identified. This video capability was used in verifying the titanium evaporation model results presented at this conference by confirming the calculated melt pool surface deformations caused by vapor pressure of the departing evaporant at the liquid-vapor interface

  15. Techniques for evaluation of E-beam evaporative processes

    Energy Technology Data Exchange (ETDEWEB)

    Meier, T.C.; Nelson, C.M.

    1996-10-01

    High dynamic range video imaging of the molten pool surface has provided insight regarding process responses at the melt pool liquid-vapor interface. A water-cooled video camera provides continuous high resolution imaging of the pool surface from a low angle position within 20 cm of the liquid-vapor interface. From the vantage point, the e-beam footprint is clearly defined and melt pool free surface shape can be observed. Effects of changes in a beam footprint, power distribution, and sweep frequency on pool surface shape and stability of vaporization are immediately shown. Other events observed and recorded include: formation of the pool and dissipation of ``rafts`` on the pool surface during startup, behavior of feed material as it enters the pool, effects of feed configuration changes on mixing of feed entering the pool volume and behaviors of co-evaporated materials of different vapor pressures at the feed/pool boundary. When used in conjunction with laser vapor monitoring, correlation between pool surface phenomena and vaporizer performance has been identified. This video capability was used in verifying the titanium evaporation model results presented at this conference by confirming the calculated melt pool surface deformations caused by vapor pressure of the departing evaporant at the liquid-vapor interface.

  16. Rapid Process to Generate Beam Envelopes for Optical System Analysis

    Science.gov (United States)

    Howard, Joseph; Seals, Lenward

    2012-01-01

    The task of evaluating obstructions in the optical throughput of an optical system requires the use of two disciplines, and hence, two models: optical models for the details of optical propagation, and mechanical models for determining the actual structure that exists in the optical system. Previous analysis methods for creating beam envelopes (or cones of light) for use in this obstruction analysis were found to be cumbersome to calculate and take significant time and resources to complete. A new process was developed that takes less time to complete beam envelope analysis, is more accurate and less dependent upon manual node tracking to create the beam envelopes, and eases the burden on the mechanical CAD (computer-aided design) designers to form the beam solids. This algorithm allows rapid generation of beam envelopes for optical system obstruction analysis. Ray trace information is taken from optical design software and used to generate CAD objects that represent the boundary of the beam envelopes for detailed analysis in mechanical CAD software. Matlab is used to call ray trace data from the optical model for all fields and entrance pupil points of interest. These are chosen to be the edge of each space, so that these rays produce the bounding volume for the beam. The x and y global coordinate data is collected on the surface planes of interest, typically an image of the field and entrance pupil internal of the optical system. This x and y coordinate data is then evaluated using a convex hull algorithm, which removes any internal points, which are unnecessary to produce the bounding volume of interest. At this point, tolerances can be applied to expand the size of either the field or aperture, depending on the allocations. Once this minimum set of coordinates on the pupil and field is obtained, a new set of rays is generated between the field plane and aperture plane (or vice-versa). These rays are then evaluated at planes between the aperture and field, at a

  17. Particle beam experiments for the analysis of reactive sputtering processes in metals and polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, Carles; Grosse-Kreul, Simon; Kreiter, Oliver; Arcos, Teresa de los; Benedikt, Jan; Keudell, Achim von [RD Plasmas with Complex Interactions, Ruhr-Universität Bochum, Universitätsstr. 150, 44780 Bochum (Germany)

    2013-10-15

    A beam experiment is presented to study heterogeneous reactions relevant to plasma-surface interactions in reactive sputtering applications. Atom and ion sources are focused onto the sample to expose it to quantified beams of oxygen, nitrogen, hydrogen, noble gas ions, and metal vapor. The heterogeneous surface processes are monitored in situ by means of a quartz crystal microbalance and Fourier transform infrared spectroscopy. Two examples illustrate the capabilities of the particle beam setup: oxidation and nitriding of aluminum as a model of target poisoning during reactive magnetron sputtering, and plasma pre-treatment of polymers (PET, PP)

  18. Planck 2015 results: VII. High Frequency Instrument data processing: Time-ordered information and beams

    International Nuclear Information System (INIS)

    Adam, R.; Ade, P. A. R.; Aghanim, N.; Arnaud, M.; Ashdown, M.

    2016-01-01

    The Planck High Frequency Instrument (HFI) has observed the full sky at six frequencies (100, 143, 217, 353, 545, and 857 GHz) in intensity and at four frequencies in linear polarization (100, 143, 217, and 353 GHz). In order to obtain sky maps, the time-ordered information (TOI) containing the detector and pointing samples must be processed and the angular response must be assessed. The full mission TOI is included in the Planck 2015 release. This study describes the HFI TOI and beam processing for the 2015 release. HFI calibration and map making are described in a companion paper. The main pipeline has been modified since the last release (2013 nominal mission in intensity only), by including a correction for the nonlinearity of the warm readout and by improving the model of the bolometer time response. The beam processing is an essential tool that derives the angular response used in all the Planck science papers and we report an improvement in the effective beam window function uncertainty of more than a factor of 10 relative to the2013 release. Noise correlations introduced by pipeline filtering function are assessed using dedicated simulations. Finally, angular cross-power spectra using data sets that are decorrelated in time are immune to the main systematic effects.

  19. Determination of the electron beam irradiated area

    International Nuclear Information System (INIS)

    Zarbout, K.; Kallel, A.; Moya, G.

    2005-01-01

    The investigation of the charge trapping properties of non-conductive materials open the way to an understanding of the degradation of their characteristics due to ageing and catastrophic phenomena, such as breakdown, which originate from the rapid relaxation of trapped charges. The defects, in particular those introduced during the fabrication process, are responsible for the charging phenomena which limit the technological performances and the reliability of these materials. Several characterisation techniques have been developed and among them the one which uses the electron beam of the scanning Electron Microscope (SEM). The study of the charge trapping properties in non-conductive solids by using the electron beam of a SEM requires the knowledge of the current beam and injected charges densities. These densities depend on the irradiated sample area. For this reason, we report in this work two experimental procedures allowing a direct determination of the irradiated area size by the incident defocused beam. The first is based on the charging effect of oxide surfaces (SiO2, MgO, AL2O3) and the second is derived from the electron beam lithography technique. The latter procedure constitutes a convenient experimental method

  20. The Competence Accumulation Process in the Technology Transference Strategy

    Directory of Open Access Journals (Sweden)

    André Silva de Souza

    2008-04-01

    Full Text Available The present article evaluates and measures the technological competence accumulation in an automation area enterprise to distribution centers, Knapp Sudamérica Logistic and Automation Ltd, in the interval of the technology transference process previous period (1998-2001 and during the technology transference process(2002-2005. Therefore, based on an individual case study, the study identified the technology transference strategy and mechanism accorded between the head office and the branch office, the technological functions and activities developed by the receiver and, at last, the critical factors present in this process. The echnological competences accumulation exam was accomplished based on an analytical structure existent in the literature that was adapted to the researched segment analysis. The obtained results showed that the planed, organized, controlled and continuous effort to generating and disseminating knowledge allowed the enterprise to speed up the accumulation process of technological competences promoting the converting of this process from individual level to the organizational one: besides, it also allowed the identification of barriers and facilitators involved in this process.