WorldWideScience

Sample records for beam etching replication

  1. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  2. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  3. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    International Nuclear Information System (INIS)

    Parvin, P.; Jaleh, B.; Zangeneh, H.R.; Zamanipour, Z.; Davoud-Abadi, Gh.R.

    2008-01-01

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler

  4. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Parvin, P. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of)], E-mail: parvin@aut.ac.ir; Jaleh, B. [Physics Department, Bu-Ali Sina University, Postal Code 65174, Hamedan (Iran, Islamic Republic of); Zangeneh, H.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Zamanipour, Z. [Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of); Davoud-Abadi, Gh.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of)

    2008-08-15

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler.

  5. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  6. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  7. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  8. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  9. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  10. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons

    NARCIS (Netherlands)

    Nanda, G.; Hlawacek, Gregor; Goswami, S.; Watanabe, Kenji; Taniguchi, Takashi; Alkemade, P.F.A.

    2017-01-01

    We report the etching of and electronic transport in nanoribbons of graphene sandwiched between atomically flat hexagonal boron nitride (h-BN). The etching of ribbons of varying width was achieved with a focused beam of 30 keV He+ ions. Using in-situ electrical measurements, we

  11. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  12. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  13. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  14. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  15. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Songkil; Henry, Mathias [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Fedorov, Andrei G., E-mail: agf@gatech.edu [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Parker H. Petit Institute for Bioengineering and Bioscience, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2015-12-07

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  16. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    International Nuclear Information System (INIS)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-01-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations

  17. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Science.gov (United States)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-12-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon "halo" deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  18. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  19. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  20. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  1. Radially polarized conical beam from an embedded etched fiber.

    Science.gov (United States)

    Kalaidji, Djamel; Spajer, Michel; Marthouret, Nadège; Grosjean, Thierry

    2009-06-15

    We propose a method for producing a conical beam based on the lateral refraction of the TM(01) mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid component usable for many applications has been obtained.

  2. Electrical, structural, and bonding changes induced in silicon by H, Ar, and Kr ion-beam etching

    International Nuclear Information System (INIS)

    Singh, R.; Fonash, S.J.; Ashok, S.; Caplan, P.; Shappirio, J.; Hage-Ali, M.; Ponpon, J.

    1983-01-01

    A study to elucidate the role of processing-induced changes in Si, subjected to ion-beam etching has been made. It is shown that these changes can be related to the primary ion beam used in ion-beam etching. Using ESR, trivalently bonded Si has been shown to be present. Fe and Cr have been found to be the main contaminants. An annealing study revealed that the damage can be annealed out at relatively high temperatures

  3. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  4. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  5. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  6. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  7. Etched beam splitters in InP/InGaAsP.

    Science.gov (United States)

    Norberg, Erik J; Parker, John S; Nicholes, Steven C; Kim, Byungchae; Krishnamachari, Uppiliappan; Coldren, Larry A

    2011-01-17

    An etched beam splitter (EBS) photonic coupler based on frustrated total internal reflection (FTIR) is designed, fabricated and characterized in the InP/InGaAsP material system. The EBS offers an ultra compact footprint (8x11 μm) and a complete range of bar/cross coupling ratio designs. A novel pre-etching process is developed to achieve sufficient depth of the etched coupling gaps. Fabricated EBS couplers demonstrate insertion loss between 1 and 2.6 dB with transmission (cross-coupling) ≤ 10%. The results show excellent agreement with 3D finite-difference time-domain (FDTD) modeling. The coupling of EBS has weak wavelength dependence in the C-band, making it suitable for wavelength division multiplexing (WDM) or other wide bandwidth applications. Finally, the EBS is integrated with active semiconductor optical amplifier (SOA) and phase-modulator components; using a flattened ring resonator structure, a channelizing filter tunable in both amplitude and center frequency is demonstrated, as well as an EBS coupled ring laser.

  8. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  9. Pulsed laser-assisted focused electron-beam-induced etching of titanium with XeF2: enhanced reaction rate and precursor transport.

    Science.gov (United States)

    Noh, J H; Fowlkes, J D; Timilsina, R; Stanford, M G; Lewis, B B; Rack, P D

    2015-02-25

    In order to enhance the etch rate of electron-beam-induced etching, we introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. The evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. The increased etch rate is attributed to photothermally enhanced Ti-F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.

  10. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  11. The controlled fabrication of nanopores by focused electron-beam-induced etching

    International Nuclear Information System (INIS)

    Yemini, M; Ashkenasy, N; Hadad, B; Goldner, A; Liebes, Y

    2009-01-01

    The fabrication of nanometric holes within thin silicon-based membranes is of great importance for various nanotechnology applications. The preparation of such holes with accurate control over their size and shape is, thus, gaining a lot of interest. In this work we demonstrate the use of a focused electron-beam-induced etching (FEBIE) process as a promising tool for the fabrication of such nanopores in silicon nitride membranes and study the process parameters. The reduction of silicon nitride by the electron beam followed by chemical etching of the residual elemental silicon results in a linear dependence of pore diameter on electron beam exposure time, enabling accurate control of nanopore size in the range of 17-200 nm in diameter. An optimal pressure of 5.3 x 10 -6 Torr for the production of smaller pores with faster process rates, as a result of mass transport effects, was found. The pore formation process is also shown to be dependent on the details of the pulsed process cycle, which control the rate of the pore extension, and its minimal and maximal size. Our results suggest that the FEBIE process may play a key role in the fabrication of nanopores for future devices both in sensing and nano-electronics applications.

  12. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  13. High aspect ratio micro tool manufacturing for polymer replication using mu EDM of silicon, selective etching and electroforming

    DEFF Research Database (Denmark)

    Tosello, Guido; Bissacco, Giuliano; Tang, Peter Torben

    2008-01-01

    Mass fabrication of polymer micro components with high aspect ratio micro-structures requires high performance micro tools allowing the use of low cost replication processes such as micro injection moulding. In this regard an innovative process chain, based on a combination of micro electrical di...... discharge machining (mu EDM) of a silicon substrate, electroforming and selective etching was used for the manufacturing of a micro tool. The micro tool was employed for polymer replication by means of the injection moulding process....

  14. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  15. Piezoelectric evaluation of ion beam etched Pb(Zr,Ti)O3 thin films by piezoresponse force microscopy

    International Nuclear Information System (INIS)

    Legrand, C.; Da Costa, A.; Desfeux, R.; Soyer, C.; Remiens, D.

    2007-01-01

    The evolution of piezoelectric properties of Pb(Zr,Ti)O 3 (PZT) thin films after ion beam etching have been investigated at the nanoscale level by piezoelectric force microscopy. A comparison of the piezoelectric properties on etched and unetched films is realized. Piezoelectric contrasts imaging evidences a modification of the domain architecture at the film surface. Local piezoelectric hysteresis loops measurements on grains indicate that the coercive voltage for switching is much higher for the etched films (2.3 V) compared to the unetched ones (1.0 V) while the average piezoelectric activity is slightly lower. The results are explained in terms of grain-damaging during etching and domain-wall pinning

  16. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  17. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  18. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  19. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  20. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  1. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  2. Direct fabrication of nano-gap electrodes by focused ion beam etching

    International Nuclear Information System (INIS)

    Nagase, Takashi; Gamo, Kenji; Kubota, Tohru; Mashiko, Shinro

    2006-01-01

    A simple approach to increase the reliability of nano-gap electrode fabrication techniques is presented. The method is based on maskless sputter etching of Au electrodes using a focused ion beam (FIB) and in-situ monitoring of the etching steps by measuring a current fed to the Au electrodes. The in-situ monitoring is crucial to form nano-gaps much narrower than a FIB spot size. By using this approach, gaps of ∼3-6 nm are fabricated with the high yield of ∼90%, and most of the fabricated nano-gap electrodes showed high resistances of 10 GΩ-1 TΩ. The controllability of the fabrication steps is significantly improved by using triple-layered films consisting of top Ti, Au, and bottom adhesion Ti layers. The applicability of the fabricated nano-gap electrodes to electron transport studies of nano-sized objects is demonstrated by electrical measurement of Au colloidal nano-particles

  3. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  4. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  5. Imaging the interphase of carbon fiber composites using transmission electron microscopy: Preparations by focused ion beam, ion beam etching, and ultramicrotomy

    Directory of Open Access Journals (Sweden)

    Wu Qing

    2015-10-01

    Full Text Available Three sample preparation techniques, focused ion beam (FIB, ion beam (IB etching, and ultramicrotomy (UM were used in comparison to analyze the interphase of carbon fiber/epoxy composites using transmission electron microscopy. An intact interphase with a relatively uniform thickness was obtained by FIB, and detailed chemical analysis of the interphase was investigated by electron energy loss spectroscopy. It shows that the interphase region is 200 nm wide with an increasing oxygen-to-carbon ratio from 10% to 19% and an almost constant nitrogen-to-carbon ratio of about 3%. However, gallium implantation of FIB tends to hinder fine structure analysis of the interphase. For IB etching, the interphase region is observed with transition morphology from amorphous resin to nano-crystalline carbon fiber, but the uneven sample thickness brings difficulty for quantitative chemical analysis. Moreover, UM tends to cause damage and/or deformation on the interphase. These results are meaningful for in-depth understanding on the interphase characteristic of carbon fiber composites.

  6. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  7. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  8. Etching behavior of poly (vinylidene fluoride) thin films irradiated with ion beams. Effect of irradiated ions and pretreatment

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Rohani, Rosiah; Koshikawa, Hiroshi; Takahashi, Shuichi; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari; Voss, Kay-Obbe; Neumann, Reinhard

    2008-01-01

    Poly (vinylidene fluoride) thin films irradiated with four kinds of ion beams were exposed to a 9M KOH aqueous solution after their storage in air for 30 or 90 days at different temperatures. According to the conductometry, the heating at 120degC was found to enhance the etch rate in the latent track without changing that in the bulk, thereby enabling us to obtain very high etching sensitivity for the preparation of nano-sized through-pores. The formation of hydroperoxides during this pretreatment should facilitate the introduction of the etching agent to improve etchability. Additionally, the irradiation of higher-LET ions, causing each track to contain more activated sites (like radicals), was preferable to achieve high sensitivity of the etching. (author)

  9. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  10. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  11. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  12. Development of Functional Surfaces on High-Density Polyethylene (HDPE) via Gas-Assisted Etching (GAE) Using Focused Ion Beams.

    Science.gov (United States)

    Sezen, Meltem; Bakan, Feray

    2015-12-01

    Irradiation damage, caused by the use of beams in electron and ion microscopes, leads to undesired physical/chemical material property changes or uncontrollable modification of structures. Particularly, soft matter such as polymers or biological materials is highly susceptible and very much prone to react on electron/ion beam irradiation. Nevertheless, it is possible to turn degradation-dependent physical/chemical changes from negative to positive use when materials are intentionally exposed to beams. Especially, controllable surface modification allows tuning of surface properties for targeted purposes and thus provides the use of ultimate materials and their systems at the micro/nanoscale for creating functional surfaces. In this work, XeF2 and I2 gases were used in the focused ion beam scanning electron microscope instrument in combination with gallium ion etching of high-density polyethylene surfaces with different beam currents and accordingly different gas exposure times resulting at the same ion dose to optimize and develop new polymer surface properties and to create functional polymer surfaces. Alterations in the surface morphologies and surface chemistry due to gas-assisted etching-based nanostructuring with various processing parameters were tracked using high-resolution SEM imaging, complementary energy-dispersive spectroscopic analyses, and atomic force microscopic investigations.

  13. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  14. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  15. A flexible method for the preparation of thin film samples for in situ TEM characterization combining shadow-FIB milling and electron-beam-assisted etching

    Energy Technology Data Exchange (ETDEWEB)

    Liebig, J.P., E-mail: jan.p.liebig@fau.de [Department of Materials Science and Engineering, Institute I, Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), Martensstr. 5, 91058 Erlangen (Germany); Göken, M. [Department of Materials Science and Engineering, Institute I, Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), Martensstr. 5, 91058 Erlangen (Germany); Richter, G. [Max Planck Institute for Intelligent Systems, Heisenbergstr. 3, 70569 Stuttgart (Germany); Mačković, M.; Przybilla, T.; Spiecker, E. [Institute of Micro, and Nanostructure Research & Center for Nanoanalysis and Electron Microscopy (CENEM), Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), Cauerstr. 6, 91058 Erlangen (Germany); Pierron, O.N. [G. W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0405 (United States); Merle, B. [Department of Materials Science and Engineering, Institute I, Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), Martensstr. 5, 91058 Erlangen (Germany)

    2016-12-15

    A new method for the preparation of freestanding thin film samples for mechanical testing in transmission electron microscopes is presented. It is based on a combination of focused ion beam (FIB) milling and electron-beam-assisted etching with xenon difluoride (XeF{sub 2}) precursor gas. The use of the FIB allows for the target preparation of microstructural defects and enables well-defined sample geometries which can be easily adapted in order to meet the requirements of various testing setups. In contrast to existing FIB-based preparation approaches, the area of interest is never exposed to ion beam irradiation which preserves a pristine microstructure. The method can be applied to a wide range of thin film material systems compatible with XeF{sub 2} etching. Its feasibility is demonstrated for gold and alloyed copper thin films and its practical application is discussed. - Highlights: • A new method for the preparation of sub-micron tensile specimens from thin films is presented. • The method is based on the combination of focused ion beam milling and electron-beam-assisted xenon difluoride etching. • It enables the target preparation of individual microstructural defects. • The sample section is protected from ion beam damage by the use of a shadow milling geometry.

  16. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  17. Dosimetry and microdosimetry using LET spectrometer based on the track-etch detector: radiotherapy Bremsstrahlung beam, onboard aircraft radiation field

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2006-01-01

    The spectrometer of linear energy transfer (Let) based on the chemically etched poly-allyl-diglycol-carbonate (P.A.D.C.) track-etch detector was developed several years ago in our institute. This Let spectrometer enables determining Let of particles approximately from 10 to 700 keV/μm. From the Let spectra, dose characteristics can be calculated. The contribution presents the Let spectra and other dosimetric characteristics obtained onboard a commercial aircraft during more than 6 months long exposure and in the 18 MV radiotherapy Bremsstrahlung beam. (authors)

  18. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  19. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  20. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  1. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  2. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  3. Polarizing beam splitter of deep-etched triangular-groove fused-silica gratings.

    Science.gov (United States)

    Zheng, Jiangjun; Zhou, Changhe; Feng, Jijun; Wang, Bo

    2008-07-15

    We investigated the use of a deep-etched fused-silica grating with triangular-shaped grooves as a highly efficient polarizing beam splitter (PBS). A triangular-groove PBS grating is designed at a wavelength of 1550 nm to be used in optical communication. When it is illuminated in Littrow mounting, the transmitted TE- and TM-polarized waves are mainly diffracted in the minus-first and zeroth orders, respectively. The design condition is based on the average differences of the grating mode indices, which is verified by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient over the C+L band range for both TE and TM polarizations (>97.68%). It is shown that such a triangular-groove PBS grating can exhibit a higher diffraction efficiency, a larger extinction ratio, and less reflection loss than the binary-phase fused-silica PBS grating.

  4. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  5. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  6. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    Energy Technology Data Exchange (ETDEWEB)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu; Economou, Demetre J., E-mail: economou@uh.edu [Department of Chemical and Biomolecular Engineering, Plasma Processing Laboratory, University of Houston, Houston, Texas 77204 (United States)

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreased sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.

  7. Silicon-based photonic crystals fabricated using proton beam writing combined with electrochemical etching method.

    Science.gov (United States)

    Dang, Zhiya; Breese, Mark Bh; Recio-Sánchez, Gonzalo; Azimi, Sara; Song, Jiao; Liang, Haidong; Banas, Agnieszka; Torres-Costa, Vicente; Martín-Palma, Raúl José

    2012-07-23

    A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range.

  8. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  9. TEM/SEM investigation of microstructural changes within the white etching area under rolling contact fatigue and 3-D crack reconstruction by focused ion beam

    International Nuclear Information System (INIS)

    Grabulov, A.; Ziese, U.; Zandbergen, H.W.

    2007-01-01

    The white etching area (WEA) surrounding the cracks formed under high-cycle rolling contact fatigue was investigated by transmission electron microscopy (TEM) and Dual Beam (scanning electron microscopy (SEM)/focused ion beam). SEM revealed the initiation of cracks formed around artificially introduced Al 2 O 3 inclusions in the model steel (composition similar to SAE 52100). TEM investigations showed a microstructural difference between the WEA (formation of nanocrystalline ferrite) and the steel matrix (tempered martensitic structure). A three-dimensional image of the crack reconstructed from ∼400 Dual Beam cross-section images is reported

  10. Development of neutral beam source using electron beam excited plasma

    International Nuclear Information System (INIS)

    Hara, Yasuhiro; Hamagaki, Manabu; Mise, Takaya; Hara, Tamio

    2011-01-01

    A low-energy neutral beam (NB) source, which consists of an electron-beam-excited plasma (EBEP) source and two carbon electrodes, has been developed for damageless etching of ultra-large-scale integrated (ULSI) devices. It has been confirmed that the Ar ion beam energy was controlled by the acceleration voltage and the beam profile had good uniformity over the diameter of 80 mm. Dry etching of a Si wafer at the floating potential has been carried out by Ar NB. Si sputtering yield by an Ar NB clearly depends on the acceleration voltage. This result shows that the NB has been generated through the charge exchange reaction from the ion beam in the process chamber. (author)

  11. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  12. Analysis of the crystallographic signature of electron beam welds in Cu: implications for variations in etching characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Trimby, Patrick (Oxford Instruments Nordiska AB, Lidingoe (Sweden))

    2009-06-15

    The proposed design for the long term disposal of radioactive waste in Sweden involves the use of corrosion-resistant copper containers. The manufacture of these containers involves the welding of forged lids onto fabricated copper tubes; however, it has been reported (SKB report TR-02-07) that the grain sizes obtained in the lids and bottoms is much coarser than in the side walls (the tubes). The electro beam welding (EBW) of the lids onto the tubes also produces significant grain coarsening, as well as the growth of intermetallic phases at grain boundaries (SKB report TR-06-01). One of the fundamental questions regarding the suitability of these containers concerns the distribution and nature of corrosion at the lid-wall interface. Previous studies have focused on the possibility of grain boundary corrosion, and have concluded that the boundary corrosion is limited and is not likely to adversely affect the properties of the containers. However, differences in the corrosion/etching characteristics between the lid, the wall and the weld areas are observed. The cylinder wall shows reduced boundary etching compared to the weld area and the cylinder lid. This preliminary study investigates whether these differences can be explained by the crystallographic characteristics of the copper in these regions. A single sample, taken from an electron beam welded canister lid, was analysed using electron backscattered diffraction: a summary of the results from this study and some preliminary conclusions are presented in this report

  13. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  14. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  15. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  16. Rational Synthesis of Hollow Prussian Blue Analogue Through Coordination Replication and Controlled-Etching for Cs-Ion Removal.

    Science.gov (United States)

    Xu, Jun; Bu, Fan-Xing; Guo, Yi-Fei; Zhang, Wei; Hu, Ming; Jiang, Ji-Sen

    2018-05-01

    Radioactive cesium pollution have received considerable attention due to the increasing risks in development of the nuclear power plants in the world. Although various functional porous materials are utilized to adsorb Cs+ ions in water, Prussian blue analogues (PBAs) are an impressive class of candidates because of their super affinity of Cs+ ions. The adsorption ability of the PBAs strongly relate to the mesostructure and interstitial sites. To design a hollow PBA with large number of interstitial sites, the traditional hollowing methods are not suitable owing to the difficulty in processing the specific PBAs with large number of interstitial sites. In this work, we empolyed a rational strategy which was to form a "metal oxide"@"PBA" core-shell structure via coordination replication at first, then utilized a mild etching to remove the metal oxide core, led to hollow PBA finally. The obtained hollow PBAs were of high crystallinity and large number of interstitial sites, showing a super adsorption performance for Cs+ ions (221.6 mg/g) within a short period (10 min).

  17. Ion-beam enhanced etching for the 3D structuration of lithium niobate

    International Nuclear Information System (INIS)

    Gischkat, Thomas

    2010-01-01

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO 3 ).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO 3 with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO 3 . Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO 3 . This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [de

  18. Modification of bamboo surface by irradiation of ion beams

    International Nuclear Information System (INIS)

    Wada, M.; Nishigaito, S.; Flauta, R.; Kasuya, T.

    2003-01-01

    When beams of hydrogen ions, He + and Ar + were irradiated onto bamboo surface, gas release of hydrogen, water, carbon monoxide and carbon dioxide were enhanced. Time evolution of the gas emission showed two peaks corresponding to release of adsorbed gas from the surface by sputtering, and thermal desorption caused by the beam heating. The difference in etched depths between parenchyma lignin and vascular bundles was measured by bombarding bamboo surface with the ion beams in the direction parallel to the vascular bundles. For He + and Ar + , parenchyma lignin was etched more rapidly than vascular bundles, but the difference in etched depth decreased at a larger dose. In the case of hydrogen ion bombardment, vascular bundles were etched faster than parenchyma lignin and the difference in etched depth increased almost in proportion to the dose. The wettability of outer surface of bamboo was improved most effectively by irradiation of a hydrogen ion beam

  19. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  20. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  1. Fundamental Technical Elements of Freeze-fracture/Freeze-etch in Biological Electron Microscopy

    Science.gov (United States)

    Freeze-fracture/freeze-etch describes a process whereby specimens, typically biological or nanomaterial in nature, are frozen, fractured, and replicated to generate a carbon/platinum "cast" intended for examination by transmission electron microscopy. Specimens are subjected to u...

  2. Effects of beam, target and substrate potentials in ion beam processing

    International Nuclear Information System (INIS)

    Harper, J.M.E.

    1982-01-01

    Ion beam etching and deposition are normally carried out with beam, target and substrate potentials near ground potential. In this paper, the effects of intentional or unintentional changes in these potentials are described. Examples include beam neutralization, a single extraction grid, substrate bias, and target bias. Each example is described in terms of beam plasma parameters. (Auth.)

  3. Beam profile measurement with CR-39 track detector for low-energy ions

    CERN Document Server

    Sato, F; Tanaka, T; Iida, T; Yamauchi, T; Oda, K

    1999-01-01

    A CR-39 track detector was successfully used to measure the outline of thin low-energy ion beams. After the etching, the surface of the detector was examined with an observation system composed of a Normarski microscope, a CCD camera and a digital image processing computer. Beam images obtained with the system were in good agreement on the outline of the beam formed with a beam aperture. Also, the resolving power in the beam outline measurement was roughly explained from the consideration of the ion range and the etch-pit growth in the chemical etching for the CR-39 detector.

  4. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  5. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  6. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  7. Ion beam texturing

    Science.gov (United States)

    Hudson, W. R.

    1977-01-01

    A microscopic surface texture was created by sputter-etching a surface while simultaneously sputter-depositing a lower sputter yield material onto the surface. A xenon ion-beam source was used to perform the texturing process on samples as large as 3-cm diameter. Textured surfaces have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, stainless steel, Au, and Ag. A number of texturing parameters are studied including the variation of texture with ion-beam powder, surface temperature, and the rate of texture growth with sputter etching time.

  8. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  9. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  10. Oxygen etching mechanism in carbon-nitrogen (CNx) domelike nanostructures

    International Nuclear Information System (INIS)

    Acuna, J. J. S.; Figueroa, C. A.; Kleinke, M. U.; Alvarez, F.; Biggemann, D.

    2008-01-01

    We report a comprehensive study involving the ion beam oxygen etching purification mechanism of domelike carbon nanostructures containing nitrogen. The CN x nanodomes were prepared on Si substrate containing nanometric nickel islands catalyzed by ion beam sputtering of a carbon target and assisting the deposition by a second nitrogen ion gun. After preparation, the samples were irradiated in situ by a low energy ion beam oxygen source and its effects on the nanostructures were studied by x-ray photoelectron spectroscopy in an attached ultrahigh vacuum chamber, i.e., without atmospheric contamination. The influence of the etching process on the morphology of the samples and structures was studied by atomic force microscopy and field emission gun-secondary electron microscopy, respectively. Also, the nanodomes were observed by high resolution transmission electron microscopy. The oxygen atoms preferentially bond to carbon atoms by forming terminal carbonyl groups in the most reactive parts of the nanostructures. After the irradiation, the remaining nanostructures are grouped around two well-defined size distributions. Subsequent annealing eliminates volatile oxygen compounds retained at the surface. The oxygen ions mainly react with nitrogen atoms located in pyridinelike structures

  11. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  12. Differentiation of grain orientation with corrosive and colour etching on a granular bainitic steel.

    Science.gov (United States)

    Reisinger, S; Ressel, G; Eck, S; Marsoner, S

    2017-08-01

    This study presents a detailed verification of the etching methods with Nital and Klemm on a granular bainitic steel. It is shown that both methods allow the identification of the crystal orientation, whereas Klemm etching enables also a quantification of the apparent phases, as also retained austenite can be distinguished from the other bainitic microstructures. A combination of atom probe tomography with electron-back-scattered-diffraction showed that both etching methods emphasize the bainitic {100} crystal orientation. However, a cross-section produced by focused ion beam evidenced that Klemm etching leads to the formation of a topography of the different oriented bainitic crystals that directly affects the thickness and therefore the apparent colour of the deposited layer formed during etching. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  14. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  15. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  16. Femtosecond laser etching of dental enamel for bracket bonding.

    Science.gov (United States)

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  17. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  18. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  19. Dry fabrication of microdevices by the combination of focused ion beam and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Tittonen, I; Grigoras, K; Sainiemi, L; Franssila, S; Peltonen, A

    2010-01-01

    In this paper, we demonstrate silicon microdevice fabrication by a combination of focused ion beam (FIB) and cryogenic deep reactive ion etching (DRIE). Applying FIB treatment only to a thin surface layer enables very high writing speed compared with FIB milling. The use of DRIE then defines the micro- and nanodevices utilizing the FIB-modified silicon as a mask. We demonstrate the ability to create patterns on highly 3D structures, which is extremely challenging by other nanofabrication methods. The alignment of optically made and FIB-defined patterns is also demonstrated. We also show that complete microelectromechanical systems (MEMS) can be fabricated by this method by presenting a double-ended tuning fork resonator as an example. Extremely short process time is achieved as the full fabrication cycle from mask design to electrical measurements can be completed during one working day.

  20. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  1. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  2. Grafting of acrylic acid on etched latent tracks induced by swift heavy ions on polypropylene films

    International Nuclear Information System (INIS)

    Mazzei, R.; Fernandez, A.; Garcia Bermudez, G.; Torres, A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Tadey, D.

    2008-01-01

    In order to continue with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then, these were etched and grafted with acrylic acid (AA) monomers. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grating yield as a function of the fluence and etching time was obtained. In addition, the permeation of solutions, with different pH, through PP grafted foils was measured

  3. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  4. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  5. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  6. Fabrication of Ni stamp with high aspect ratio, two-leveled, cylindrical microstructures using dry etching and electroplating

    DEFF Research Database (Denmark)

    Petersen, Ritika Singh; Keller, Stephan Sylvest; Hansen, Ole

    2015-01-01

    obtained by defining a reservoir and a separating trench with different depths of 85 and 125 μm, respectively, in a single embossing step. The fabrication of the required two leveled stamp is done using a modified DEEMO (dry etching, electroplating and molding) process. Dry etching using the Bosch process...... and electroplating are optimized to obtain a stamp with smooth stamp surfaces and a positive sidewall profile. Using this stamp, hot embossing is performed successfully with excellent yield and high replication fidelity....

  7. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  8. Photonic jet etching: Justifying the shape of optical fiber tip

    Science.gov (United States)

    Abdurrochman, Andri; Zelgowski, Julien; Lecler, Sylvain; Mermet, Frédéric; Tumbelaka, Bernard; Fontaine, Joël

    2016-02-01

    Photonic jet (PJ) is a low diverging and highly concentrated beam in the shadow side of dielectric particle (cylinder or sphere). The concentration can be more than 200 times higher than the incidence wave. It is a non-resonance phenomenon in the near-field can propagate in a few wavelengths. Many potential applications have been proposed, including PJ etching. Hence, a guided-beam is considered increasing the PJ mobility control. While the others used a combination of classical optical fibers and spheres, we are concerned on a classical optical fiber with spherical tip to generate the PJ. This PJ driven waveguide has been realized using Gaussian mode beam inside the core. It has different variable parameters compared to classical PJ, which will be discussed in correlation with the etching demonstrations. The parameters dependency between the tip and PJ properties are complex; and theoretical aspect of this interaction will be exposed to justify the shape of our tip and optical fiber used in our demonstrations. Methods to achieve such a needed optical fiber tip will also be described. Finally the ability to generate PJ out of the shaped optical fiber will be experimentally demonstrated and the potential applications for material processing will be exposed.

  9. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  10. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  11. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  12. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  13. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    Science.gov (United States)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  14. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  15. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  16. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    Science.gov (United States)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  17. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  18. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  19. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  20. Spin-resolved magnetic studies of focused ion beam etched nano-sized magnetic structures

    International Nuclear Information System (INIS)

    Li Jian; Rau, Carl

    2005-01-01

    Scanning ion microscopy with polarization analysis (SIMPA) is used to study the spin-resolved surface magnetic structure of nano-sized magnetic systems. SIMPA is utilized for in situ topographic and spin-resolved magnetic domain imaging as well as for focused ion beam (FIB) etching of desired structures in magnetic or non-magnetic systems. Ultra-thin Co films are deposited on surfaces of Si(1 0 0) substrates, and ultra-thin, tri-layered, bct Fe(1 0 0)/Mn/bct Fe(1 0 0) wedged magnetic structures are deposited on fcc Pd(1 0 0) substrates. SIMPA experiments clearly show that ion-induced electrons emitted from magnetic surfaces exhibit non-zero electron spin polarization (ESP), whereas electrons emitted from non-magnetic surfaces such as Si and Pd exhibit zero ESP, which can be used to calibrate sputtering rates in situ. We report on new, spin-resolved magnetic microstructures, such as magnetic 'C' states and magnetic vortices, found at surfaces of FIB patterned magnetic elements. It is found that FIB milling has a negligible effect on surface magnetic domain and domain wall structures. It is demonstrated that SIMPA can evolve into an important and efficient tool to study magnetic domain, domain wall and other structures as well as to perform magnetic depth profiling of magnetic nano-systems to be used in ultra-high density magnetic recording and in magnetic sensors

  1. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  2. Radiation resistance of track etched membranes

    International Nuclear Information System (INIS)

    Buczkowski, M.; Sartowska, B.; Wawszczak, D.; Starosta, W.

    2001-01-01

    Track etched membranes (TEMs) obtained by irradiation of polymer films with heavy ions and subsequent etching of latent tracks can be applied in many fields and among others in biomedicine as well. It is important to know radiation resistance of TEMs because of wide use of radiation sterilization in the case of biomedical devices. Tensile properties of TEMs made of PET and PC films with the thickness of 10 μm after electron irradiation at different doses are known from literature. Nowadays TEMs are being manufactured from thicker (20 μm) PET and PC films as well as polyethylene naphthalate (PEN) films are proposed for TEMs. It seems to be important to get data about radiation resistance of new kinds of TEMs. Samples of polymer films made of PET and PEN with the thickness of 19-25 μm and TEMs made of such materials have been irradiated using 10 MeV electron beam with doses up to 990 kGy. Tensile properties and SEM photographs of the samples after irradiation are given in the paper

  3. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  4. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  5. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  6. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    In the present work, attempts have been made to investigate the modification in particle track etching response of polyallyl diglycol carbonate (PADC) due to impact of 2 MeV electrons. PADC samples pre-irradiated to 1, 10, 20, 40, 60, 80 and 100 Mrad doses of 2 MeV electrons were further exposed to 140 MeV 28Si beam ...

  7. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    Science.gov (United States)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  8. Microdosimetric Characteristics of the Clinical Proton Beams at the JINR Phasotron, Dubna

    CERN Document Server

    Vlcek, B; Spurny, F

    2002-01-01

    The contribution of the high LET particles to dosimetric and microdosimetric characteristics of 150 and 205 MeV clinical proton beams was experimentally studied using track etched detectors. Secondary heavy charged particles produced from nuclear interactions and degraded protons at the Bragg peak region are particles with high LET. The method of the LET spectra measurement with track etched detectors allows one to determine the contribution of high LET particles to dosimetric characteristics of clinical proton beams: absorbed dose, equivalent dose and the value of the Relative Biological Effectiveness (RBE). Track detectors were irradiated in the various depth of clinical proton beams with the primary energies of 150 and 205 MeV. The LET spectra between 10 and 700 keV/m were measured by means of CR-39 track etched detectors and the automatic optical image analyzer LUCIA-II. The relative contribution of the high LET particles to absorbed dose increases from several per cent at the beam entrance to several ten...

  9. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  10. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  11. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  12. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  13. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  14. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  15. Ridge optical waveguide in an Er3+/Yb3+ co-doped phosphate glass produced by He+ ion implantation combined with Ar+ ion beam etching

    International Nuclear Information System (INIS)

    Tan Yang; Chen Feng; Hu Lili; Xing Pengfei; Chen Yanxue; Wang Xuelin; Wang Keming

    2007-01-01

    This paper reports on the fabrication and characterization of a ridge optical waveguide in an Er 3+ /Yb 3+ co-doped phosphate glass. The He + ion implantation (at energy of 2.8 MeV) is first applied onto the sample to produce a planar waveguide substrate, and then Ar + ion beam etching (at energy of 500 eV) is carried out to construct rib stripes on the sample surface that has been deposited by a specially designed photoresist mask. According to a reconstructed refractive index profile of the waveguide cross section, the modal distribution of the waveguide is simulated by applying a computer code based on the beam propagation method, which shows reasonable agreement with the experimentally observed waveguide mode by using the end-face coupling method. Simulation of the incident He ions at 2.8 MeV penetrating into the Er 3+ /Yb 3+ co-doped phosphate glass substrate is also performed to provide helpful information on waveguide formation

  16. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  17. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  18. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  19. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  20. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  1. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  2. Chemical etching of GaAs with a novel low energy ion beam source: a low damage process for device fabrication

    International Nuclear Information System (INIS)

    Beckerman, J.; Jackman, R.B.

    1993-01-01

    If the advantages of physics (anisotropy) can be combined with the advantages of chemistry (damage-free perturbation of the lattice) then an excellent, near damage-free, etching reaction can result. In this context, the promise for ultra-low energy ( -1 . The source does, however, give rise to a coating, derived from the source liner, which must be washed from all etched samples. The presence of such a coating is likely to be the origin of the slow etch rate achieved. After removal of the coating, smooth, mirror-like etched surfaces are apparent. These surfaces perform very well when Schottky diodes are constructed from them showing no deviation from the behaviour of control samples. (author)

  3. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  4. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  5. Maskless proton beam writing in gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, P. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom) and Nano-Electronics Centre, Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom)]. E-mail: p.mistry@surrey.ac.uk; Gomez-Morilla, I. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Smith, R.C. [Nano-Electronics Centre, Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom); Thomson, D. [Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom); Grime, G.W. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Webb, R.P. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Gwilliam, R. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Jeynes, C. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Cansell, A. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Merchant, M. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Kirkby, K.J. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom)

    2007-07-15

    Proton beam writing (PBW) is a direct write technique that employs a focused MeV proton beam which is scanned in a pre-determined pattern over a target material which is subsequently electrochemically etched or chemically developed. By changing the energy of the protons the range of the protons can be changed. The ultimate depth of the structure is determined by the range of the protons in the material and this allows structures to be formed to different depths. PBW has been successfully employed on etchable glasses, polymers and semiconductor materials such as silicon (Si) and gallium arsenide (GaAs). This study reports on PBW in p-type GaAs and compares experimental results with computer simulations using the Atlas (copy right) semiconductor device package from SILVACO. It has already been proven that hole transport is required for the electrochemical etching of GaAs using Tiron (4,5-dihydroxy-m-benzenedisulfonic acid, di-sodium salt). PBW in GaAs results in carrier removal in the irradiated regions and consequently minimal hole transport (in these regions) during electrochemical etching. As a result the irradiated regions are significantly more etch resistant than the non-irradiated regions. This allows high aspect ratio structures to be formed.

  6. Maskless proton beam writing in gallium arsenide

    International Nuclear Information System (INIS)

    Mistry, P.; Gomez-Morilla, I.; Smith, R.C.; Thomson, D.; Grime, G.W.; Webb, R.P.; Gwilliam, R.; Jeynes, C.; Cansell, A.; Merchant, M.; Kirkby, K.J.

    2007-01-01

    Proton beam writing (PBW) is a direct write technique that employs a focused MeV proton beam which is scanned in a pre-determined pattern over a target material which is subsequently electrochemically etched or chemically developed. By changing the energy of the protons the range of the protons can be changed. The ultimate depth of the structure is determined by the range of the protons in the material and this allows structures to be formed to different depths. PBW has been successfully employed on etchable glasses, polymers and semiconductor materials such as silicon (Si) and gallium arsenide (GaAs). This study reports on PBW in p-type GaAs and compares experimental results with computer simulations using the Atlas (copy right) semiconductor device package from SILVACO. It has already been proven that hole transport is required for the electrochemical etching of GaAs using Tiron (4,5-dihydroxy-m-benzenedisulfonic acid, di-sodium salt). PBW in GaAs results in carrier removal in the irradiated regions and consequently minimal hole transport (in these regions) during electrochemical etching. As a result the irradiated regions are significantly more etch resistant than the non-irradiated regions. This allows high aspect ratio structures to be formed

  7. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  8. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  9. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  10. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  11. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  12. Three-port beam splitter of a binary fused-silica grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Wang, Bo; Zheng, Jiangjun; Jia, Wei; Cao, Hongchao; Lv, Peng

    2008-12-10

    A deep-etched polarization-independent binary fused-silica phase grating as a three-port beam splitter is designed and manufactured. The grating profile is optimized by use of the rigorous coupled-wave analysis around the 785 nm wavelength. The physical explanation of the grating is illustrated by the modal method. Simple analytical expressions of the diffraction efficiencies and modal guidelines for the three-port beam splitter grating design are given. Holographic recording technology and inductively coupled plasma etching are used to manufacture the fused-silica grating. Experimental results are in good agreement with the theoretical values.

  13. Ion-beam texturing of uniaxially textured Ni films

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2005-01-01

    The formation of biaxial texture in uniaxially textured Ni thin films via Ar-ion irradiation is reported. The ion-beam irradiation was not simultaneous with deposition. Instead, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux, which differs from conventional ion-beam-assisted deposition. The uniaxial texture is established via a nonion beam process, with the in-plane texture imposed on the uniaxial film via ion beam bombardment. Within this sequential ion beam texturing method, grain alignment is driven by selective etching and grain overgrowth

  14. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  15. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  16. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  17. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  18. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  19. Pattern transfer on large samples using a sub-aperture reactive ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Mill, Agnes; Gerlach, Juergen W.; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2011-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using CF{sub 4} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot as well as an accumulation of carbon (up to 40 atomic percent) in the beam periphery, respectively. The substitution of CF{sub 4} by NF{sub 3} as reactive gas reveals a lot of benefits: more stable ion beam conditions in combination with a reduction of the beam size down to a diameter of 5 mm and a reduced amount of the Ni, Fe and Cr contaminations. However, a layer formation of silicon nitride handicaps the chemical contribution of the etching process. These negative side effects influence the transfer of trench structures on quartz by changing the selectivity due to altered chemical reaction of the modified resist layer. Concerning this we investigate the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  20. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  1. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  2. Nanostructuring steel for injection molding tools

    DEFF Research Database (Denmark)

    Al-Azawi, A.; Smistrup, Kristian; Kristensen, Anders

    2014-01-01

    The production of nanostructured plastic items by injection molding with ridges down to 400 nm in width, which is the smallest line width replicated from nanostructured steel shims, is presented. Here we detail a micro-fabrication method where electron beam lithography, nano-imprint lithography...... and ion beam etching are combined to nanostructure the planar surface of a steel wafer. Injection molded plastic parts with enhanced surface properties, like anti-reflective, superhydrophobic and structural colors can be achieved by micro-and nanostructuring the surface of the steel molds. We investigate...... the minimum line width that can be realized by our fabrication method and the influence of etching angle on the structure profile during the ion beam etching process. Trenches down to 400 nm in width have been successfully fabricated into a 316 type electro-polished steel wafer. Afterward a plastic replica...

  3. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  4. Response of LET spectrometer based on track etching at some neutron sources

    International Nuclear Information System (INIS)

    Spurny, Frantisek; Brabcova, Katerina; Jadrnickova, Iva

    2008-01-01

    There is still need to develop upgrade, and test further methods able to characterise the external exposure to neutrons. This contribution presents further results obtained with the goal to enlarge and upgrade the possibility of neutron dosimetry and microdosimetry with a LET spectrometer based on the chemically etched track detectors (TED). As TED we have used several types of polyallyldiglycolcarbonates (PADC). The PADC detectors have been exposed in: high energy neutron beams at iThemba facility, Cape Town, South Africa, and in monoenergetic neutron beams at JRC Geel, Belgium. The studies have been performed in the frame of the ESA supported project DOBIES. (author)

  5. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  6. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  7. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  8. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  9. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  10. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  11. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  12. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  13. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  14. The Role of III-V Substrate Roughness and Deoxidation Induced by Digital Etch in Achieving Low Resistance Metal Contacts

    Directory of Open Access Journals (Sweden)

    Florent Ravaux

    2017-06-01

    Full Text Available To achieve low contact resistance between metal and III-V material, transmission-line-model (TLM structures of molybdenum (Mo were fabricated on indium phosphide (InP substrate on the top of an indium gallium arsenide (InGaAs layer grown by molecular beam epitaxy. The contact layer was prepared using a digital etch procedure before metal deposition. The contact resistivity was found to decrease significantly with the cleaning process. High Resolution Transmission & Scanning Electron Microscopy (HRTEM & HRSTEM investigations revealed that the surface roughness of treated samples was increased. Further analysis of the metal-semiconductor interface using Energy Electron Loss Spectroscopy (EELS showed that the amount of oxides (InxOy, GaxOy or AsxOy was significantly decreased for the etched samples. These results suggest that the low contact resistance obtained after digital etching is attributed to the combined effects of the induced surface roughness and oxides removal during the digital etch process.

  15. Wideband two-port beam splitter of a binary fused-silica phase grating.

    Science.gov (United States)

    Wang, Bo; Zhou, Changhe; Feng, Jijun; Ru, Huayi; Zheng, Jiangjun

    2008-08-01

    The usual beam splitter of multilayer-coated film with a wideband spectrum is not easy to achieve. We describe the realization of a wideband transmission two-port beam splitter based on a binary fused-silica phase grating. To achieve high efficiency and equality in the diffracted 0th and -1st orders, the grating profile parameters are optimized using rigorous coupled-wave analysis at a wavelength of 1550 nm. Holographic recording and the inductively coupled plasma dry etching technique are used to fabricate the fused-silica beam splitter grating. The measured efficiency of (45% x 2) = 90% diffracted into the both orders can be obtained with the fabricated grating under Littrow mounting. The physical mechanism of such a wideband two-port beam splitter grating can be well explained by the modal method based on two-beam interference of the modes excited by the incident wave. With the high damage threshold, low coefficient of thermal expansion, and wideband high efficiency, the presented beam splitter etched in fused silica should be a useful optical element for a variety of practical applications.

  16. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  17. Charge resolution of a Hungarian brand CR-39(MA-ND) detector exposed to a 84Kr beam of energy 0.45A GeV

    International Nuclear Information System (INIS)

    Bhattacharyya, D.P.; Basu, B.; Pal, P.; Mukherjee, S.C.; Ganguly, A.K.; Hunyady, I.

    1990-01-01

    The Hungarian brand CR-39(MA-ND) plastic has been irradiated with a 84 Kr ion beam of energy 0.45A GeV and etched for four different etching times, viz. 4, 6, 8 and 12 h. The estimated charge resolution of a CR-39(MA-ND) detector for registering the nuclei 32 ≤ Z ≤ 36 was found to be 0.18e which is close to our previous observation of the response with a CR-39(DOP) Pershore made plate exposed to a 1.88A GeV 56 Fe beam at the Lawrence Berkeley Laboratory's Bevalac. It was found that the estimated etch rate ratio V T /V G is independent of etching time. The cone length and minor axis of the etch pits has been found to increase with etching time. (orig.)

  18. Influence of the crystal-surface unevenness on the angular spread of an x-ray diffracted beam

    International Nuclear Information System (INIS)

    Hrda, JaromIra; Potlovskiy, Kirill; Hrdy, JaromIr; Slechtova, Venceslava

    2005-01-01

    One of the factors influencing the focus size in diffractive-refractive optics is the quality of diffracting surface. If the surface is uneven, as it is when the silicon crystal surface is only etched, then the diffraction at each point of the surface is a combination of an asymmetric and inclined diffraction (general asymmetric diffraction). This somewhat deviates and spreads the diffracted beam. The integration over the surface hit by an incident beam gives the angular spread of the diffracted beam. It is shown theoretically that in some cases (highly asymmetric, highly inclined cut) the etched surface may create the spread of the diffracted beam such that it causes a significant broadening of the focus. In this case a mechanical-chemical polishing is necessary. This has been verified by us earlier in a preliminary experiment with synchrotron radiation. In this work the new experiment with the same crystals is performed using double crystal (+, -) arrangement and a laboratory x-ray source (CuKα radiation). We compared two samples; one of them is mechanically-chemically (MC) polished and thus the diffracting surface is almost perfect; the other is only etched. This experiment allows a better comparison of the result with the theory. The difference between the measured rocking curve widths for the etched and MC polished crystals (10'') roughly agrees with theory (7''), which supports the correctness of the theoretical approach

  19. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  20. Analysis of Etched CdZnTe Substrates

    Science.gov (United States)

    Benson, J. D.; Bubulac, L. O.; Jaime-Vasquez, M.; Lennon, C. M.; Arias, J. M.; Smith, P. J.; Jacobs, R. N.; Markunas, J. K.; Almeida, L. A.; Stoltz, A.; Wijewarnasuriya, P. S.; Peterson, J.; Reddy, M.; Jones, K.; Johnson, S. M.; Lofgreen, D. D.

    2016-09-01

    State-of-the-art as-received (112)B CdZnTe substrates have been examined for surface impurity contamination and polishing residue. Two 4 cm × 4 cm and one 6 cm × 6 cm (112)B state-of-the-art as-received CdZnTe wafers were analyzed. A maximum surface impurity concentration of Al = 1.7 × 1015 atoms cm-2, Si = 3.7 × 1013 atoms cm-2, Cl = 3.12 × 1015 atoms cm-2, S = 1.7 × 1014 atoms cm-2, P = 1.1 × 1014 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 1.2 × 1014 atoms cm-2, and Cu = 4 × 1012 atoms cm-2 was observed on the as-received CdZnTe wafers. CdZnTe particulates and residual SiO2 polishing grit were observed on the surface of the as-received (112)B CdZnTe substrates. The polishing grit/CdZnTe particulate density on CdZnTe wafers was observed to vary across a 6 cm × 6 cm wafer from ˜4 × 107 cm-2 to 2.5 × 108 cm-2. The surface impurity and damage layer of the (112)B CdZnTe wafers dictate that a molecular beam epitaxy (MBE) preparation etch is required. The contamination for one 4 cm × 4 cm and one 6 cm × 6 cm CdZnTe wafer after a standard MBE Br:methanol preparation etch procedure was also analyzed. A maximum surface impurity concentration of Al = 2.4 × 1015 atoms cm-2, Si = 4.0 × 1013 atoms cm-2, Cl = 7.5 × 1013 atoms cm-2, S = 4.4 × 1013 atoms cm-2, P = 9.8 × 1013 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 2.9 × 1014 atoms cm-2, and Cu = 5.2 × 1012 atoms cm-2 was observed on the MBE preparation-etched CdZnTe wafers. The MBE preparation-etched surface contamination consists of Cd(Zn)Te particles/flakes. No residual SiO2 polishing grit was observed on the (112)B surface.

  1. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  2. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  3. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  4. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  5. Josephson edge junctions on YBa2Cu3O7 thin films prepared with Br-ethanol etching

    International Nuclear Information System (INIS)

    Faley, M.I.; Poppe, U.; Daehne, U.; Goncharov, Yu.G.; Klein, N.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems in the preparation of the Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The commonly used ion beam etching has the disadvantages of the risk of contamination by redeposited material and structural damage to the surface of the edge. Vasquez et al and Gurvitch et al introduced a nonaqueous Br-ethanol etching for the preparation of clean surfaces of YBa 2 Cu 3 O 7 single crystals and thin films. We have developed a procedure of deep-UV-photolithography combined with nonaqueous Br-ethanol etching for the preparation of the Josephson edge-type junctions. Here we present the improvement of this method and report further results on the study of the electron transport properties of Josephson junctions with the edges of YBa 2 Cu 3 O 7 thin films produced by this technique. (orig.)

  6. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  7. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  8. Chemical Etching, AFM, Laser Damage Threshold, and Nonlinear Optical Studies of Potential Nonlinear Optical Crystal: Bis (L-Glutamine Potassium Nitrate

    Directory of Open Access Journals (Sweden)

    Redrothu Hanumantharao

    2013-01-01

    Full Text Available A novel semiorganic nonlinear optical crystal bis (L-glutamine potassium nitrate (BGPN grown by slow evaporation technique at ambient temperature. The grown crystal surface has been analyzed by chemical etching and atomic force microscopy (AFM studies. Amplitude parameters like area roughness, roughness average, valley height, valley depth, peak height, and peak valley height were measured successfully from AFM studies. Etching studies were carried out by various solvents like water, methanol and ethanol. The etching study indicates the occurrence of different types of etch pit patterns like striations and steplike pattern. The laser damage threshold energy has been measured by irradiating laser beam using a Q-switched Nd: YAG laser (1064 nm. Second harmonic generation (SHG studies have been performed by famous Kurtz powder technique with reference to standard potassium dihydrogen phosphate single crystals (KDP. It is found from this technique that SHG efficiency of BGPN is in comparison to that of standard KDP crystals.

  9. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  10. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  11. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  12. Influence of etching conditions on the efficiency and critical angle of plastic detector Makrofol-N

    International Nuclear Information System (INIS)

    Bhatia, R.K.; Singh, R.C.; Virk, H.S.

    1989-01-01

    The effect of concentration and temperature of the etching agent on Makrofol-N is studied using 54 129 Xe ion beam (7.5 MeV/n) at normal as well as oblique incidence. The critical angle for track revelation in Makrofol-N and the efficiency of track registration is estimated using standard formulation proposed by Somogyi and Hunyadi (1979). (author). 8 r efs

  13. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  14. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  15. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  16. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  17. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  18. Depth control of a silicon structure fabricated by 100q keV Ar ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: kawasegi@eng.u-toyama.ac.jp; Morita, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: nmorita@eng.u-toyama.ac.jp; Yamada, Shigeru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: syamada@eng.u-toyama.ac.jp; Takano, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: takano@eng.u-toyama.ac.jp; Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: ohyama@eng.u-toyama.ac.jp; Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kami, Kochi 782-8502 (Japan)]. E-mail: momota.sadao@kochi-tech.ac.jp; Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: junt@te.noda.tus.ac.jp; Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: iwao@te.noda.tus.ac.jp

    2007-01-15

    Ion beam lithography of a silicon surface using an Ar ion beam with an ion energy in the order of hundreds of keV is demonstrated in this study. A specially designed ion irradiation facility was employed that enabled generation and irradiation with a highly accelerated and highly charged Ar ion beam. An ion-beam-induced amorphous layer on a silicon substrate can be selectively etched in hydrofluoric acid, whereas, a non-irradiated area is scarcely etched and, consequently, a concave structure can be fabricated on the irradiated area. To control the depth of the structure, parameters for dependence of the depth on ion irradiation were investigated. As a result, the depth of irradiated area can be controlled by the ion energy that is adjusted by the acceleration voltage and the ion charge. In addition, the etch resistance of the irradiated area increases with an increase in ion energy due to the crystalline layer formed on the surface. Simulation results reveal that the depth is strongly related to the defect distribution induced by ion irradiation. These results indicate the potential use of this method for novel three-dimensional lithography.

  19. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  20. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  1. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  2. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  3. PolyNano M6.2.1 First generation process finger print – design, test and validation

    DEFF Research Database (Denmark)

    Calaon, Matteo; Hansen, Hans Nørgaard; Tosello, Guido

    2012-01-01

    The possibility of producing with high volume Lab‐on‐Chip (LoC) devices through injection moulding is presented. Preparation of master geometries was made by etching a Si wafer by e‐beam lithography. Subsequent nickel electroplating was employed to replicate the obtained geometries on the tool...

  4. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  5. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  6. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  7. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  8. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  9. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  10. Analysis of the degradation induced by focused ion Ga3+ beam for the realization of piezoelectric nanostructures

    International Nuclear Information System (INIS)

    Remiens, D.; Liang, R. H.; Soyer, C.; Deresmes, D.; Troadec, D.; Quignon, S.; Da Costa, A.; Desfeux, R.

    2010-01-01

    Piezoelectric nanostructures (islands of dimensions in the lateral size range 50-500 nm) have been fabricated by focused Ga 3+ ion beam (FIB) etching on PbZr 0.54 Ti 0.46 O 3 thin films obtained by magnetron sputtering. The degradation induced by the etching process is investigated through the evolution of electromechanical activity measured by means of local piezoelectric hysteresis loops produced by piezoresponse force microscopy. The analysis of surface potential is performed by kelvin force microscopy and the measurement of current-voltage curves is carried out by conducting atomic force microscopy. Two kinds of structures, namely one based on crystallized films and the other based on amorphous ones, were studied. In this latter case, the amorphous films are postannealed after etching to obtain crystallized structure. For the structures based on the crystallized and then etched films, no piezoelectric signal was registered that evidences a serious degradation of material induced by Ga 3+ ion implantation. For the structures based on the films etched in amorphous state and then crystallized, the piezoresponse signal was near to that of the reference films (crystallized and not etched) whatever were the ion dose and the island dimensions. Even for very small lateral size (50 nm), no size effect was observed. The island shapes fabricated by Ga 3+ FIB etching process (islands with less than 50 nm lateral size) show a limitation of FIB processing and electron beam lithography seems to be necessary.

  11. Ion-beam enhanced etching for the 3D structuration of lithium niobate; Ionenstrahlverstaerktes Aetzen fuer die 3D-Strukturierung von Lithiumniobat

    Energy Technology Data Exchange (ETDEWEB)

    Gischkat, Thomas

    2010-01-12

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO{sub 3}).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO{sub 3} with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO{sub 3}. Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO{sub 3}. This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [German] Die vorliegende Arbeit beschaeftigt sich mit der Ausnutzung des ionenstrahlverstaerkten Aetzens (IBEE: Ion Beam Enhanced Etching) fuer die 3D-Strukturierung von

  12. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  13. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  14. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  15. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  16. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  17. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  20. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  1. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  2. Focused-ion beam patterning of organolead trihalide perovskite for subwavelength grating nanophotonic applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-07-30

    The coherent amplified spontaneous emission and high photoluminescence quantum efficiency of organolead trihalide perovskite have led to research interest in this material for use in photonic devices. In this paper, the authors present a focused-ion beam patterning strategy for methylammonium lead tribromide (MAPbBr3) perovskite crystal for subwavelength grating nanophotonic applications. The essential parameters for milling, such as the number of scan passes, dwell time, ion dose, ion current, ion incident angle, and gas-assisted etching, were experimentally evaluated to determine the sputtering yield of the perovskite. Based on our patterning conditions, the authors observed that the sputtering yield ranged from 0.0302 to 0.0719 μm3/pC for the MAPbBr3 perovskite crystal. Using XeF2 for the focused-ion beam gas-assisted etching, the authors determined that the etching rate was reduced to between 0.40 and 0.97, depending on the ion dose, compared with milling with ions only. Using the optimized patterning parameters, the authors patterned binary and circular subwavelength grating reflectors on the MAPbBr3 perovskite crystal using the focused-ion beam technique. Based on the computed grating structure with around 97% reflectivity, all of the grating dimensions (period, duty cycle, and grating thickness) were patterned with nanoscale precision (>±3 nm), high contrast, and excellent uniformity. Our results provide a platform for utilizing the focused-ion beam technique for fast prototyping of photonic nanostructures or nanodevices on organolead trihalide perovskite.

  3. Enhancement of terahertz radiation in a Smith-Purcell backward-wave oscillator by an inverse wet-etched grating

    International Nuclear Information System (INIS)

    Kim, Jung-Il; Jeon, Seok-Gy; Kim, Geun-Ju; Kim, Jaehong

    2011-01-01

    A terahertz (THz) Smith-Purcell (SP) backward-wave oscillator with an inverse wet-etched grating based on silicon has been proposed to enhance radiation intensity. This grating strengthens the interactions between an electron beam and the evanescent wave due to the adjacent surface structure between gratings that improves the magnitude of the electric field up to 1.7 times compared to the conventional rectangular gratings. A two-dimensional particle-in-cell (PIC) simulation shows that the radiated power is increased up to 2.3 times higher at the radiated frequency of 0.66 THz for an electron-beam energy of 30 keV.

  4. Heavy ion beam micromachining on LiNbO3

    International Nuclear Information System (INIS)

    Nesprias, F.; Venturino, M.; Debray, M.E.; Davidson, J.; Davidson, M.; Kreiner, A.J.; Minsky, D.; Fischer, M.; Lamagna, A.

    2009-01-01

    In this work 3D micromachining of x-cut lithium niobate crystals was performed using the high energy heavy ion microbeam (HIM) at the Tandar Laboratory, Buenos Aires. The samples were machined using 35 Cl beams at 70 MeV bombarding energy combined with wet etching with hydrofluoric acid solutions at room temperature. As the ion beam penetrates the sample, it induces lattice damage increasing dramatically the local etching rate of the material. This technique was applied to the fabrication of 3D waveguides with long control electrodes. The resulting structures indicate that well defined contours with nearly vertical sidewalls can be made. The results also show that with fluences of only 5 x 10 12 ions/cm 2 , this technique is suitable for the fabrication of different shapes of LiNbO 3 control-waveguides that can be used in different optical devices and matched with the existing optical fibers.

  5. Generation of spirally polarized propagation-invariant beam using fiber microaxicon.

    Science.gov (United States)

    Philip, Geo M; Viswanathan, Nirmal K

    2011-10-01

    We present here a fiber microaxicon (MA)based method to generate spirally polarized propagation-invariant optical beam. MA chemically etched in the tip of a two-mode fiber efficiently converts the generic cylindrically polarized vortex fiber mode into a spirally polarized propagation-invariant (Bessel-type) beam via radial dependence of polarization rotation angle. The combined roles of helico-conical phase and nonparaxial propagation in the generation and characteristics of the output beam from the fiber MA are discussed. © 2011 Optical Society of America

  6. Determination by Small-angle X-ray Scattering of Pore Size Distribution in Nanoporous Track-etched Polycarbonate Membranes

    Science.gov (United States)

    Jonas, A. M.; Legras, R.; Ferain, E.

    1998-03-01

    Nanoporous track-etched membranes with narrow pore size distributions and average pore size diameters tunable from 100 to 1000 Åare produced by the chemical etching of latent tracks in polymer films after irradiation by a beam of accelerated heavy ions. Nanoporous membranes are used for highly demanding filtration purposes, or as templates to obtain metallic or polymeric nanowires (L. Piraux et al., Nucl. Instr. Meth. Phys. Res. 1997, B131, 357). Such applications call for developments in nanopore size characterization techniques. In this respect, we report on the characterization by small-angle X-ray scattering (SAXS) of nanopore size distribution (nPSD) in polycarbonate track-etched membranes. The obtention of nPSD requires inverting an ill-conditioned inhomogeneous equation. We present different numerical routes to overcome the amplification of experimental errors in the resulting solutions, including a regularization technique allowing to obtain the nPSD without a priori knowledge of its shape. The effect of deviations from cylindrical pore shape on the resulting distributions are analyzed. Finally, SAXS results are compared to results obtained by electron microscopy and conductometry.

  7. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  8. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  9. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  10. Silicon microfabricated beam expander

    Science.gov (United States)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-03-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  11. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  12. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  13. Automated replication of cone beam CT-guided treatments in the Pinnacle(3) treatment planning system for adaptive radiotherapy.

    Science.gov (United States)

    Hargrave, Catriona; Mason, Nicole; Guidi, Robyn; Miller, Julie-Anne; Becker, Jillian; Moores, Matthew; Mengersen, Kerrie; Poulsen, Michael; Harden, Fiona

    2016-03-01

    Time-consuming manual methods have been required to register cone-beam computed tomography (CBCT) images with plans in the Pinnacle(3) treatment planning system in order to replicate delivered treatments for adaptive radiotherapy. These methods rely on fiducial marker (FM) placement during CBCT acquisition or the image mid-point to localise the image isocentre. A quality assurance study was conducted to validate an automated CBCT-plan registration method utilising the Digital Imaging and Communications in Medicine (DICOM) Structure Set (RS) and Spatial Registration (RE) files created during online image-guided radiotherapy (IGRT). CBCTs of a phantom were acquired with FMs and predetermined setup errors using various online IGRT workflows. The CBCTs, DICOM RS and RE files were imported into Pinnacle(3) plans of the phantom and the resulting automated CBCT-plan registrations were compared to existing manual methods. A clinical protocol for the automated method was subsequently developed and tested retrospectively using CBCTs and plans for six bladder patients. The automated CBCT-plan registration method was successfully applied to thirty-four phantom CBCT images acquired with an online 0 mm action level workflow. Ten CBCTs acquired with other IGRT workflows required manual workarounds. This was addressed during the development and testing of the clinical protocol using twenty-eight patient CBCTs. The automated CBCT-plan registrations were instantaneous, replicating delivered treatments in Pinnacle(3) with errors of ±0.5 mm. These errors were comparable to mid-point-dependant manual registrations but superior to FM-dependant manual registrations. The automated CBCT-plan registration method quickly and reliably replicates delivered treatments in Pinnacle(3) for adaptive radiotherapy.

  14. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  15. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  16. Pilot production of track etch membranes (TEMS) using heavy ion beam scanner

    International Nuclear Information System (INIS)

    Nair, J.P.; Surendran, P.; Sparrow, Hillary; Ninawe, N.G.; Bhagwat, P.V.; Acharya, N.; Kulshreshta, V.; Rajesh Kumar; Vijay, Y.K.; Kurup, M.B.

    2005-01-01

    Various methods for making TEMs were conducted at Pelletron Accelerator Facility. The technique for production using ion beam scattering was also established. This is an effort to make TEMs on pilot basis at BARC- TIFR Pelletron Accelerator using Heavy Ion Beam Scanner till large rolling mechanism is implemented

  17. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  18. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  19. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  20. Developments in broad-beam, ion-source technology and applications

    International Nuclear Information System (INIS)

    Kaufman, H.R.; Harper, J.M.E.; Cuomo, J.J.

    1982-01-01

    Recent advances in broad-beam, ion-source technology are summarized, including low-energy ion optics, improved extraction grid fabrication, a compact ion-source design and a gridless ion-source design. Recent applications have emphasized concepts such as stress modification of vapor deposited films, very low energy ion beams to minimize the physical sputtering portion in reactive etching, and the use of multiple sources and targets to sputter deposit alloys and compounds. A comprehensive critical review by the same authors appears concurrently, describing in detail the developments in broad-beam, ion-source technology 1 and the applications of these sources. 2

  1. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  2. Generation of tunable chain of three-dimensional optical bottle beams via focused multi-ring hollow Gaussian beam.

    Science.gov (United States)

    Philip, Geo M; Viswanathan, Nirmal K

    2010-11-01

    We report here the generation of a chain of three-dimensional (3-D) optical bottle beams by focusing a π-phase shifted multi-ring hollow Gaussian beam (HGB) using a lens with spherical aberration. The rings of the HGB of suitable radial (k(r)) and axial (k(z)) wave vectors are generated using a double-negative axicon chemically etched in the optical fiber tips. Moving the lens position with respect to the fiber tip results in variation of the semi-angle of the cones of wave vectors of the HGBs and their diameter, using which we demonstrate tunability in the size and the periodicity of the 3-D optical bottle beams over a wide range, from micrometers to millimeters. The propagation characteristics of the beams resulting from focusing of single- and multi-ring HGBs and resulting in a quasi-non-diffracting beam and a chain of 3-D optical bottle beams, respectively, are simulated using only the input beam parameters and are found to agree well with experimental results.

  3. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  4. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  5. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  6. Beam homogeneity and dependence of a Thomson ionic microetching machine on the variation in the working parameters

    International Nuclear Information System (INIS)

    Pere, J.F.

    1974-01-01

    The specific use of ion beam machining in selective etching of superposed thin films requires a rigourous control of the process. This control entails the two following requirements: a perfect etching uniformity on a large duty surface, an excellent reproducibility in etching velocities. Such performance can be obtained only from a systematic optimization study for the various discharge and neutralization parameters. Results obtained give information on the role and criticality of each of them, the mutual influences appearing at use, as well as improvements to be done [fr

  7. Orientation-dependent ion beam sputtering at normal incidence conditions in FeSiAl alloy

    International Nuclear Information System (INIS)

    Batic, Barbara Setina; Jenko, Monika

    2010-01-01

    The authors have performed Ar+ broad ion beam sputtering of a polycrystalline Fe-Si-Al alloy at normal incidence at energies varying from 6 to 10 keV. Sputtering results in the formation of etch pits, which can be classified in three shapes: triangular, rectangular, and square. As each grain of individual orientation exhibits a certain type of pattern, the etch pits were correlated with the crystal orientations by electron backscattered diffraction technique.

  8. Micro-patterns fabrication using focused proton beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Havranek, V. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Semian, V. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Calcagno, L. [Department of Physics, Catania University, V. S. Sofia 64, 95123 Catania (Italy)

    2016-03-15

    Proton beam writing technique was recently introduced at 3MV Tandetron accelerator at Nuclear Physics Institute in Rez (Czech Republic). It has been used, to produce three-dimensional (3D) micro-structures in poly(methylmethacrylate) by 2.0 MeV and 2.6 MeV protons micro-beam. Micro-channels (52 μm × 52 μm) have been realized. After chemical etching, the quality of the bottom and side walls of the produced structures in PMMA were analyzed using Scanning Transmission Ion Microscopy (STIM).

  9. Si Micro-turbine by Proton BeamWriting and Porous Silicon Micromachining

    International Nuclear Information System (INIS)

    Rajta, I.; Szilasi, S.Z.; Fekete, Z.

    2008-01-01

    Complete text of publication follows. A 3D Si micro-turbine characterized by high aspect ratio vertical walls was formed by the combination of proton beam writing (PBW) and subsequent selective porous Si (PS) etching. Crystal damages generated by the implanted protons result in increased resistivity, thereby limit or even prevent the current to flow through the implanted area during electrochemical etching. Characteristic feature of the proposed process is that the shape of the micro electromechanical (MEMS) components is defined by two implantation energies. A higher energy is applied for defining the housing of the device while the lower energy is used to write the moving components. The implantation energies were selected such as to result appropriate difference between the two projected ranges, thereby providing structures with different height after development. The thickness of the walls of the moving component and the isotropic etching profile of the electrochemical PS formation was also taken into consideration. The electrochemical etching is driven until the sacrificial PS layer completely under etches the moving components, but the etch-front does not reach the bottom of the housing. Therefore, the dissolution of PS results in a ready-to-operate device with a released moving component embedded in the cavity of the housing. The operation of the encapsulated device fabricated by the two-energy implantation is successfully demonstrated (Fig. 1). Rotation speed of the device is estimated in the range of thousands rpm, however, further analysis of the novel structure optimized for performance and MEMS compatible assembly will be done and precise characteristics will be determined by adequate optical read-out method. The feasibility of Proton Beam Writing combined with Porous Si Micromachining and conventional Si processing steps was successfully demonstrated by fabricating Si microturbine chip. The aligned, two-energy proton beam implantation can provide high

  10. Development and application of the electrochemical etching technique. Annual progress report

    Energy Technology Data Exchange (ETDEWEB)

    1980-08-01

    This annual progress report documents further advances in the development and application of electrochemical etching of polycarbonate foils (ECEPF) for fast, intermediate, and thermal neutron dosimetry as well as alpha particle dosimetry. The fast (> 1.1 MeV) and thermal neutron dosimetry techniques were applied to a thorough investigation of the neutron contamination inherent in and about the primary x-ray beam of several medical therapy electron accelerators. Because of the small size of ECEPF dosimeters in comparison to other neutron meters, they have an unusually low perturbation of the radiation field under measurement. Due to this small size and the increased sensitivity of the ECEPF dosimeter over current techniques of measuring neutrons in a high photon field, the fast neutron contamination in the primary x-ray beam of all the investigated accelerators was measured with precision and found to be greater than that suggested by the other, more common, neutron dosimetry methods.

  11. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1980-08-01

    This annual progress report documents further advances in the development and application of electrochemical etching of polycarbonate foils (ECEPF) for fast, intermediate, and thermal neutron dosimetry as well as alpha particle dosimetry. The fast (> 1.1 MeV) and thermal neutron dosimetry techniques were applied to a thorough investigation of the neutron contamination inherent in and about the primary x-ray beam of several medical therapy electron accelerators. Because of the small size of ECEPF dosimeters in comparison to other neutron meters, they have an unusually low perturbation of the radiation field under measurement. Due to this small size and the increased sensitivity of the ECEPF dosimeter over current techniques of measuring neutrons in a high photon field, the fast neutron contamination in the primary x-ray beam of all the investigated accelerators was measured with precision and found to be greater than that suggested by the other, more common, neutron dosimetry methods

  12. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  13. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  14. Silicon microfabricated beam expander

    International Nuclear Information System (INIS)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-01-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed

  15. Silicon microfabricated beam expander

    Energy Technology Data Exchange (ETDEWEB)

    Othman, A., E-mail: aliman@ppinang.uitm.edu.my; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A. [Faculty of Electrical Engineering, Universiti Teknologi MARA Malaysia, 40450, Shah Alam, Selangor (Malaysia); Ain, M. F. [School of Electrical and Electronic Engineering, Engineering Campus, Universiti Sains Malaysia, Seri Ampangan, 14300,Nibong Tebal, Pulau Pinang (Malaysia)

    2015-03-30

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  16. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  17. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  18. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  19. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  1. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  2. Three-dimensional patterning in polymer optical waveguides using focused ion beam milling

    Science.gov (United States)

    Kruse, Kevin; Burrell, Derek; Middlebrook, Christopher

    2016-07-01

    Waveguide (WG) photonic-bridge taper modules are designed for symmetric planar coupling between silicon WGs and single-mode fibers (SMFs) to minimize photonic chip and packaging footprint requirements with improving broadband functionality. Micromachined fabrication and evaluation of polymer WG tapers utilizing high-resolution focused ion beam (FIB) milling is performed and presented. Polymer etch rates utilizing the FIB and optimal methods for milling polymer tapers are identified for three-dimensional patterning. Polymer WG tapers with low sidewall roughness are manufactured utilizing FIB milling and optically tested for fabrication loss. FIB platforms utilize a focused beam of ions (Ga+) to etch submicron patterns into substrates. Fabricating low-loss polymer WG taper prototypes with the FIB before moving on to mass-production techniques provides theoretical understanding of the polymer taper and its feasibility for connectorization devices between silicon WGs and SMFs.

  3. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  4. Quantitative low-energy ion beam characterization by beam profiling and imaging via scintillation screens.

    Czech Academy of Sciences Publication Activity Database

    Germer, S.; Pietag, F.; Polák, Jaroslav; Arnold, T.

    2016-01-01

    Roč. 87, č. 11 (2016), č. článku 113301. ISSN 0034-6748. [Topical Conference on High-Temperature Plasma Diagnostics/21./. Madison, 05.06.2016-09.06.2016] Institutional support: RVO:61389021 Keywords : Current density * Etching * Faraday cups * Ion beam source s * Cameras Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 2.11 Other engineering and technologies Impact factor: 1.515, year: 2016 http://aip.scitation.org/doi/full/10.1063/1.4964701

  5. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  6. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  7. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    Energy Technology Data Exchange (ETDEWEB)

    Groetz, J.E.; Chambaudet, A. [Universite de Franche-Comte, Besancon (France). Lab. de Microanalyses Nucleaires; Lacourt, A. [Laboratoire d`Optique P.M. Duffieux, UMR 6603 CNRS, Universite de Franche-Comte, 16 route de Gray, 25030 Besancon Cedex (France)

    1998-08-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet`s principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.) 14 refs.

  8. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    International Nuclear Information System (INIS)

    Groetz, J.E.; Chambaudet, A.

    1998-01-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet's principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.)

  9. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  10. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  11. Vertically Free-Standing Ordered Pb(Zr0.52Ti0.48)O3 Nanocup Arrays by Template-Assisted Ion Beam Etching

    Science.gov (United States)

    Zhang, Xiaoyan; Tang, Dan; Huang, Kangrong; Hu, Die; Zhang, Fengyuan; Gao, Xingsen; Lu, Xubing; Zhou, Guofu; Zhang, Zhang; Liu, Junming

    2016-04-01

    In this report, vertically free-standing lead zirconate titanate Pb(Zr0.52Ti0.48)O3 (PZT) nanocup arrays with good ordering and high density (1.3 × 1010 cm-2) were demonstrated. By a template-assisted ion beam etching (IBE) strategy, the PZT formed in the pore-through anodic aluminum oxide (AAO) membrane on the Pt/Si substrate was with a cup-like nanostructure. The mean diameter and height of the PZT nanocups (NCs) was about 80 and 100 nm, respectively, and the wall thickness of NCs was about 20 nm with a hole depth of about 80 nm. Uppermost, the nanocup structure with low aspect ratio realized vertically free-standing arrays when losing the mechanical support from templates, avoiding the collapse or bundling when compared to the typical nanotube arrays. X-ray diffraction (XRD) and Raman spectrum revealed that the as-prepared PZT NCs were in a perovskite phase. By the vertical piezoresponse force microscopy (VPFM) measurements, the vertically free-standing ordered ferroelectric PZT NCs showed well-defined ring-like piezoresponse phase and hysteresis loops, which indicated that the high-density PZT nanocup arrays could have potential applications in ultra-high non-volatile ferroelectric memories (NV-FRAM) or other nanoelectronic devices.

  12. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  13. Monte Carlo simulations of secondary electron emission due to ion beam milling

    Energy Technology Data Exchange (ETDEWEB)

    Mahady, Kyle [Univ. of Tennessee, Knoxville, TN (United States); Tan, Shida [Intel Corp., Santa Clara, CA (United States); Greenzweig, Yuval [Intel Israel Ltd., Haifa (Israel); Livengood, Richard [Intel Corp., Santa Clara, CA (United States); Raveh, Amir [Intel Israel Ltd., Haifa (Israel); Fowlkes, Jason D. [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Rack, Philip [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-07-01

    We present a Monte Carlo simulation study of secondary electron emission resulting from focused ion beam milling of a copper target. The basis of this study is a simulation code which simulates ion induced excitation and emission of secondary electrons, in addition to simulating focused ion beam sputtering and milling. This combination of features permits the simulation of the interaction between secondary electron emission, and the evolving target geometry as the ion beam sputters material. Previous ion induced SE Monte Carlo simulation methods have been restricted to predefined target geometries, while the dynamic target in the presented simulations makes this study relevant to image formation in ion microscopy, and chemically assisted ion beam etching, where the relationship between sputtering, and its effects on secondary electron emission, is important. We focus on a copper target, and validate our simulation against experimental data for a range of: noble gas ions, ion energies, ion/substrate angles and the energy distribution of the secondary electrons. We then provide a detailed account of the emission of secondary electrons resulting from ion beam milling; we quantify both the evolution of the yield as high aspect ratio valleys are milled, as well as the emission of electrons within these valleys that do not escape the target, but which are important to the secondary electron contribution to chemically assisted ion induced etching.

  14. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  15. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  16. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  17. Selective Adsorption of Nano-bio materials and nanostructure fabrication on Molecular Resists Modified by proton beam irradiation

    International Nuclear Information System (INIS)

    Lee, H. W.; Kim, H. S.; Kim, S. M.

    2008-04-01

    three polystyrene nanospheres. Also, the silicon oxide patterns were fabricated by direct proton beam irradiation on silicon surface at the triangular void spaces. The sutrctures of silicon dots depend on the nanosphere packing and number of layers. Silicon oxide dot patterns with two different shapes were obtained by the monodispersed particles and double layer of polystyrene. Also, holey patterns with the same depth were obtained by etching of the oxide patterns with different height formed by AFM lithography. 2) Selective adsorption of nano-bio materials on patterns fabricated by proton beam irradiation. Proton beam was selectively irradiated on a silicon surface with the metal mask and polystene nanosphere array. The silicon oxide formed by proton beam irradiation has a different chemical property, that was confirm by chemical etching using hydrofluoric acid solution, from the native oxide. We make the hydrophobic surface by chemical etching the native oxide layer using hydrofluofric acid, but the silicon oxide patterns formed by proton beam have the hydrophilic properties. So, the silver ions and Fe-Mo particles in water solution were selectively adsorbed on hydrophilic surface. Also, we obtained selectively grown CNTs on Fe-Mo particles adsorbed patterns. 3) Characterization of adsorption properties between nano-bio materials and proton beam irradiated surface. We get the result that the silicon oxide formed by proton beam irradiation was not etched by hydrofluoric acid. Also, the proton beam irradiated silicon oxide have a higher hydrophilicity than the silicon surface which was removed the native oxide layer by chemical etching using hydrofluoric acid. There properties were applied to selectively adsorption of nano-bio materials on patterned surface. We suggest the new method for selectively adsorption of nanomaterials on silicon surface without complicate process of surface modification.

  18. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  19. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  20. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  1. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  2. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  3. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  4. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  5. Variable-spot ion beam figuring

    International Nuclear Information System (INIS)

    Wu, Lixiang; Qiu, Keqiang; Fu, Shaojun

    2016-01-01

    This paper introduces a new scheme of ion beam figuring (IBF), or rather variable-spot IBF, which is conducted at a constant scanning velocity with variable-spot ion beam collimated by a variable diaphragm. It aims at improving the reachability and adaptation of the figuring process within the limits of machine dynamics by varying the ion beam spot size instead of the scanning velocity. In contrast to the dwell time algorithm in the conventional IBF, the variable-spot IBF adopts a new algorithm, which consists of the scan path programming and the trajectory optimization using pattern search. In this algorithm, instead of the dwell time, a new concept, integral etching time, is proposed to interpret the process of variable-spot IBF. We conducted simulations to verify its feasibility and practicality. The simulation results indicate the variable-spot IBF is a promising alternative to the conventional approach.

  6. Use of reactive gases with broad-beam radio frequency ion sources for industrial applications

    International Nuclear Information System (INIS)

    Schneider, St.; Jolly, T.W.; Kohlstedt, H.; Waser, R.

    2004-01-01

    Broad-beam ion sources are used for a number of important industrial etching and deposition applications, and the use of inductively coupled plasmas has greatly increased the feasibility of using beams of reactive gases, especially of chlorine and oxygen, but also of CO, CO 2 , CF 4 , CHF 3 , SF 6 , etc. In order to gain more understanding of the factors that affect the composition of beams of these gases, we have used a Hiden energy-dispersive quadrupole mass spectrometer to analyze the flux of ions and energetic particles produced by an Oxford Instruments 15 cm rf ion source. For all of the above gases, we have analyzed the effects of changing the operating conditions on the composition of the ion beam, and the fractional production of multiply charged ions; on the plasma potential (and the consequential divergence of the ion beam) and on the spread in energy of the ion beam. We discuss how these factors influence the correct use of the ion source in etching applications with these gases. It is important that the design of the ion source should be optimized for the process gases that are used. The source was originally optimized for use on argon. We discuss the effect of the design on the source's performance with the different gases, and we consider whether design changes would be appropriate for optimum performance on different gases

  7. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  8. Fabrication of the polarization independent spectral beam combining grating

    Science.gov (United States)

    Liu, Quan; Jin, Yunxia; Wu, Jianhong; Guo, Peiliang

    2016-03-01

    Owing to damage, thermal issues, and nonlinear optical effects, the output power of fiber laser has been proven to be limited. Beam combining techniques are the attractive solutions to achieve high-power high-brightness fiber laser output. The spectral beam combining (SBC) is a promising method to achieve high average power output without influencing the beam quality. A polarization independent spectral beam combining grating is one of the key elements in the SBC. In this paper the diffraction efficiency of the grating is investigated by rigorous coupled-wave analysis (RCWA). The theoretical -1st order diffraction efficiency of the grating is more than 95% from 1010nm to 1080nm for both TE and TM polarizations. The fabrication tolerance is analyzed. The polarization independent spectral beam combining grating with the period of 1.04μm has been fabricated by holographic lithography - ion beam etching, which are within the fabrication tolerance.

  9. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  10. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  11. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  12. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  13. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  14. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  15. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  16. Nanostructuring steel for injection molding tools

    International Nuclear Information System (INIS)

    Al-Azawi, A; Smistrup, K; Kristensen, A

    2014-01-01

    The production of nanostructured plastic items by injection molding with ridges down to 400 nm in width, which is the smallest line width replicated from nanostructured steel shims, is presented. Here we detail a micro-fabrication method where electron beam lithography, nano-imprint lithography and ion beam etching are combined to nanostructure the planar surface of a steel wafer. Injection molded plastic parts with enhanced surface properties, like anti-reflective, superhydrophobic and structural colors can be achieved by micro- and nanostructuring the surface of the steel molds. We investigate the minimum line width that can be realized by our fabrication method and the influence of etching angle on the structure profile during the ion beam etching process. Trenches down to 400 nm in width have been successfully fabricated into a 316 type electro-polished steel wafer. Afterward a plastic replica has been produced by injection molding with good structure transfer fidelity. Thus we have demonstrated that by utilizing well-established fabrication techniques, nanostructured steel shims that are used in injection molding, a technique that allows low cost mass fabrication of plastic items, are produced. (paper)

  17. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  18. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  19. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  20. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  1. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  2. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  3. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  4. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  5. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  6. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  7. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  8. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  9. Redundant Sb condensation on GaSb epilayers grown by molecular beam epitaxy during cooling procedure

    International Nuclear Information System (INIS)

    Arpapay, B.; Şahin, S.; Arıkan, B.; Serincan, U.

    2014-01-01

    The effect of four different cooling receipts on the surface morphologies of unintentionally-doped GaSb epilayers on GaSb (100) substrates grown by molecular beam epitaxy is reported. Those receipts include three different Sb beam equivalent pressure (BEP) levels and two different termination temperatures. Surface morphologies of epilayers were examined by wet etching, surface profiler, atomic force microscopy, scanning electron microscopy and Raman spectroscopy. The results demonstrate that during the cooling period, a Sb BEP of 4.00 × 10 −4 Pa at a termination temperature of 400 °C induces a smooth surface without Sb condensation whereas same Sb BEP at a termination temperature of 350 °C forms a 300 nm thick Sb layer on the surface. In addition, it is revealed that by applying a wet etching procedure and using a surface profiler it is possible to identify this condensed layer from the two-sloped feature of mesa profile. - Highlights: • Sb beam flux termination temperature is crucial for redundant Sb condensation. • Sb beam flux level has a role on the thickness of redundant condensed Sb layer. • Redundant Sb layer thickness can be measured by two-sloped mesa structure

  10. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  11. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  12. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  13. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  14. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  15. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  17. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  18. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  19. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  20. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  1. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  2. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  3. Nanostructured plasma etched, magnetron sputtered nanolaminar Cr2AlC MAX phase thin films

    International Nuclear Information System (INIS)

    Grieseler, Rolf; Hähnlein, Bernd; Stubenrauch, Mike; Kups, Thomas; Wilke, Marcus; Hopfeld, Marcus; Pezoldt, Jörg; Schaaf, Peter

    2014-01-01

    The knowledge of the mechanical properties of new materials determines essentially their usability and functionality when used in micro- and nanostructures. MAX phases are new and highly interesting materials due to their unique combination of materials properties. In this article a new method for producing the Cr 2 AlC MAX phase is presented. Thin film elemental multilayer deposition and subsequent rapid thermal annealing forms the MAX phase within seconds. Additionally, free standing microstructures (beams and cantilevers) based on this MAX phase films are prepared by plasma etching. The mechanical properties of these MAX phase microstructures are investigated

  4. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  5. Nonpolar III-nitride vertical-cavity surface-emitting laser with a photoelectrochemically etched air-gap aperture

    Energy Technology Data Exchange (ETDEWEB)

    Leonard, J. T., E-mail: jtleona01@gmail.com; Yonkee, B. P.; Cohen, D. A.; Megalini, L.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Lee, S. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2016-01-18

    We demonstrate a III-nitride nonpolar vertical-cavity surface-emitting laser (VCSEL) with a photoelectrochemically (PEC) etched aperture. The PEC lateral undercut etch is used to selectively remove the multi-quantum well (MQW) region outside the aperture area, defined by an opaque metal mask. This PEC aperture (PECA) creates an air-gap in the passive area of the device, allowing one to achieve efficient electrical confinement within the aperture, while simultaneously achieving a large index contrast between core of the device (the MQW within the aperture) and the lateral cladding of the device (the air-gap formed by the PEC etch), leading to strong lateral confinement. Scanning electron microscopy and focused ion-beam analysis is used to investigate the precision of the PEC etch technique in defining the aperture. The fabricated single mode PECA VCSEL shows a threshold current density of ∼22 kA/cm{sup 2} (25 mA), with a peak output power of ∼180 μW, at an emission wavelength of 417 nm. The near-field emission profile shows a clearly defined single linearly polarized (LP) mode profile (LP{sub 12,1}), which is in contrast to the filamentary lasing that is often observed in III-nitride VCSELs. 2D mode profile simulations, carried out using COMSOL, give insight into the different mode profiles that one would expect to be displayed in such a device. The experimentally observed single mode operation is proposed to be predominantly a result of poor current spreading in the device. This non-uniform current spreading results in a higher injected current at the periphery of the aperture, which favors LP modes with high intensities near the edge of the aperture.

  6. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  7. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  8. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  9. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  10. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  11. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  12. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  13. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  14. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  15. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  16. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  17. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  18. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  19. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  20. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  1. Electron beam curable polymer thick film

    International Nuclear Information System (INIS)

    Nagata, Hidetoshi; Kobayashi, Takashi

    1988-01-01

    Currently, most printed circuit boards are produced by the selective etching of copper clads laminated on dielectric substrates such as paper/phenolic resion or nonwoven glass/epoxy resin composites. After the etchig, various components such as transistors and capacitors are mounted on the boards by soldering. But these are troublesome works, therefore, as an alternative, printing method has been investigated recently. In the printing method, conductor circuits and resistors can be made by printing and curing of the specially prepared paste on dielectric substrates. In the near future, also capacitors are made by same method. Usually, conductor paste, resistor paste and dielectric paste are employed, and in this case, the printing is screen printing, and the curing is done thermally. In order to avoid heating and the deterioration of substrates, attention was paid to electron beam curing, and electron beam curable polymer thick film system was developed. The electron beam curable paste is the milled mixture of a filler and an electron beam curable binder of oligomer/monomer. The major advantage of electron beam curable polymer thick film, the typical data of a printed resistor of this type and its trial are reported. (K.I.)

  2. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  3. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  4. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  5. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  6. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  7. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  8. Real-time depth monitoring and control of laser machining through scanning beam delivery system

    International Nuclear Information System (INIS)

    Ji, Yang; Grindal, Alexander W; Fraser, James M; Webster, Paul J L

    2015-01-01

    Scanning optics enable many laser applications in manufacturing because their low inertia allows rapid movement of the process beam across the sample. We describe our method of inline coherent imaging for real-time (up to 230 kHz) micron-scale (7–8 µm axial resolution) tracking and control of laser machining depth through a scanning galvo-telecentric beam delivery system. For 1 cm trench etching in stainless steel, we collect high speed intrapulse and interpulse morphology which is useful for further understanding underlying mechanisms or comparison with numerical models. We also collect overall sweep-to-sweep depth penetration which can be used for feedback depth control. For trench etching in silicon, we show the relationship of etch rate with average power and scan speed by computer processing of depth information without destructive sample post-processing. We also achieve three-dimensional infrared continuous wave (modulated) laser machining of a 3.96 × 3.96 × 0.5 mm 3 (length × width × maximum depth) pattern on steel with depth feedback. To the best of our knowledge, this is the first successful demonstration of direct real-time depth monitoring and control of laser machining with scanning optics. (paper)

  9. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  10. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  11. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  12. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  13. Immediate fabrication of flower-like graphene oxide by ion beam bombardment

    International Nuclear Information System (INIS)

    Cheng, Junjie; Zhang, Yuanyuan; Zhang, Guilong; Xiong, Shiquan; Pei, Renjun; Cai, Dongqing; Wu, Zhengyan

    2015-01-01

    Graphical abstract: - Highlights: • Ion beam bombardment (IBB) could modify the microstructure of graphene oxide (GO). • IBB could transform a compact multi-layered GO to a few-layered flower-like GO. • IBB could effectively improve the dispersion and the related properties of GO. • The main mechanism was proposed to be the etching and charge effects of IBB. - Abstract: An effective and convenient method using ion beam bombardment (IBB) for separating a multi-layered compact graphene oxide (GO) piece into several small few-layered loose pieces was developed, and it was found that those small GO pieces had formed a flower-like structure. Therein, the main mechanism was proposed to be the etching and charge effects of IBB. This work could provide a facile and promising approach for improving the dispersion and the related properties of GO. Furthermore, X-ray diffraction and Raman spectrum determinations demonstrated that, with the increasing fluence, IBB could effectively decrease the chemical groups in the layers of GO, resulting in the decrease of the layer distance.

  14. Immediate fabrication of flower-like graphene oxide by ion beam bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Junjie [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Division of Nanobiomedicine, Key Laboratory for Nano-Bio Interface Research, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Zhang, Yuanyuan; Zhang, Guilong [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); University of Science and Technology of China, Hefei 230026 (China); Xiong, Shiquan [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Pei, Renjun, E-mail: rjpei2011@sinano.ac.cn [Division of Nanobiomedicine, Key Laboratory for Nano-Bio Interface Research, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Cai, Dongqing, E-mail: dqcai@ipp.ac.cn [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Wu, Zhengyan, E-mail: zywu@ipp.ac.cn [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China)

    2015-12-01

    Graphical abstract: - Highlights: • Ion beam bombardment (IBB) could modify the microstructure of graphene oxide (GO). • IBB could transform a compact multi-layered GO to a few-layered flower-like GO. • IBB could effectively improve the dispersion and the related properties of GO. • The main mechanism was proposed to be the etching and charge effects of IBB. - Abstract: An effective and convenient method using ion beam bombardment (IBB) for separating a multi-layered compact graphene oxide (GO) piece into several small few-layered loose pieces was developed, and it was found that those small GO pieces had formed a flower-like structure. Therein, the main mechanism was proposed to be the etching and charge effects of IBB. This work could provide a facile and promising approach for improving the dispersion and the related properties of GO. Furthermore, X-ray diffraction and Raman spectrum determinations demonstrated that, with the increasing fluence, IBB could effectively decrease the chemical groups in the layers of GO, resulting in the decrease of the layer distance.

  15. Photonic guiding structures in lithium niobate crystals produced by energetic ion beams

    Science.gov (United States)

    Chen, Feng

    2009-10-01

    A range of ion beam techniques have been used to fabricate a variety of photonic guiding structures in the well-known lithium niobate (LiNbO3 or LN) crystals that are of great importance in integrated photonics/optics. This paper reviews the up-to-date research progress of ion-beam-processed LiNbO3 photonic structures and reports on their fabrication, characterization, and applications. Ion beams are being used with this material in a wide range of techniques, as exemplified by the following examples. Ion beam milling/etching can remove the selected surface regions of LiNbO3 crystals via the sputtering effects. Ion implantation and swift ion irradiation can form optical waveguide structures by modifying the surface refractive indices of the LiNbO3 wafers. Crystal ion slicing has been used to obtain bulk-quality LiNbO3 single-crystalline thin films or membranes by exfoliating the implanted layer from the original substrate. Focused ion beams can either generate small structures of micron or submicron dimensions, to realize photonic bandgap crystals in LiNbO3, or directly write surface waveguides or other guiding devices in the crystal. Ion beam-enhanced etching has been extensively applied for micro- or nanostructuring of LiNbO3 surfaces. Methods developed to fabricate a range of photonic guiding structures in LiNbO3 are introduced. Modifications of LiNbO3 through the use of various energetic ion beams, including changes in refractive index and properties related to the photonic guiding structures as well as to the materials (i.e., electro-optic, nonlinear optic, luminescent, and photorefractive features), are overviewed in detail. The application of these LiNbO3 photonic guiding structures in both micro- and nanophotonics are briefly summarized.

  16. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  17. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  18. Effect of temporary cements on the microtensile bond strength of self-etching and self-adhesive resin cement.

    Science.gov (United States)

    Carvalho, Edilausson Moreno; Carvalho, Ceci Nunes; Loguercio, Alessandro Dourado; Lima, Darlon Martins; Bauer, José

    2014-11-01

    The aim of this study was to evaluate the microtensile bond strength (µTBS) of self-etching and self-adhesive resin cement systems to dentin affected by the presence of remnants of either eugenol-containing or eugenol-free temporary cements. Thirty extracted teeth were obtained and a flat dentin surface was exposed on each tooth. Acrylic blocks were fabricated and cemented either with one of two temporary cements, one zinc oxide eugenol (ZOE) and one eugenol free (ZOE-free), or without cement (control). After cementation, specimens were stored in water at 37°C for 1 week. The restorations and remnants of temporary cements were removed and dentin surfaces were cleaned with pumice. Resin composite blocks were cemented to the bonded dentin surfaces with one of two resin cements, either self-etching (Panavia F 2.0) or self-adhesive (RelyX U-100). After 24 h, the specimens were sectioned to obtain beams for submission to µTBS. The fracture mode was evaluated under a stereoscopic loupe and a scanning electron microscope (SEM). Data from µTBS were submitted to two-way repeated-measure ANOVA and the Tukey test (alpha = 0.05). The cross-product interaction was statistically significant (p cements reduced the bond strength to Panavia self-etching resin cements only (p cements did not interfere in the bond strength to dentin of self-adhesive resin cements.

  19. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  20. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  1. Processes in a dense long-pulse electron beam focused on a solid target

    Energy Technology Data Exchange (ETDEWEB)

    Arkhipov, A V; Sominskij, G G [St. Petersburg Technical Univ. (Russian Federation)

    1997-12-31

    The results obtained in beam-target experiments with dense medium-energy electron beam in the regime of long single pulses are presented. The measured power density of the focused beam at the target reached 20 MW/cm{sup 2} in these experiments. The processes caused by dense flows of secondary particles and by a dense target ablation plasma were studied in detail. Substantial target shielding occurs when the energy density at the target exceeds the value of about 1 kJ/cm{sup 2}. The target plasma and the sputtered matter that is responsible for shielding affects also the beam structure, as well as the target etching rates. (J.U.). 3 figs., 5 refs.

  2. Processes in a dense long-pulse electron beam focused on a solid target

    International Nuclear Information System (INIS)

    Arkhipov, A.V.; Sominskij, G.G.

    1996-01-01

    The results obtained in beam-target experiments with dense medium-energy electron beam in the regime of long single pulses are presented. The measured power density of the focused beam at the target reached 20 MW/cm 2 in these experiments. The processes caused by dense flows of secondary particles and by a dense target ablation plasma were studied in detail. Substantial target shielding occurs when the energy density at the target exceeds the value of about 1 kJ/cm 2 . The target plasma and the sputtered matter that is responsible for shielding affects also the beam structure, as well as the target etching rates. (J.U.). 3 figs., 5 refs

  3. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  4. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  5. Production of multi-, oligo- and single-pore membranes using a continuous ion beam

    Czech Academy of Sciences Publication Activity Database

    Apel, P. Yu.; Ivanov, O.; Lizunov, N. E.; Mamonova, T. I.; Nechaev, A. N.; Olejniczak, K.; Vacík, Jiří; Dmitriev, S. N.

    2015-01-01

    Roč. 365, DEC (2015), s. 641-645 ISSN 0168-583X R&D Projects: GA MŠk LG14004 Institutional support: RVO:61389005 Keywords : ion beam * irradiation * ion track * etching * single nanopore Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.389, year: 2015

  6. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  7. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  8. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  9. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  10. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  11. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  12. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  13. Manufacturing of Three-dimensional Micro Structure Using Proton Beam

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Suonggyu; Kwon, Wontae [Seoul University, Seoul (Korea, Republic of)

    2015-04-15

    The diameter of a proton beam emanating from the MC-50 cyclotron is about 2?3 mm with Gaussian distribution. This widely irradiated proton beam is not suitable for semiconductor etching, precise positioning, and micromachining, which require a small spot. In this study, a beam cutting method using a microhole is proposed as an economical alternative. We produced a microhole with aspect ratio, average diameter, and thickness of 428, 21 μm, and 9 mm, respectively, for cutting the proton beam. By using this high-aspect-ratio microhole, we conducted machinability tests on microstructures with sizes of tens of μm. Additionally, the results of simulation using GEANT4 and those of the actual experiment were compared and analyzed. The outcome confirmed the possibility of implementing a micro process technology for the fabrication of three-dimensional microstructures of 20 micron units using the MC-50 cyclotron with the microhole.

  14. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  15. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  16. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  17. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  18. Advanced metal lift-off process using electron-beam flood exposure of single-layer photoresist

    Science.gov (United States)

    Minter, Jason P.; Ross, Matthew F.; Livesay, William R.; Wong, Selmer S.; Narcy, Mark E.; Marlowe, Trey

    1999-06-01

    In the manufacture of many types of integrated circuit and thin film devices, it is desirable to use a lift-of process for the metallization step to avoid manufacturing problems encountered when creating metal interconnect structures using plasma etch. These problems include both metal adhesion and plasma etch difficulties. Key to the success of the lift-off process is the creation of a retrograde or undercut profile in the photoresists before the metal deposition step. Until now, lift-off processing has relied on costly multi-layer photoresists schemes, image reversal, and non-repeatable photoresist processes to obtain the desired lift-off profiles in patterned photoresist. This paper present a simple, repeatable process for creating robust, user-defined lift-off profiles in single layer photoresist using a non-thermal electron beam flood exposure. For this investigation, lift-off profiles created using electron beam flood exposure of many popular photoresists were evaluated. Results of lift-off profiles created in positive tone AZ7209 and ip3250 are presented here.

  19. Dosimetry in heavy ion beams using various detectors

    Czech Academy of Sciences Publication Activity Database

    Brabcová, Kateřina; Jadrníčková, Iva; Molokanov, A. G.; Spurný, František

    2010-01-01

    Roč. 45, č. 10 (2010), s. 1384-1386 ISSN 1350-4487. [Neutron and Ion Dosimetry Symposium /11./. Cape Town, 12.10.2009-16.10.2009] R&D Projects: GA ČR GA205/09/0171; GA AV ČR IAA100480902 Institutional research plan: CEZ:AV0Z10480505 Keywords : tack-etched detectors * LET spectra * TLD * heavy ion beams Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.019, year: 2010

  20. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  1. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  2. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  3. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  4. Laguerre-Gauss beam generation in IR and UV by subwavelength surface-relief gratings

    DEFF Research Database (Denmark)

    Vertchenko, Larissa; Shkondin, Evgeniy; Malureanu, Radu

    2017-01-01

    layerdepositions and dry etch techniques. We exploit the phenomenon of formbirefringence to give rise to the spin-to-orbital angular momentum conversion.We demonstrate that these plates can generate beams with high quality for theUV and IR range, allowing them to interact with high power laser sources orinside...... laser cavities....

  5. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  6. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  7. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  8. Heavy ion beam scanner for 14 UD Pelletron Accelerator, BARC- TIFR

    International Nuclear Information System (INIS)

    Nair, P.; Surendran, P.; Ninave, N.G.; Sparrow, Hillary; Gupta, S.K.; Bhagwat, P.V.; Iyer, R.H.

    2003-01-01

    Heavy ions from Pelletron accelerator were used for varied purposes, where beam is confined to the target in a small area by focusing. Larger area can be irradiated by defocusing the beam using quadrupoles but the size limitation of the defocused beam as well as Gaussian distribution of the particles in the specified area brings its own drawbacks. Uniform distribution over a large area needs scanning of the beam across the target. This difficulty was experienced during the initial attempts to make track etch membrane filters using heavy ions from Pelletron Accelerator. A beam scanner will fulfill this need effectively. The filters made are presently being used as supported liquid membranes (Slam) in separation sciences where their performance are at par with commercial membranes. These membranes are also being used by DRDO, Jodhpur for medical application. A beam scanner magnet as per our specification was made by Centre for Advanced Technology (CAT), Indore

  9. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  10. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  11. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  12. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  13. Trapezoidal diffraction grating beam splitters in single crystal diamond

    Science.gov (United States)

    Kiss, Marcell; Graziosi, Teodoro; Quack, Niels

    2018-02-01

    Single Crystal Diamond has been recognized as a prime material for optical components in high power applications due to low absorption and high thermal conductivity. However, diamond microstructuring remains challenging. Here, we report on the fabrication and characterization of optical diffraction gratings exhibiting a symmetric trapezoidal profile etched into a single crystal diamond substrate. The optimized grating geometry diffracts the transmitted optical power into precisely defined proportions, performing as an effective beam splitter. We fabricate our gratings in commercially available single crystal CVD diamond plates (2.6mm x 2.6mm x 0.3mm). Using a sputter deposited hard mask and patterning by contact lithography, the diamond is etched in an inductively coupled oxygen plasma with zero platen power. The etch process effectively reveals the characteristic {111} diamond crystal planes, creating a precisely defined angled (54.7°) profile. SEM and AFM measurements of the fabricated gratings evidence the trapezoidal shape with a pitch of 3.82μm, depth of 170 nm and duty cycle of 35.5%. Optical characterization is performed in transmission using a 650nm laser source perpendicular to the sample. The recorded transmitted optical power as function of detector rotation angle shows a distribution of 21.1% in the 0th order and 23.6% in each +/-1st order (16.1% reflected, 16.6% in higher orders). To our knowledge, this is the first demonstration of diffraction gratings with trapezoidal profile in single crystal diamond. The fabrication process will enable beam splitter gratings of custom defined optical power distribution profiles, while antireflection coatings can increase the efficiency.

  14. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  15. Fabrication of monolithic microfluidic channels in diamond with ion beam lithography

    Science.gov (United States)

    Picollo, F.; Battiato, A.; Boarino, L.; Ditalia Tchernij, S.; Enrico, E.; Forneris, J.; Gilardino, A.; Jakšić, M.; Sardi, F.; Skukan, N.; Tengattini, A.; Olivero, P.; Re, A.; Vittone, E.

    2017-08-01

    In the present work, we report on the monolithic fabrication by means of ion beam lithography of hollow micro-channels within a diamond substrate, to be employed for microfluidic applications. The fabrication strategy takes advantage of ion beam induced damage to convert diamond into graphite, which is characterized by a higher reactivity to oxidative etching with respect to the chemically inert pristine structure. This phase transition occurs in sub-superficial layers thanks to the peculiar damage profile of MeV ions, which mostly damage the target material at their end of range. The structures were obtained by irradiating commercial CVD diamond samples with a micrometric collimated C+ ion beam at three different energies (4 MeV, 3.5 MeV and 3 MeV) at a total fluence of 2 × 1016 cm-2. The chosen multiple-energy implantation strategy allows to obtain a thick box-like highly damaged region ranging from 1.6 μm to 2.1 μm below the sample surface. High-temperature annealing was performed to both promote the graphitization of the ion-induced amorphous layer and to recover the pristine crystalline structure in the cap layer. Finally, the graphite was removed by ozone etching, obtaining monolithic microfluidic structures. These prototypal microfluidic devices were tested injecting aqueous solutions and the evidence of the passage of fluids through the channels was confirmed by confocal fluorescent microscopy.

  16. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  17. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  18. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  19. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  20. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  1. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  2. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  3. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  4. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  5. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  6. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  7. Dual patterning of a poly(acrylic acid) layer by electron-beam and block copolymer lithographies.

    Science.gov (United States)

    Pearson, Anthony C; Linford, Matthew R; Harb, John N; Davis, Robert C

    2013-06-18

    We show the controllable patterning of palladium nanoparticles in both one and two dimensions using electron-beam lithography and reactive ion etching of a thin film of poly(acrylic acid) (PAA). After the initial patterning of the PAA, a monolayer of polystyrene-b-poly-2-vinylpyridine micelles is spun cast onto the surface. A short reactive ion etch is then used to transfer the micelle pattern into the patterned poly(acrylic acid). Finally, PdCl2 is loaded from solution into the patterned poly(acrylic acid) features, and a reactive-ion etching process is used to remove the remaining polymer and form Pd nanoparticles. This method yields location-controlled patches of nanoparticles, including single- and double-file lines and nanoparticle pairs. A locational accuracy of 9 nm or less in one direction was achieved by optimizing the size of the PAA features.

  8. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  9. Laterally Driven Resonant Pressure Sensor with Etched Silicon Dual Diaphragms and Combined Beams

    Directory of Open Access Journals (Sweden)

    Xiaohui Du

    2016-01-01

    Full Text Available A novel structure of the resonant pressure sensor is presented in this paper, which tactfully employs intercoupling between dual pressure-sensing diaphragms and a laterally driven resonant strain gauge. After the resonant pressure sensor principle is introduced, the coupling mechanism of the diaphragms and resonator is analyzed and the frequency equation of the resonator based on the triangle geometry theory is developed for this new coupling structure. The finite element (FE simulation results match the theoretical analysis over the full scale of the device. This pressure sensor was first fabricated by dry/wet etching and thermal silicon bonding, followed by vacuum-packaging using anodic bonding technology. The test maximum error of the fabricated sensor is 0.0310%F.S. (full scale in the range of 30 to 190 kPa, its pressure sensitivity is negative and exceeding 8 Hz/kPa, and its Q-factor reaches 20,000 after wafer vacuum-packaging. A novel resonant pressure sensor with high accuracy is presented in this paper.

  10. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  11. Surgical positioning of orthodontic mini-implants with guides fabricated on models replicated with cone-beam computed tomography.

    Science.gov (United States)

    Kim, Seong-Hun; Choi, Yong-Suk; Hwang, Eui-Hwan; Chung, Kyu-Rhim; Kook, Yoon-Ah; Nelson, Gerald

    2007-04-01

    This article illustrates a new surgical guide system that uses cone-beam computed tomography (CBCT) images to replicate dental models; surgical guides for the proper positioning of orthodontic mini-implants were fabricated on the replicas, and the guides were used for precise placement. The indications, efficacy, and possible complications of this method are discussed. Patients who were planning to have orthodontic mini-implant treatment were recruited for this study. A CBCT system (PSR 9000N, Asahi Roentgen, Kyoto, Japan) was used to acquire virtual slices of the posterior maxilla that were 0.1 to 0.15 mm thick. Color 3-dimensional rapid prototyping was used to differentiate teeth, alveolus, and maxillary sinus wall. A surgical guide for the mini-implant was fabricated on the replica model. Proper positioning for mini-implants on the posterior maxilla was determined by viewing the CBCT images. The surgical guide was placed on the clinical site, and it allowed precise pilot drilling and accurate placement of the mini-implant. CBCT imaging allows remarkably lower radiation doses and thinner acquisition slices compared with medical computed tomography. Virtually reproduced replica models enable precise planning for mini-implant positions in anatomically complex sites.

  12. Cylindrical vector beams of light from an electrically excited plasmonic lens

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Shuiyan, E-mail: shuiyan.cao@u-psud.fr; Le Moal, Eric; Boer-Duchemin, Elizabeth; Dujardin, Gérald [Institut des Sciences Moléculaires d' Orsay, CNRS—Université Paris-Sud (UMR 8214), Orsay (France); Drezet, Aurélien; Huant, Serge [Université Grenoble Alpes, Inst. NEEL, F-38000 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France)

    2014-09-15

    The production of cylindrical vector beams from a low-energy, electric, microscale light source is demonstrated both experimentally and theoretically. This is achieved by combining a “plasmonic lens” with the ability to locally and electrically excite propagating surface plasmons on gold films. The plasmonic lens consists of concentric circular subwavelength slits that are etched in a thick gold film. The local excitation arises from the inelastic tunneling of electrons from the tip of a scanning tunneling microscope. We report on the emission of radially polarized beams with an angular divergence of less than ±4°.

  13. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  14. The use of low energy ion beams for the growth and processing of solid materials

    International Nuclear Information System (INIS)

    Armour, D.G.; Al-Bayati, A.H.; Gordon, J.S.

    1992-01-01

    Low energy ion bombardment forms the basis of ion assisted etching and growth of materials in plasma and ion beam systems. The growing demands for low temperature, highly controlled processing has led a rapid increase in both the application of low energy beams and the study of the fundamental ion surface interactions involved. The growth in the practical applications of ion beams in the few eV to a few hundred eV range has presented new problems in the production and transport of ion beams and has led to the development of highly specialised, ultra-low energy systems. These technological developments, in conjunction with the improvements in understanding of fundamental processes have widened the range of applications of low energy beams. (author) 52 refs

  15. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  16. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  17. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  18. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  19. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  20. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  1. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  2. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  3. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  4. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  5. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  7. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  8. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  9. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  10. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  11. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  12. Fabrication of phosphor micro-grids using proton beam lithography

    International Nuclear Information System (INIS)

    Rossi, Paolo; Antolak, Arlyn J.; Provencio, Paula Polyak; Doyle, Barney Lee; Malmqvist, Klas; Hearne, Sean Joseph; Nilsson, Christer; Kristiansson, Per; Wegden, Marie; Elfman, Mikael; Pallon, Jan; Auzelyte, Vaida

    2005-01-01

    A new nuclear microscopy technique called ion photon emission microscopy or IPEM was recently invented. IPEM allows analysis involving single ions, such as ion beam induced charge (IBIC) or single event upset (SEU) imaging using a slightly modified optical microscope. The spatial resolution of IPEM is currently limited to more than 10 (micro)m by the scattering and reflection of ion-induced photons, i.e. light blooming or spreading, in the ionoluminescent phosphor layer. We are developing a 'Microscopic Gridded Phosphor' (also called Black Matrix) where the phosphor nanocrystals are confined within the gaps of a micrometer scale opaque grid, which limits the amount of detrimental light blooming. MeV-energy proton beam lithography is ideally suited to lithographically form masks for the grid because of high aspect ratio, pattern density and sub-micron resolution of this technique. In brief, the fabrication of the grids was made in the following manner: (1) a MeV proton beam focused to 1.5-2 (micro)m directly fabricated a matrix of pillars in a 15 (micro)m thick SU-8 lithographic resist; (2) 7:1 aspect ratio pillars were then formed by developing the proton exposed area; (3) Ni (Au) was electrochemically deposited onto Cu-coated Si from a sulfamate bath (or buffered CN bath); (4) the SU-8 pillars were removed by chemical etching; finally (5) the metal micro-grid was freed from its substrate by etching the underlying Cu layer. Our proposed metal micro-grids promise an order-of-magnitude improvement in the resolution of IPEM.

  13. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  14. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  15. Microdroplet-etched highly birefringent low-loss fiber tapers.

    Science.gov (United States)

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  16. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  17. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  18. Replication technique for examining defects in the interface of a metal-to-glass ceramic bond

    International Nuclear Information System (INIS)

    Spears, R.K.

    1978-01-01

    Epoxy replicas were made of the interface of a molybdenum and glass-ceramic assembly and examined by scanning electron microscopy. Replications of this interface were produced by first removing the molybdenum from four assemblies using a nitric acid-based etchant. The glass-ceramic insulators that remained were pressure encapsulated in epoxy. After curing, the glass-ceramics were etched from the epoxy in an hydrogen fluoride-based acid etchant. The resulting replicas resembled the texture of the molybdenum surface with the interface defects shown in detail as projections. This process revealed some unusual interface problems which appeared to be associated with the evolution of gas from the molybdenum piece parts

  19. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  20. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  1. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  2. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  3. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  4. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  5. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  6. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  7. DURIP 99 - Instrumentation for Deposition and Etching of Ferromagnetic Nanoparticles

    National Research Council Canada - National Science Library

    Kummel, Andrew

    2000-01-01

    .... Since silver is much more difficult to etch than iron due to the lack of volatile silver halides, this spontaneous coating of Fe by Ag explains the difficulty in etching Fe particles deposited on Ag substrates. (b...

  8. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    The effect of deposition and thermal annealing temperatures on the dry etch rate of a-C:H films was investigated to increase our fundamental understanding of the relationship between thermal annealing and dry etch rate and to obtain a low dry etch rate hard mask. The hydrocarbon contents and hydrogen concentration were decreased with increasing deposition and annealing temperatures. The I(D)/I(G) intensity ratio and extinction coefficient of the a-C:H films were increased with increasing deposition and annealing temperatures because of the increase of sp 2 bonds in the a-C:H films. There was no relationship between the density of the unpaired electrons and the deposition temperature, or between the density of the unpaired electrons and the annealing temperature. However, the thermally annealed a-C:H films had fewer unpaired electrons compared with the as-deposited ones. Transmission electron microscopy analysis showed the absence of any crystallographic change after thermal annealing. The density of the as-deposited films was increased with increasing deposition temperature. The density of the 600 °C annealed a-C:H films deposited under 450 °C was decreased but at 550 °C was increased, and the density of all 800 °C annealed films was increased. The dry etch rate of the as-deposited a-C:H films was negatively correlated with the deposition temperature. The dry etch rate of the 600 °C annealed a-C:H films deposited at 350 °C and 450 °C was faster than that of the as-deposited film and that of the 800 °C annealed a-C:H films deposited at 350 °C and 450 °C was 17% faster than that of the as-deposited film. However, the dry etch rate of the 550 °C deposited a-C:H film was decreased after annealing at 600 °C and 800 °C. The dry etch rate of the as-deposited films was decreased with increasing density but that of the annealed a-C:H films was not. These results indicated that the dry etch rate of a-C:H films for dry etch hard masks can be further decreased by

  9. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  10. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  11. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  12. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  13. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  14. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  15. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  16. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  17. Design and fabrication of a polarization-independent two-port beam splitter.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Zheng, Jiangjun; Cao, Hongchao; Lv, Peng

    2009-10-10

    We design and manufacture a fused-silica polarization-independent two-port beam splitter grating. The physical mechanism of this deeply etched grating can be shown clearly by using the simplified modal method with consideration of corresponding accumulated phase difference of two excited propagating grating modes, which illustrates that the binary-phase fused-silica grating structure depends little on the incident wavelength, but mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These analytic results would also be very helpful for wavelength bandwidth analysis. The exact grating profile is optimized by using the rigorous coupled-wave analysis. Holographic recording technology and inductively coupled plasma etching are used to manufacture the fused-silica grating. Experimental results agree well with the theoretical values.

  18. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  20. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  1. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  2. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  3. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  4. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  5. Epoxy replication for Wolter x-ray microscope fabrication

    International Nuclear Information System (INIS)

    Priedhorsky, W.

    1981-01-01

    An epoxy replica of a test piece designed to simulate a Wolter x-ray microscope geometry showed no loss of x-ray reflectivity or resolution, compared to the original. The test piece was a diamond-turned cone with 1.5 0 half angle. A flat was fly-cut on one side, then super- and conventionally polished. The replica was separated at the 1.5 0 -draft angle, simulating a shallow angle Wolter microscope geometry. A test with 8.34 A x rays at 0.9 0 grazing angle showed a reflectivity of 67% for the replica flat surface, and 70% for the original. No spread of the reflected beam was observed with a 20-arc second wide test beam. This test verifies the epoxy replication technique for production of Wolter x-ray microscopes

  6. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  7. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  8. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  9. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  10. Tapered optical fiber tip probes based on focused ion beam-milled Fabry-Perot microcavities

    Science.gov (United States)

    André, Ricardo M.; Warren-Smith, Stephen C.; Becker, Martin; Dellith, Jan; Rothhardt, Manfred; Zibaii, M. I.; Latifi, H.; Marques, Manuel B.; Bartelt, Hartmut; Frazão, Orlando

    2016-09-01

    Focused ion beam technology is combined with dynamic chemical etching to create microcavities in tapered optical fiber tips, resulting in fiber probes for temperature and refractive index sensing. Dynamic chemical etching uses hydrofluoric acid and a syringe pump to etch standard optical fibers into cone structures called tapered fiber tips where the length, shape, and cone angle can be precisely controlled. On these tips, focused ion beam is used to mill several different types of Fabry-Perot microcavities. Two main cavity types are initially compared and then combined to form a third, complex cavity structure. In the first case, a gap is milled on the tapered fiber tip which allows the external medium to penetrate the light guiding region and thus presents sensitivity to external refractive index changes. In the second, two slots that function as mirrors are milled on the tip creating a silica cavity that is only sensitive to temperature changes. Finally, both cavities are combined on a single tapered fiber tip, resulting in a multi-cavity structure capable of discriminating between temperature and refractive index variations. This dual characterization is performed with the aid of a fast Fourier transform method to separate the contributions of each cavity and thus of temperature and refractive index. Ultimately, a tapered optical fiber tip probe with sub-standard dimensions containing a multi-cavity structure is projected, fabricated, characterized and applied as a sensing element for simultaneous temperature and refractive index discrimination.

  11. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  12. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  13. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  14. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  15. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  16. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  17. Synchrotron radiation induced direct photo-etching and surface modification of PTFE

    International Nuclear Information System (INIS)

    Oshima, Akihiro; Washio, Masakazu

    2003-01-01

    In the first part of this article, we have described and discussed the measurement results of etching rates by direct photo-etching using Synchrotron Radiation (SR) for various kind of crosslinked PTFEs, which were prepared by different crosslinking doses, comparing with the non-crosslinked PTFE. It has been found that the etching rates obtained for crosslinked PTFE were much larger than that of non-crosslinked one. These results are not described by simple consideration such as the G values of main chain scission. We propose that the etching rates should be discussed by the complex mechanism through at least two different steps such as polymer decomposition and fragment desorption. In the second part of the article, we have described and discussed the abnormal reaction induced at the surface region after the SR etching for non-crosslinked PTFE. Through the measurements using DSC and solid state 19 F-NMR, we have confirmed the crosslinking reaction of PTFE even in solid state PTFE. This should be induced by the very high density radical formation in very thin area of PTFE films by SR radiation. (author)

  18. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  19. Top-down topography of deeply etched silicon in the scanning electron microscope

    International Nuclear Information System (INIS)

    Wells, Oliver C.; Murray, Conal E.; Rullan, Jonathan L.; Gignac, Lynne M.

    2004-01-01

    It is proposed to measure the cross sections of steep-sided etched lines and similar deep surface topography on partially completed silicon integrated circuit wafers using either the backscattered electron (BSE) or the low-loss electron (LLE) image in the scanning electron microscope (SEM). These images contain regions where the collected signal is zero because there is no direct line of sight between the landing point of the electron beam on the specimen and the BSE or LLE detector. It is proposed to use the boundary of such a region in the SEM image as a geometrical line to measure the surface topography. Or alternatively, a shadow can be seen in the distribution of either BSE or LLE with an image-forming detector system. The use of this shadow position on the detector to measure deep surface topography will be demonstrated

  20. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  1. Distributional Replication

    OpenAIRE

    Beare, Brendan K.

    2009-01-01

    Suppose that X and Y are random variables. We define a replicating function to be a function f such that f(X) and Y have the same distribution. In general, the set of replicating functions for a given pair of random variables may be infinite. Suppose we have some objective function, or cost function, defined over the set of replicating functions, and we seek to estimate the replicating function with the lowest cost. We develop an approach to estimating the cheapest replicating function that i...

  2. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  3. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  4. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  5. Chromatin Immunoprecipitation of Replication Factors Moving with the Replication Fork

    OpenAIRE

    Rapp, Jordan B.; Ansbach, Alison B.; Noguchi, Chiaki; Noguchi, Eishi

    2009-01-01

    Replication of chromosomes involves a variety of replication proteins including DNA polymerases, DNA helicases, and other accessory factors. Many of these proteins are known to localize at replication forks and travel with them as components of the replisome complex. Other proteins do not move with replication forks but still play an essential role in DNA replication. Therefore, in order to understand the mechanisms of DNA replication and its controls, it is important to examine localization ...

  6. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  7. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  8. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  9. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  10. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  11. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  12. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  13. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    Science.gov (United States)

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  14. Replication Catastrophe

    DEFF Research Database (Denmark)

    Toledo, Luis; Neelsen, Kai John; Lukas, Jiri

    2017-01-01

    Proliferating cells rely on the so-called DNA replication checkpoint to ensure orderly completion of genome duplication, and its malfunction may lead to catastrophic genome disruption, including unscheduled firing of replication origins, stalling and collapse of replication forks, massive DNA...... breakage, and, ultimately, cell death. Despite many years of intensive research into the molecular underpinnings of the eukaryotic replication checkpoint, the mechanisms underlying the dismal consequences of its failure remain enigmatic. A recent development offers a unifying model in which the replication...... checkpoint guards against global exhaustion of rate-limiting replication regulators. Here we discuss how such a mechanism can prevent catastrophic genome disruption and suggest how to harness this knowledge to advance therapeutic strategies to eliminate cancer cells that inherently proliferate under...

  15. Progress in Electron Beam Mastering of 100 Gbit/inch2 Density Disc

    Science.gov (United States)

    Takeda, Minoru; Furuki, Motohiro; Yamamoto, Masanobu; Shinoda, Masataka; Saito, Kimihiro; Aki, Yuichi; Kawase, Hiroshi; Koizumi, Mitsuru; Miyokawa, Toshiaki; Mutou, Masao; Handa, Nobuo

    2004-07-01

    We developed an electron beam recorder (EBR) capable of recording master discs under atmospheric conditions using a novel differential pumping head. Using the EBR and optimized fabrication process for Si-etched discs with reactive ion etching (RIE), a bottom signal jitter of 9.6% was obtained from a 36 Gbit/inch2 density disc, readout using a near-field optical pickup with an effective numerical aperture (NA) of 1.85 and a wavelength of 405 nm. We also obtained the eye patterns from a 70 Gbit/inch2 density disc readout using an optical pickup with a 2.05 NA and the same wavelength, and showed almost the same modulation ratio as the simulation value. Moreover, the capability of producing pit patterns corresponding to a 104 Gbit/inch2 density is demonstrated.

  16. Research on EBEP (Electron Beam Excited Plasma) applications; EBEP (denshi beam reiki plasma) no tekiyo gijutsu ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Yanase, E.; Ryoji, M.; Mori, Y.; Tokai, M. [Kawasaki Heavy Industries, Ltd., Kobe (Japan)

    1996-04-20

    Research and development is actively conducted on machining technologies using plasma in various fields, with studies energetically pursued on etching techniques or those of forming a thin film by the use of high frequency and microwave plasma. The EBEP system jointly developed by Kawasaki Heavy Industries Ltd. and Institute of Physical and Chemical Research is a plasma source for forming a high density plasma by implanting into a plasma chamber from the outside a high-current electron beam accelerated to an energy of approximately 60 to 100eV where the collision cross-section of gas ionization is maximized. The characteristics of the system are such as (1) it enables electron energy distribution to be controlled from outside by varying acceleration voltage, (2) it excels in the controllability of ion energy and (3) it allows to form a steady high-density plasma in a nonmagnetic field. This paper presents the generating principle of EBEP, its plasma characteristics, etching technique using EBEP, thin film forming technique by EBEP-CVD method, and multipurpose apparatus for research and development. 6 refs., 7 figs., 1 tab.

  17. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  18. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  19. Two-year water degradation of self-etching adhesives bonded to bur ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were

  20. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.