WorldWideScience

Sample records for based inspection techniques

  1. Development of the Risk-Based Inspection Techniques and Pilot Plant Activities

    International Nuclear Information System (INIS)

    Phillips, J.H.

    1997-01-01

    Risk-based techniques have been developed for commercial nuclear power plants. System boundaries and success criteria is defined using the probabilistic risk analysis or probabilistic safety analysis developed to meet the individual plant evaluation. Final ranking of components is by a plant expert panel similar to the one developed for maintenance rule. Components are identified as being high risk-significant or low-risk significant. Maintenance and resources are focused on those components that have the highest risk-significance. The techniques have been developed and applied at a number of pilot plants. Results from the first risk-based inspection pilot plant indicates that safety due to pipe failure can be doubled while the inspection reduced to about 80% when compared with current inspection programs. The reduction in inspection reduces the person-rem exposure resulting in further increases in safety. These techniques have been documented in publication by the ASME CRTD

  2. Evaluation of computer-based NDE techniques and regional support of inspection activities

    International Nuclear Information System (INIS)

    Taylor, T.T.; Kurtz, R.J.; Heasler, P.G.; Doctor, S.R.

    1991-01-01

    This paper describes the technical progress during fiscal year 1990 for the program entitled 'Evaluation of Computer-Based nondestructive evaluation (NDE) Techniques and Regional Support of Inspection Activities.' Highlights of the technical progress include: development of a seminar to provide basic knowledge required to review and evaluate computer-based systems; review of a typical computer-based field procedure to determine compliance with applicable codes, ambiguities in procedure guidance, and overall effectiveness and utility; design and fabrication of a series of three test blocks for NRC staff use for training or audit of UT systems; technical assistance in reviewing (1) San Onofre ten year reactor pressure vessel inservice inspection activities and (2) the capability of a proposed phased array inspection of the feedwater nozzle at Oyster Creek; completion of design calculations to determine the feasibility and significance of various sizes of mockup assemblies that could be used to evaluate the effectiveness of eddy current examinations performed on steam generators; and discussion of initial mockup design features and methods for fabricating flaws in steam generator tubes

  3. Employing innovative techniques to reduce inspection times

    International Nuclear Information System (INIS)

    Heumueller, R.; Guse, G.; Dirauf, F.; Fischer, E.

    1997-01-01

    Shorter inspection periods mean lower revision costs and less tight revision schedules, but must not detract from the quality of inspection findings. This requirement imposes upon the company performing the inspection the need for top achievements both in quality management and in the use of innovative techniques. Flexible equipment systems and inspection techniques adapted to specific purposes are able to reduce inspection times in many inspection jobs. As part of a complete system designed to reduce inspection times, the new Saphir (Siemens Alok Phased Array Integrated Reliable UT-System) inspection equipment system is the core of most of the recent innovations. Being an integrated inspection equipment system, it is able to handle conventional US probes as well as arrays and phased arrays. It is open for further matching to specific inspection and administrative requirements and developments, and it may be incorporated in the network of an integrated system with a database. A technological leap in probe design in the past few years has allowed controllable wave fields to be generated which are in no way inferior to those of conventional probes with fixed angles of incidence. In this way, a number of inspection techniques can be implemented with a single probe. This reduces inspection times, setup and retooling times, and doses. Typical examples already used in practice are the LLT (longitudinal-longitudinal-transverse waves) technique and the integration of inspections for longitudinal and transverse defects in a single run. In the near future, surfaces with complicated curvatures will be inspected by novel modular robot systems consisting of individual modules of linear axes and rotational axes. (orig.) [de

  4. Computed Radiography: An Innovative Inspection Technique

    International Nuclear Information System (INIS)

    Klein, William A.; Councill, Donald L.

    2002-01-01

    Florida Power and Light Company's (FPL) Nuclear Division combined two diverse technologies to create an innovative inspection technique, Computed Radiography, that improves personnel safety and unit reliability while reducing inspection costs. This technique was pioneered in the medical field and applied in the Nuclear Division initially to detect piping degradation due to flow-accelerated corrosion. Component degradation can be detected by this additional technique. This approach permits FPL to reduce inspection costs, perform on line examinations (no generation curtailment), and to maintain or improve both personnel safety and unit reliability. Computed Radiography is a very versatile tool capable of other uses: - improving the external corrosion program by permitting inspections underneath insulation, and - diagnosing system and component problems such as valve positions, without the need to shutdown or disassemble the component. (authors)

  5. Surface Casting Defects Inspection Using Vision System and Neural Network Techniques

    Directory of Open Access Journals (Sweden)

    Świłło S.J.

    2013-12-01

    Full Text Available The paper presents a vision based approach and neural network techniques in surface defects inspection and categorization. Depending on part design and processing techniques, castings may develop surface discontinuities such as cracks and pores that greatly influence the material’s properties Since the human visual inspection for the surface is slow and expensive, a computer vision system is an alternative solution for the online inspection. The authors present the developed vision system uses an advanced image processing algorithm based on modified Laplacian of Gaussian edge detection method and advanced lighting system. The defect inspection algorithm consists of several parameters that allow the user to specify the sensitivity level at which he can accept the defects in the casting. In addition to the developed image processing algorithm and vision system apparatus, an advanced learning process has been developed, based on neural network techniques. Finally, as an example three groups of defects were investigated demonstrates automatic selection and categorization of the measured defects, such as blowholes, shrinkage porosity and shrinkage cavity.

  6. Classification Technique for Ultrasonic Weld Inspection Signals using a Neural Network based on 2-dimensional fourier Transform and Principle Component Analysis

    International Nuclear Information System (INIS)

    Kim, Jae Joon

    2004-01-01

    Neural network-based signal classification systems are increasingly used in the analysis of large volumes of data obtained in NDE applications. Ultrasonic inspection methods on the other hand are commonly used in the nondestructive evaluation of welds to detect flaws. An important characteristic of ultrasonic inspection is the ability to identify the type of discontinuity that gives rise to a peculiar signal. Standard techniques rely on differences in individual A-scans to classify the signals. This paper proposes an ultrasonic signal classification technique based on the information tying in the neighboring signals. The approach is based on a 2-dimensional Fourier transform and the principal component analysis to generate a reduced dimensional feature vector for classification. Results of applying the technique to data obtained from the inspection of actual steel welds are presented

  7. Advanced Inspection and Repair Welding Techniques for SCC Countermeasures

    International Nuclear Information System (INIS)

    Takagi, T.; Nishimoto, K.; Uchimoto, T.

    2012-01-01

    Feasibility studies of advanced inspection and repair welding techniques were conducted in the framework of the Nuclear and Industry Safety Agency of Japan (NISA) project on the enhancement of ageing management and maintenance of NPPs. In this paper, features of NDE methods investigated in the projects, main results of research activities and prospect of nickel based alloy weld inspection are discussed. We also make a review for the integrity and reliability evaluation techniques for repair welding of ageing plants which were intensively investigated in view of regulatory criteria, in NISA project. (author)

  8. Optical inspection techniques for security instrumentation

    Science.gov (United States)

    van Renesse, Rudolf L.

    1996-03-01

    This paper reviews four optical inspection systems, in which development TNO Institute of Applied Physics was involved: (1) intaglio scanning and recognition, (2) banknote quality inspection, (3) visualization and reading of a finger pattern, and (4) 3DAS authentication. (1) Intaglio is reserved for high security printing. It renders a tactile relief that can be recognized by a laser scanning technique. This technique is applied by various national banks to detect counterfeit banknotes returning from circulation. A new system is proposed that will detect intaglio on arbitrary wrinkled banknotes. (2) A banknote fitness inspection system (BFIS) that inspects banknotes in specularly reflected light is described. As modern banknotes are provided increasingly with reflective security foils, a new system is proposed that inspects banknotes in specular and diffuse reflection, as well as in transmission. (3) An alternative visualization method for visualization of finger patterns is described, employing a reflective elastomer. A CD scanning system reads the finger patterns. (4) A nonwoven structure has two advantageous properties for card authentication: a random structure which renders each few square millimeters of the pattern uniqueness (identification) and a 3D structure which makes it virtually impossible to be counterfeited (authentication). Both properties are inspected by an extremely simple lenseless reader.

  9. Advanced inspection and repair techniques for primary side components

    International Nuclear Information System (INIS)

    Elm, Ralph

    1998-01-01

    The availability of nuclear power plant mainly depends on the components of the Nuclear Steam Supply System (NSSS) such as reactor pressure vessel, core internals and steam generators. The last decade has been characterized by intensive inspection and repair work on PWR steam generators. In the future, it can be expected, that the inspection of the reactor pressure vessel and the inspection and repair of its internals, in both PWR and BWR will be one of the challenges for the nuclear community. Due to this challenge, new, advanced inspection and repair techniques for the vital primary side components have been developed and applied, taking into account such issues as: use of reliable and fast inspection methods, repair of affected components instead of costly replacement, reduction of outage time compared to conventional methods, minimized radiation exposure, acceptable costs. This paper reflects on advanced inspection and repair techniques such as: Baffle Former Bolt inspection and replacement, Barrel Former Bolt inspection and replacement, Mechanized UT and visual inspection of reactor pressure vessels, Steam Generator repair by advanced sleeving technology. The techniques described have been successfully applied in nuclear power plants and improved the operation performance of the components and the NPP. (author). 6 figs

  10. An Eddy Current Testing Platform System for Pipe Defect Inspection Based on an Optimized Eddy Current Technique Probe Design

    Science.gov (United States)

    Rifai, Damhuji; Abdalla, Ahmed N.; Razali, Ramdan; Ali, Kharudin; Faraj, Moneer A.

    2017-01-01

    The use of the eddy current technique (ECT) for the non-destructive testing of conducting materials has become increasingly important in the past few years. The use of the non-destructive ECT plays a key role in the ensuring the safety and integrity of the large industrial structures such as oil and gas pipelines. This paper introduce a novel ECT probe design integrated with the distributed ECT inspection system (DSECT) use for crack inspection on inner ferromagnetic pipes. The system consists of an array of giant magneto-resistive (GMR) sensors, a pneumatic system, a rotating magnetic field excitation source and a host PC acting as the data analysis center. Probe design parameters, namely probe diameter, an excitation coil and the number of GMR sensors in the array sensor is optimized using numerical optimization based on the desirability approach. The main benefits of DSECT can be seen in terms of its modularity and flexibility for the use of different types of magnetic transducers/sensors, and signals of a different nature with either digital or analog outputs, making it suited for the ECT probe design using an array of GMR magnetic sensors. A real-time application of the DSECT distributed system for ECT inspection can be exploited for the inspection of 70 mm carbon steel pipe. In order to predict the axial and circumference defect detection, a mathematical model is developed based on the technique known as response surface methodology (RSM). The inspection results of a carbon steel pipe sample with artificial defects indicate that the system design is highly efficient. PMID:28335399

  11. Development of Inspection Technique for Socket Weld of Small Bore Piping in Nuclear Power Plant

    International Nuclear Information System (INIS)

    Yoon, Byungsik; Kim, Yongsik; Lee, Jeongseok

    2013-01-01

    The losses incurred by unplanned shutdowns are significant; consequently, early crack initiation and crack detection, including the detection of fillet weld manufacturing defects, is of the utmost importance. Current inspection techniques are not capable of reliably inspecting socket welds; therefore, new approaches are needed. The new technique must be sensitive to socket weld cracking, which usually initiates from the root, in order to detect the cracking during the early failure phase. In 2008, Kori unit 3 experienced leakage from the drain line socket weld of a steam generator. From this experience, KHNP enforced a management program to focus on enhancing the reliability of small bore socket weld piping inspections. Currently, conventional manual ultrasonic inspection techniques are used to detect service induced fatigue cracks. But there was uncertainty on manual ultrasonic inspection because of limited access to the welds and difficulties with contact between the ultrasonic probe and the OD surface of small bore piping. In this study, phased array ultrasonic inspection techniques are applied to increase inspection speed and reliability. Additionally a manually encoded scanner has been developed to enhance contact conditions and maintain constant signal quality. A phased array UT technique and system was developed to inspect small bore socket welds. The experimental results show all artificial flaws in the specimen were detected and measured. These experimental results show, that the newly developed inspection system, has improved the reliability and speed of small bore socket weld inspection. Based on these results, future works shall focus on additional experiments, with more realistic flaw responses. By applying this technique to the field, we expect that it can improve the integrity of small bore piping in nuclear power plants

  12. On qualification of TOFD technique for austenitic stainless steel welds inspection

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Ona, R. [Tecnatom, San Sebastian de los Reyes (Spain); Viggianiello, S.; Bleuze, A. [Metalscan, Saint-Remy (France)

    2006-07-01

    Time of Flight Diffraction (TOFD) technique is gaining ground as a solid method for detection and sizing of defects. It has been reported that TOFD technique provides good results on the inspection of fine grain steels. However, there are few results regarding the application and performance of this technique on austenitic stainless steels. A big challenge of these inspections is the coarse grain structure that produces low signal to noise ratio and may mask the diffraction signals. Appropriate transducer design, selection of technique parameters and analysis tools could overcome the actual difficulties. In this paper, the main design aspects and parameters of the TOFD technique for austenitic steels are presented. It follows the description of qualification tests carried out to validate the technique for inspecting stainless steels welds. To conclude, discussion of results from actual inspections is shown. (orig.)

  13. Engineers find climbing techniques work well for dam inspections

    Energy Technology Data Exchange (ETDEWEB)

    O`Shea, M.; Graves, A. [Bureau of Reclamation, Denver, CO (United States)

    1996-10-01

    Climbing techniques adopted by the Bureau of Reclamation to inspect previously inaccessible or difficult to reach features at dams are described. Following the failure of the steel radial-arm gate at Folsom Dam, engineers mounted an effort to reach and inspect the dam`s seven other spillway gates. This close-up examination was performed to: (1) determine the condition of these gates; and (2) gather clues about the failure of the one gate. The access techniques described involved mountaineering techniques, as opposed to high scaling techniques, performed with dynamic and static nylon kermantle ropes.

  14. Airplane tire inspection by image processing techniques

    OpenAIRE

    Jovancevic , Igor; Arafat , Al; Orteu , Jean-José; Sentenac , Thierry

    2016-01-01

    International audience; In this paper, we propose a new approach to detect and inspect airplane tires. We use normalized correlation based template matching to detect tires and GrabCut segmentation method to extract them from the background. We inspect the tires condition by investigating the tire treads. Ridge based intensity profiling gives satisfying inspection results.

  15. Evaluation of techniques for inspection and diagnostics of HWR pressure tubes

    International Nuclear Information System (INIS)

    Choi, Jong-Ho

    2008-01-01

    Efficient and accurate inspection and diagnostic techniques for various reactor components and systems, especially pressure tubes for Heavy Water Reactors (HWRs), are an important factor in assuring reliable and safe plant operation. To foster international collaboration in the efficient and safe use of nuclear power, the IAEA conducted a Coordinated Research Project (CRP) on Inter-comparison of Techniques for HWR Pressure Tube Inspection and Diagnostics. The objective of the CRP was to inter-compare inspection and diagnostic techniques, in use and being developed, for structural integrity assessment of HWR pressure tubes. During the first phase of the CRP, participants investigated the capability of different techniques to detect and characterize flaws. During the second phase, participants collaborated to determine the hydrogen concentration and to detect and characterize hydride blisters in zirconium alloy pressure tubes. Eight organizations from six countries, which operate HWRs, have participated in this CRP, Most of the techniques examined are well established and many of them are regularly used during in-service inspection of pressure tubes. The inter-comparison of these techniques provides a platform for identifying a particular technique (or a set of techniques), which is more accurate and reliable as compared to others for a specified task. The CRP also witnessed some new methodologies, which can be implemented on in-service inspection tools. These new techniques could complement the existing ones to overcome their limitations, thereby improving the reliability and accuracy of in-service inspection. This CRP also identified future areas of research and development. (author)

  16. Defect sizing using automated ultrasonic inspection techniques at RNL

    International Nuclear Information System (INIS)

    Rogerson, A.; Highmore, P.J.; Poulter, L.N.J.

    1983-10-01

    RNL has developed and applied automated wide-beam pulse-echo and time-of-flight techniques with synthetic aperture processing for sizing defects in clad thick-section weldments and nozzle corner regions. These techniques were amongst those used in the four test plate inspections making up the UKAEA Defect Detection Trials. In this report a critical appraisal is given of the sizing procedures adopted by RNL in these inspections. Several factors influencing sizing accuracy are discussed and results from particular defects highlighted. The time-of-flight technique with colour graphics data display is shown to be highly effective in imaging near-vertical buried defects and underclad defects of height greater than 5 mm. Early characterisation of any identified defect from its ultrasonic response under pulse-echo inspection is seen as a desirable aid to the selection of an appropriate advanced sizing technique for buried defects. (author)

  17. 37Ar monitoring techniques and on-site inspection system

    International Nuclear Information System (INIS)

    Duan Rongliang; Chen Yinliang; Li Wei; Wang Hongxia; Hao Fanhua

    2001-01-01

    37 Ar is separated, purified and extracted from air sample with a low temperature gas-solid chromatographic purifying method, prepared into a radioactive measurement source and its radioactivity is measured with a proportional counter. Based on the monitoring result, a judgement can be made if an nuclear explosion event has happened recently in a spectabilis area. A series of element techniques that are associated the monitoring of the trace element 37 Ar have been investigated and developed. Those techniques include leaked gas sampling, 37 Ar separation and purification, 37 Ar radioactivity measurement and the on-site inspection of 37 Ar. An advanced 37 Ar monitoring method has been developed, with which 200 liters of air can be treated in 2 hours with sensitivity of 0.01 Bq/L for 37 Ar radioactivity measurement. A practical 37 Ar On-site Inspection system has been developed. This research work may provide technical and equipment support for the verification protection, verification supervision and CTBT verification

  18. Verification of Remote Inspection Techniques for Reactor Internal Structures of Liquid Metal Reactor

    International Nuclear Information System (INIS)

    Joo, Young Sang; Lee, Jae Han

    2007-02-01

    The reactor internal structures and components of a liquid metal reactor (LMR) are submerged in hot sodium of reactor vessel. The division 3 of ASME code section XI specifies the visual inspection as major in-service inspection (ISI) methods of reactor internal structures and components. Reactor internals of LMR can not be visually examined due to opaque liquid sodium. The under-sodium viewing techniques using an ultrasonic wave should be applied for the visual inspection of reactor internals. Recently, an ultrasonic waveguide sensor with a strip plate has been developed for an application to the under-sodium inspection. In this study, visualization technique, ranging technique and monitoring technique have been suggested for the remote inspection of reactor internals by using the waveguide sensor. The feasibility of these remote inspection techniques using ultrasonic waveguide sensor has been evaluated by an experimental verification

  19. Verification of Remote Inspection Techniques for Reactor Internal Structures of Liquid Metal Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young Sang; Lee, Jae Han

    2007-02-15

    The reactor internal structures and components of a liquid metal reactor (LMR) are submerged in hot sodium of reactor vessel. The division 3 of ASME code section XI specifies the visual inspection as major in-service inspection (ISI) methods of reactor internal structures and components. Reactor internals of LMR can not be visually examined due to opaque liquid sodium. The under-sodium viewing techniques using an ultrasonic wave should be applied for the visual inspection of reactor internals. Recently, an ultrasonic waveguide sensor with a strip plate has been developed for an application to the under-sodium inspection. In this study, visualization technique, ranging technique and monitoring technique have been suggested for the remote inspection of reactor internals by using the waveguide sensor. The feasibility of these remote inspection techniques using ultrasonic waveguide sensor has been evaluated by an experimental verification.

  20. Heat Exchanger Tube Inspection of Nuclear Power Plants using IRIS Technique

    International Nuclear Information System (INIS)

    Yoon, Byung Sik; Yang, Seung Han; Song, Seok Yoon; Kim, Yong Sik; Lee, Hee Jong

    2005-01-01

    Inspection of heat exchange tubing include steam generator of nuclear power plant mostly performed with eddy current method. Recently, various inspection technique is available such as remote field eddy current, flux leakage and ultrasonic methods. Each of these techniques has its merits and limitations. Electromagnetic techniques are very useful to locate areas of concern but sizing is hard because of the difficult interpretation of an electric signature. On the other hand, ultrasonic methods are very accurate in measuring wall loss damage, and are reliable for detecting cracks. Additionally ultrasound methods is not affected by support plates or tube sheets and variation of electrical conductivity or permeability. Ultrasound data is also easier to analyze since the data displayed is generally the remaining wall thickness. It should be emphasized that ultrasound is an important tool for sizing defects in tubing. In addition, it can be used in situations where eddy current or remote field eddy current is not reliable, or as a flaw assessment tool to supplement the electromagnetic data. The need to develop specialized ultrasonic tools for tubing inspection was necessary considering the limitations of electromagnetic techniques to some common inspection problems. These problems the sizing of wall loss in carbon steel tubes near the tube sheet or support plate, sizing internal erosion damage, and crack detection. This paper will present an IRIS(Internal Rotating Inspection System) ultrasonic tube inspection technique for heat exchanger tubing in nuclear power plant and verify inspection reliability for artificial flaw embedded to condenser tube

  1. Application of the ultrasonic phased array technique to alloy 182 weld inspection in PWR

    International Nuclear Information System (INIS)

    Hsiao, Chu Chung; Shie, Namg Chian; Chu, Shyr Liang; Lee, Sou See; Toung, Jean Chung; Su, Liang Chun; Yang, Hai Ming

    2006-01-01

    Cracks were found in nickel-based welds frequently in some nuclear power plants. The development of inspection technique capability of finding these cracks is thus in great demand. The difficulties of inspection and evaluation for nickel-based welds include ultrasonic reflection of interface of dissimilar materials, ultrasonic distortion of anisotropic microstructure, and signal-to-noise ratio reduction of coarse grain. In this study, an Alloy 182 mock-up with the same size and material properties as in the field is designed and fabricated. The Alloy 182 mock-up specimen contains various cracks and notches for calibration. Phased array UT and other ultrasonic inspection techniques are used in this study. Based on the experiment results, the phased array probe with 2D dual crystals and low frequency (1.5MHz) longitudinal wave is found to perform well. Finally, phased array ultrasonic testing technique has been approved to be an effectively nondestructive test method for DMW with real size testing block involved. Typically, phased array probe can generate sharp tip diffraction signal and thus reliable and accurate result can be obtained for sizing the defect. Furthermore, phased array probe can also generate various angles and focal lengths and thus combinatorial effect can be achieved for several traditional probes. With a full understanding of the beam behavior and an optimized delay laws, the phased away ultrasonic technique integrated with an automatic scanner will achieve not only to save scanning time but also to reduce the amount of radiation exposure on field inspection.

  2. Reliability of surface inspection techniques for pressurized components

    International Nuclear Information System (INIS)

    Kauppinen, P.; Sillanpaeae, J.

    1991-01-01

    In the Nordtest NDT-programme (1984 - 1988) the detection of flaws by surface inspection methods has been studied. In the round-robin exercise, 133 test pieces have been inspected by 32 inspectors in Denmark, Finland, Norway and Sweden. From the results, the detectability of defects by magnetic particle and liquid-penetrant testing and the influence of materials and techniques used are evaluated. (author)

  3. Risk-based inspection in the context of nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Soares, Wellington A.; Vasconcelos, Vanderley de; Rabello, Emerson G., E-mail: soaresw@cdtn.br, E-mail: vasconv@cdtn.br, E-mail: egr@cdtn.br [Centro de Desenvolvimento da Tecnologia Nuclear (CDTN/CNEN-MG), Belo Horizonte, MG (Brazil)

    2015-07-01

    Nuclear power plant owners have to consider several aspects like safety, availability, costs and radiation exposure during operation of nuclear power plants. They also need to demonstrate to regulatory bodies that risk assessment and inspection planning processes are being implemented in effective and appropriate manner. Risk-Based Inspection (RBI) is a methodology that, unlike time-based inspection, involves a quantitative assessment of both failure probability and consequence associated with each safety-related item. A correctly implemented RBI program classifies individual equipment by its risks and prioritizes inspection efforts based on this classification. While in traditional deterministic approach, the inspection frequencies are constant, in the RBI approach the inspection interval for each item depends on the risk level. Regularly, inspection intervals from RBI result in risk levels lower or equal than deterministic inspection intervals. According to the literature, RBI solutions improve integrity and reduce costs through a more effective inspection. Risk-Informed In-service Inspection (RI-ISI) is the equivalent term used in the nuclear area. Its use in nuclear power plants around world is briefly reviewed in this paper. Identification of practice methodologies for performing risk-based analyses presented in this paper can help both Brazilian nuclear power plant operator and regulatory body in evaluating the RI-ISI technique feasibility as a tool for optimizing inspections within nuclear plants. (author)

  4. Risk-based inspection in the context of nuclear power plants

    International Nuclear Information System (INIS)

    Soares, Wellington A.; Vasconcelos, Vanderley de; Rabello, Emerson G.

    2015-01-01

    Nuclear power plant owners have to consider several aspects like safety, availability, costs and radiation exposure during operation of nuclear power plants. They also need to demonstrate to regulatory bodies that risk assessment and inspection planning processes are being implemented in effective and appropriate manner. Risk-Based Inspection (RBI) is a methodology that, unlike time-based inspection, involves a quantitative assessment of both failure probability and consequence associated with each safety-related item. A correctly implemented RBI program classifies individual equipment by its risks and prioritizes inspection efforts based on this classification. While in traditional deterministic approach, the inspection frequencies are constant, in the RBI approach the inspection interval for each item depends on the risk level. Regularly, inspection intervals from RBI result in risk levels lower or equal than deterministic inspection intervals. According to the literature, RBI solutions improve integrity and reduce costs through a more effective inspection. Risk-Informed In-service Inspection (RI-ISI) is the equivalent term used in the nuclear area. Its use in nuclear power plants around world is briefly reviewed in this paper. Identification of practice methodologies for performing risk-based analyses presented in this paper can help both Brazilian nuclear power plant operator and regulatory body in evaluating the RI-ISI technique feasibility as a tool for optimizing inspections within nuclear plants. (author)

  5. Wall thinning inspection technique for large-diameter piping using guided wave

    International Nuclear Information System (INIS)

    Miki, Masahiro; Nagashima, Yoshiaki; Endou, Masao; Kodaira, Kojiro; Maniwa, Kazuhiko

    2009-01-01

    Guided wave inspection technique is effective for detecting defects like corrosion in piping, because it can perform long range inspection. It is possible to expect this inspection as a method that leads to the decrease of the inspection process and its cost, because the incidental work can be reduced. Especially, the contraction effect of the inspection work is extensive in large-diameter piping inspection. In this paper, we introduce the guided wave inspection system to large-diameter piping. The feature is a guided wave sensor that can freely transform according to the curvature of inspection object, and portable inspection equipment. We discuss the result of detection examination for artificial wall-thinning in large-diameter piping using this system. (author)

  6. Simulation tools for industrial applications of phased array inspection techniques

    International Nuclear Information System (INIS)

    Mahaut, St.; Roy, O.; Chatillon, S.; Calmon, P.

    2001-01-01

    Ultrasonic phased arrays techniques have been developed at the French Atomic Energy Commission in order to improve defects characterization and adaptability to various inspection configuration (complex geometry specimen). Such transducers allow 'standard' techniques - adjustable beam-steering and focusing -, or more 'advanced' techniques - self-focusing on defects for instance -. To estimate the performances of those techniques, models have been developed, which allows to compute the ultrasonic field radiated by an arbitrary phased array transducer through any complex specimen, and to predict the ultrasonic response of various defects inspected with a known beam. Both modeling applications are gathered in the Civa software, dedicated to NDT expertise. The use of those complementary models allows to evaluate the ability of a phased array to steer and focus the ultrasonic beam, and therefore its relevancy to detect and characterize defects. These models are specifically developed to give accurate solutions to realistic inspection applications. This paper briefly describes the CIVA models, and presents some applications dedicated to the inspection of complex specimen containing various defects with a phased array used to steer and focus the beam. Defect detection and characterization performances are discussed for the various configurations. Some experimental validation of both models are also presented. (authors)

  7. Coke drums inspection and evaluation using stress and strain analysis techniques

    Energy Technology Data Exchange (ETDEWEB)

    Haraguchi, Marcio Issamu [Tricom Tecnologia e Servicos de Manutencao Industrial Ltda., Piquete, SP (Brazil); Samman, Mahmod [Houston Engineering Solutions, Houston, TX (United States); Tinoco, Ediberto Bastos; Marangone, Fabio de Castro; Silva, Hezio Rosa da; Barcelos, Gustavo de Carvalho [Petroleo Brasileiro S.A. (PETROBRAS), Rio de Janeiro, RJ (Brazil)

    2012-07-01

    Coke drums deform due to a complex combination of mechanical and thermal cyclic stresses. Bulges have progressive behavior and represent the main maintenance problem related to these drums. Bulge failure typically result in through-wall cracks, leaks, and sometimes fires. Such failures generally do not represent a great risk to personnel. Repairs needed to maintain reliability of these vessels might require extensive interruption to operation which in turn considerably impacts the profitability of the unit. Therefore the condition, progression and severity of these bulges should be closely monitored. Coke drums can be inspected during turnaround with 3D Laser Scanning and Remote Visual Inspection (RVI) tools, resulting in a detailed dimensional and visual evaluation of the internal surface. A typical project has some goals: inspect the equipment to generate maintenance or inspection recommendations, comparison with previous results and baseline data. Until recently, coke drum structural analysis has been traditionally performed analyzing Stress Concentration Factors (SCF) thought Finite Element Analysis methods; however this technique has some serious technical and practical limitations. To avoid these shortcomings, the new strain analysis technique PSI (Plastic Strain Index) was developed. This method which is based on API 579/ ASME FFS standard failure limit represents the state of the art of coke drum bulging severity assessment has an excellent correlation with failure history. (author)

  8. Development of ultrasonic inspection technique for crack detection in retaining rings

    International Nuclear Information System (INIS)

    Brook, M.V.

    1990-01-01

    The majority of retaining rings which are currently in service, are composed of a material which is susceptible to stress corrosion when exposed to moisture. Due to the inherent stress levels in the shrunk-on areas, they are particularly susceptible to stress corrosion attack. Therefore, retaining rings require non-destructive examination to avert catastrophic failure. Guidelines for retaining ring inspection issued by EPRI recommend ultrasonic manual and automated methods of inspection for rings in place. Application of the conventional manual method, using S-waves is difficult, and yields unreliable results. Due to the unreliability factor, utilities have been forced to depend upon surface examination methods, such as visual and penetrant techniques. In most instances, a surface exam will necessitate the costly and potentially damaging removal of the rings from the rotor to provide full access to areas of interest. Due to the various complexities of conventional ultrasonic retaining ring inspections, it is essential that the front end ultrasonics (i.e., transducers and techniques) be optimized to produce the best possible examination. For this reason, AMDATA has developed custom transducers and techniques to enhance automated detection capability of flaws in the various suspect areas of retaining rings. When the optimized techniques are applied to generate the best possible raw data, the Intraspect /98 trademark is then used to reliably apply technique, acquire the data and perform post processing evaluations. One of the most promising ultrasonic techniques for retaining ring inspection is creeping waves. This paper investigates the use of creeping waves for retaining ring inspection

  9. Optimized inspection techniques and structural analysis in lifetime management

    International Nuclear Information System (INIS)

    Aguado, M.T.; Marcelles, I.

    1993-01-01

    Preservation of the option of extending the service lifetime of a nuclear power plant beyond its normal design lifetime requires correct remaining lifetime management from the very beginning of plant operation. The methodology used in plant remaining lifetime management is essentially based on the use of standard inspections, surveillance and monitoring programs and calculations, such as thermal-stress and fracture mechanics analysis. The inspection techniques should be continuously optimized, in order to be able to detect and dimension existing defects with the highest possible degree of accuracy. The information obtained during the inspection is combined with the historical data of the components: design, quality, operation, maintenance, and transients, and with the results of destructive testing, fracture mechanics and thermal fatigue analysis. These data are used to estimate the remaining lifetime of nuclear power plant components, systems and structures with the highest degree possible of accuracy. The use of this methodology allows component repairs and replacements to be reduced or avoided and increases the safety levels and availability of the nuclear power plant. Use of this strategy avoids the need for heavy investments at the end of the licensing period

  10. Use of artificial intelligence techniques for visual inspection systems prototyping. Application to magnetoscopy

    International Nuclear Information System (INIS)

    Pallas, Christophe

    1987-01-01

    The automation of visual inspection is a complex task that requires collaboration between experts, for example inspection specialist, vision specialist. on-line operators. Solving such problems through prototyping promotes this collaboration: the use of a non specific programming environment allows rapid, concrete checking of method validity, thus leading incrementally to the final system. In this context, artificial intelligence techniques permit easy, extensible, and modular design of the prototype, together with heuristic solution building. We define and achieve the SPOR prototyping environment, based on object-oriented programming and rules-basis managing. The feasibility and the validity of an heuristic method for automated visual inspection in fluoroscopy have been proved through prototyping in SPOR. (author) [fr

  11. Application of ultrasonic phased array technique for inspection of stud bolts in nuclear reactor vessel

    International Nuclear Information System (INIS)

    Choi, Sang Woo; Lee, Joon Ho; Park, Min Su; Cho, Youn Ho; Park, Moon Ho

    2004-01-01

    The stud bolt is one of crucial parts for safety of reactor vessels in nuclear power plants. Cracks initiation and propagation were reported in stud bolts using closure of reactor vessel and head. Stud bolts are inspected by ultrasonic technique during overhaul periodically for the prevention of stud bolt failure and radioactive leakage from nuclear reactor. In conventional ultrasonic testing for inspection of stud bolts, crack was detected by using shadow effect. It take too much time to inspect stud bolt by using conventional ultrasonic technique. In addition, there were numerous spurious signal reflected from every thread. In this study, the advanced ultrasonic phased array technique was introduced for inspect stud bolts. The phased array technique provide fast inspection and high detectability of defects. There are sector scanning and linear scanning method in phased array technique, and these scanning methods were applied to inspect stud bolt and detectability was investigated.

  12. Development of FBR visual inspection technique in sodium

    International Nuclear Information System (INIS)

    Suzuki, T.; Nagai, S.; Shioyama, T.; Sato, M.; Karasawa, H.; Maruyama, F.; Ota, S.; Hida, T.; Kai, M.

    1995-01-01

    Because the reactor vessel of Fast Breeder Reactor is filled with opaque liquid sodium, it is expected to develop an acoustic visual inspection technique in sodium. The acoustic 3 dimensional image processing technique and the elemental parts of the visual inspection equipment in sodium have been developed at the first stage of the in-sodium visual inspection technique development. The cross correlation processing has been applied to improve the S/N ratio in the acoustic echo that are deteriorated by wetting in sodium and the low sensitivity that are also deteriorated by rather smaller diameter to integrate the high density multiple acoustic sensors. The improvement of S/N ratio has been realized by the cross correlation between acoustic echo data that is reflected from the objects and M-series continuous wave that is transmitted from the acoustic transducer. The high speed parallel processing circuits, in which DSPs (Digital Signal Processors) are included, have been developed to realize high speed processing by employing (the circuits connected to) each of sensors in parallel. Synthetic Aperture Focussing Technique (SAFT) has been applied to the acoustic 3-dimensional image processing. The amounts of ellipsoids must be drawn into the 3-dimensional memory to compose the 3-dimensional image by SAFT. Then, a high performance work-station has been employed to deal with enormous data to compose the acoustic 3 dimensional image. Motors and cables, which can be operated under the condition of high-temperature and high-radiation environment, have been developed as the parts of the manipulator which will be used for visual inspection equipment in sodium. A prototype drive mechanism consists of the manipulator with three joints and a scanner with an arrayed acoustic sensors which a sweeps in fan-shape mechanically. The manipulatory type prototype drive mechanism and the signal processing device have been developed and tested, and the acoustic 3-dimensional image of pyramid

  13. Two new NDT techniques for inspection of containment welds beneath coatings

    International Nuclear Information System (INIS)

    Fitzpatrick, G.L.; Thome, D.K.

    1991-06-01

    Two new nondestructive testing methods were evaluated for inspection of containment welds beneath coatings, including magneto-optic imaging and Hall effect measurements. Traditional inspection methods, including magnetic particle inspection, are unsatisfactory in the nuclear containment environment coatings must be removed to provide reliable results. This creates radioactive waste, potential airborne contamination, and prolonged radiation exposure to inspection personnel. The new methods offer great improvement because of increased sensitivity and rapid scanning capability. Results obtained during Phase 1 demonstrated that magneto-optic imaging methods offered good detection of cracking in welded carbon steel samples, even through paint. Direct, real-time images were obtained with this technique in a video format ideal for complete documentation of the full inspection. A new method for rapidly inducing the required magnetic fields for inspection was also demonstrated and offers the potential for eliminating bulky, high current power supplies or magnetic yokes. Results obtained with the Hall effect were not as promising as they were on aluminum, due to electrical interference problems and variables biasing caused by residual magnetic fields in the parts. The technique may still be useful for inspecting tight spaces not accessible with magneto-optic imaging devices, but will require significant development. 13 refs., 18 figs

  14. Proposal for inclusion of the risk based inspection technique in Regulatory Standard NR 13; Proposta de inclusao da tecnica de inspecao baseada em risco na Norma Regulamentadora NR 13

    Energy Technology Data Exchange (ETDEWEB)

    Esteves, Vinicius Teixeira; Lima, Marco Aurelio Oliveira [Det Norske Veritas Ltda. (DNV), Rio de Janeiro, RJ (Brazil)

    2012-07-01

    In Brazil, the Regulatory Standard n. 13 (NR 13) establishes requirements for the inspection of boilers and pressure vessels which has main objective of preventing accidents with these types of equipment. Additionally, it has the Risk-Based Inspection (RBI) technique as an effective way to manage the mechanical integrity of various types of static mechanical equipment by through an inspection planning based on the risk factor. In this study, it is being proposed to include the RBI technique, in the NR 13, for the planning and definition of periods for the safety inspection of boilers and pressure vessels in order to promote an increase in the operational safety in process industries in Brazil. In this study it was carried out a critical analysis of NR 13 and RBI, and beyond that a bibliographic research of various international documents that relate the operational safety of pressurized equipment with the inspection activity, and the acceptability of RBI by governments, agencies and organizations around the world. It is considered that the inclusion and formal acceptance of RBI technique in the NR 13 must be accompanied by a rigorous control to avoid the 'trivialization' of its use and ensure the implementation rational, efficient and reliable. Finally, it was developed and suggested basic elements and minimum requirements to be inserted in the NR 13, to be attended, in order mandatory, by the companies that choose the implementation and use of the RBI technique as a tool for the planning of safety inspection of boilers and pressure vessels. It is concluded that the formal acceptance of the RBI technique in the NR 13 could aggregate much value to this standard, with regard to the prevention of accidents involving boilers or pressure vessels, and provide a technological jump to the companies that make use of RBI technique in Brazil. (author)

  15. IEC-based neutron generator for security inspection system

    International Nuclear Information System (INIS)

    Miley, G.H.; Wu, L.; Kim, H.J.

    2005-01-01

    Use of a combined X-ray and neutron source for security inspections based on Inertial Electrostatic Confinement (IEC) fusion is discussed. Current inspection systems typically use X-ray techniques, but thermal neutron analysis (TNA) and fast neutron analysis (FNA), allow expanded detection of certain types of explosives. The integrated unit proposed here uses three separate IEC sources producing 14 and 2.45 MeV neutrons plus soft X-rays. This combination allows multiple detection methods with the composite signal analysis being done by a fuzzy logic system, significantly reducing false signals. (author)

  16. A Laser-based Ultrasonic Inspection System to Detect Micro Fatigue Cracks

    International Nuclear Information System (INIS)

    Park, Seung Kyu; Baik, Sung Hoon; Park, Moon Cheol; Lim, Chang Hwan; Cha, Hyung Ki

    2005-01-01

    Laser-based ultrasonic techniques have been established as a viable non-contact alternative to piezoelectric transducers for generating and receiving ultrasound. Laser-based ultrasonic inspection system provides a number of advantages over the conventional generation by piezoelectric transducers, especially a non-contact generation and detection of ultrasonic waves, high spatial scanning resolution, controllable narrow-band and wide-band spectrum, absolute measurements of the moving distance, use of fiber optics, and an ability to operate on curved and rough surfaces and at hard-to-access locations like a nuclear power plant. Ochiai and Miura used the laser-based ultrasound to detect micro fatigue cracks for the inspection of a material degradation in nuclear power plants. This widely applicable laser-based ultrasonic inspection system is comparatively expensive and provides low signal-to-noise ratio to measure ultrasound by using the laser interferometer. Many studies have been carried out to improve the measuring efficiency of the laser interferometer. One of the widely used laser interferometer types to measure the ultrasound is the Confocal Fabry-Perot Interferometer(CFPI). The measurement gain of the CFPI is slightly and continually varied according to the small change of the cavity length and the fluctuations of the measuring laser beam frequency with time. If we continually adjust the voltage of a PZT which is fixed to one of the interferometer mirrors, the optimum working point of the CFPI can be fixed. Though a static stabilizer can fix the gain of the CFPI where the CW laser beam is targeted at one position, it can not be used when the CW laser beam is scanned like a scanning laser source(SLS) technique. A dynamic stabilizer can be used for the scanning ultrasonic inspection system. A robust dynamic stabilizer is needed for an application to the industrial inspection fields. Kromine showed that the SLS technique is effective to detect small fatigue cracks

  17. In-service inspection techniques

    International Nuclear Information System (INIS)

    Backfisch, W.; Zipser, R.R.

    1980-01-01

    The owner of a nuclear power plant (NPP) is obligated and interested to maintain - by regular maintenance and in-service inspections - the operational safety and availability of the plant for the subsequent operating period in a condition, as is specified as the basis of the erection and the last operational permits. In-service inspections are performed to verify the operational safety, and maintenance work is performed to guarantee the availability. Below, the typical in-service inspections of a light-water reactor NPP (operated on a pressurized-water reactor or on a boiling-water reactor) are described with details and examples of typical inspections, especially of recurrent performance tests of the systems. (orig./RW)

  18. Risk based inspection experience from the European chemical- and petrochemical industries

    International Nuclear Information System (INIS)

    Kristensen, Hans; Jeppesen, Leif; Larsen, Bjarne; Kim, Na Yon

    2001-01-01

    As an inspection vendor with 60 years of experience and with more than 25 years of experience as manufacturer of the Automated Ultrasonic NDT systems, the P-scan systems, FORCE Institute is continuously analysing the market for NDT. This is done to assure that both the equipment product line and the service mix provided by FORCE Institute are meeting the requirements from the industry today and in the future. The concept of Risk Based Inspection Programmes were adopted early by the offshore industry and has in the recent years been adopted by many other industries as a reliable and cost efficient way of maintaining a production facility. A Risk/Reliability Based Inspection Programme is a 'living organism' that constantly needs information if it shall be of any value and NDT information is only one type of information that is required. The NDT information required is normally related to corrosion/base material information and weld integrity information. NDT as an integrated part of a plants maintenance system is, in Europe, currently influenced by the following tendencies which all are related to 'Risk Based Inspection': · Increased use of Base-Line Inspections · Reduction in the use of repeated inspections(qualitative- instead of quantitative Inspections). · Inspection results are fed directly into the plant maintenance system. · Fitness-for-Purpose acceptance criteria instead of conventional acceptance criteria. As repeatability and accuracy is a key issue for the data, automated ultrasonic inspection is increasingly used as an alternative to manual ultrasonic inspection, but due to the physical size of most automated ultrasonic inspection systems the gain in productivity has not been as significant as the gain in repeatability and accuracy. In this paper some of FORCE Institute's practical experiences with examinations carried out in connection with Risk Based Inspection is used to illustrate the above described tendencies. Not only examples using automated

  19. SmartInspect: Smart Contract Inspection Technical Report

    OpenAIRE

    Bragagnolo , Santiago; Rocha , Henrique ,; Denker , Marcus; Ducasse , Stéphane

    2017-01-01

    Smart contracts are embedded procedures stored with the data they act upon. Debugging deployed Smart Contracts is a difficult task since once deployed, the code cannot be reexecuted and inspecting a simple attribute is not easily possible because data is encoded. In this technical report, we present SmartInspect to address the lack of inspectability of a deployed contract. Our solution analyses the contract state by using decompilation techniques and a mirror-based architecture to represent t...

  20. Synthetic Aperture Focusing Technique in Ultrasonic Inspection of Coarse Grained Materials

    Energy Technology Data Exchange (ETDEWEB)

    Stepinski, Tadeusz (Uppsala Univ., Signals and Systems, Box 528, SE-751 20 Uppsala (Sweden))

    2007-12-15

    Experience from the ultrasonic inspection of nuclear power plants has shown that large focused transducers are relatively effective in suppressing grain (structure) noise. Operation of a large focused transducer can be thought of as an integration (coherent summation) of individual beams reflected from the target and received by individual points at the transducer surface. Synthetic aperture focusing technique (SAFT), in its simplest version mimics an acoustic lens used for focusing beams at a desired point in the region of interest. Thus, SAFT should be able to suppress the grain noise in the similar way as the focused transducer does. This report presents the results of investigation of SAFT algorithms applied for post-processing of ultrasonic data acquired in inspection of coarse grained metals. The performance of SAFT in terms of its spatial (cross-range) resolution and grain noise suppression is studied. The evaluation is made based on the experimental data obtained from the ultrasonic inspection of test specimens with artificial defects (side drilled holes). SAFT algorithms for both contact and immersion mode are introduced and experimentally verified

  1. a Holistic Approach for Inspection of Civil Infrastructures Based on Computer Vision Techniques

    Science.gov (United States)

    Stentoumis, C.; Protopapadakis, E.; Doulamis, A.; Doulamis, N.

    2016-06-01

    In this work, it is examined the 2D recognition and 3D modelling of concrete tunnel cracks, through visual cues. At the time being, the structural integrity inspection of large-scale infrastructures is mainly performed through visual observations by human inspectors, who identify structural defects, rate them and, then, categorize their severity. The described approach targets at minimum human intervention, for autonomous inspection of civil infrastructures. The shortfalls of existing approaches in crack assessment are being addressed by proposing a novel detection scheme. Although efforts have been made in the field, synergies among proposed techniques are still missing. The holistic approach of this paper exploits the state of the art techniques of pattern recognition and stereo-matching, in order to build accurate 3D crack models. The innovation lies in the hybrid approach for the CNN detector initialization, and the use of the modified census transformation for stereo matching along with a binary fusion of two state-of-the-art optimization schemes. The described approach manages to deal with images of harsh radiometry, along with severe radiometric differences in the stereo pair. The effectiveness of this workflow is evaluated on a real dataset gathered in highway and railway tunnels. What is promising is that the computer vision workflow described in this work can be transferred, with adaptations of course, to other infrastructure such as pipelines, bridges and large industrial facilities that are in the need of continuous state assessment during their operational life cycle.

  2. Towards formalization of inspection using petrinets

    International Nuclear Information System (INIS)

    Javed, M.; Naeem, M.; Bahadur, F.; Wahab, A.

    2014-01-01

    Achieving better quality software has always been a challenge for software developers. Inspection is one of the most efficient techniques, which ensure the quality of software during its development. To the best of our knowledge, current inspection techniques are not realized by any formal approach. In this paper, we propose an inspection technique, which is not only backed by the formal mathematical semantics of Petri nets, but also supports inspecting concurrent processes. We also use a case study of an agent based distributed processing system to demonstrate the inspection of concurrent processes. (author)

  3. Luminescence imaging strategies for drone-based PV array inspection

    DEFF Research Database (Denmark)

    Benatto, Gisele Alves dos Reis; Riedel, Nicholas; Mantel, Claire

    2017-01-01

    The goal of this work is to perform outdoor defect detection imaging that will be used in a fast, accurate and automatic drone-based survey system for PV power plants. The imaging development focuses on techniques that do not require electrical contact, permitting automatic drone inspections...

  4. Development of ultrasonic immersion inspection technique for spent fuel canisters

    International Nuclear Information System (INIS)

    Schankula, J.J.

    1982-07-01

    This report summarizes ultrasonic nondestructive testing development for metal matrix supported spent fuel disposal canisters. The work has concentated in two areas: inspection for lack of bond at the shell/matrix interface and inspection for voids in the matrix. The capabilities and limitations of these techniques have been fully established. Unbonded areas as small as 4 mm in diameter and voids 6 mm in diameter, 25 mm deep in the matrix, can readily be detected

  5. A HOLISTIC APPROACH FOR INSPECTION OF CIVIL INFRASTRUCTURES BASED ON COMPUTER VISION TECHNIQUES

    Directory of Open Access Journals (Sweden)

    C. Stentoumis

    2016-06-01

    Full Text Available In this work, it is examined the 2D recognition and 3D modelling of concrete tunnel cracks, through visual cues. At the time being, the structural integrity inspection of large-scale infrastructures is mainly performed through visual observations by human inspectors, who identify structural defects, rate them and, then, categorize their severity. The described approach targets at minimum human intervention, for autonomous inspection of civil infrastructures. The shortfalls of existing approaches in crack assessment are being addressed by proposing a novel detection scheme. Although efforts have been made in the field, synergies among proposed techniques are still missing. The holistic approach of this paper exploits the state of the art techniques of pattern recognition and stereo-matching, in order to build accurate 3D crack models. The innovation lies in the hybrid approach for the CNN detector initialization, and the use of the modified census transformation for stereo matching along with a binary fusion of two state-of-the-art optimization schemes. The described approach manages to deal with images of harsh radiometry, along with severe radiometric differences in the stereo pair. The effectiveness of this workflow is evaluated on a real dataset gathered in highway and railway tunnels. What is promising is that the computer vision workflow described in this work can be transferred, with adaptations of course, to other infrastructure such as pipelines, bridges and large industrial facilities that are in the need of continuous state assessment during their operational life cycle.

  6. Inspection of training activities by the NRC's Office of Inspection and Enforcement

    International Nuclear Information System (INIS)

    Ruhlman, W.A.

    1975-01-01

    New requirements in the Code of Federal Regulations, the issuance of new Regulatory Guides and ANSI Standards, have indicated the increased emphasis being placed on training by forces in the Nuclear Industry and the Nuclear Regulatory Commission. A brief description is presented of the functions of the Office of Inspection and Enforcement in the training areas. Three areas are examined: general inspection techniques; training inspection scope; and training inspection bases

  7. Remote Inspection Techniques for Reactor Internals of Liquid Metal Reactor by using Ultrasonic Waveguide Sensor

    International Nuclear Information System (INIS)

    Joo, Young Sang; Kim, Seok Hun; Lee, Jae Han

    2006-02-01

    The primary components such as a reactor core, heat exchangers, pumps and internal structures of a liquid metal reactor (LMR) are submerged in hot sodium of reactor vessel. The division 3 of ASME code section XI specifies the visual inspection and continuous monitoring as major in-service inspection (ISI) methods of reactor internal structures. Reactor core and internal structures of LMR can not be visually examined due to an opaque liquid sodium. The under-sodium viewing and remote inspection techniques by using an ultrasonic wave should be applied for the in-service inspection of reactor internals. The remote inspection techniques using ultrasonic wave have been developed and applied for the visualization and ISI of reactor internals. The under sodium viewing technique has a limitation for the application of LMR due to the high temperature and irradiation environment. In this study, an ultrasonic waveguide sensor with a strip plate has been developed for an application to the under-sodium viewing and remote inspection. The Lamb wave propagation of a waveguide sensor has been analyzed and the zero-order antisymmetric A 0 plate wave was selected as the application mode of the sensor. The A 0 plate wave can be propagated in the dispersive low frequency range by using a liquid wedge clamped to the waveguide. A new technique is presented which is capable of steering the radiation beam angle of a waveguide sensor without a mechanical movement of the sensor assembly. The steering function of the ultrasonic radiation beam can be achieved by a frequency tuning method of the excitation pulse in the dispersive range of the A 0 mode. The technique provides an opportunity to overcome the scanning limitation of a waveguide sensor. The beam steering function has been evaluated by an experimental verification. The ultrasonic C-scanning experiments are performed in water and the feasibility of the ultrasonic waveguide sensor has been verified. The various remote inspection

  8. Advanced inspection technology for non intrusive inspection (NII) program

    International Nuclear Information System (INIS)

    Zamir Mohamed Daud

    2003-01-01

    In the current economic environment, plants and facilities are under pressure to introduced cost saving as well as profit maximising measures. Among the many changes in the way things are run is a move towards Risk Based Inspection (RBI), with an emphasis on longer operating periods between shutdowns as well as to utilise components to their maximum capability. Underpinning and RBI program requires good data from an effective online inspections program, which would not require the shutdown of critical components. One methodology of online inspection is known as Non Intrusive Inspection (NII), an inspection philosophy with the objective of replacing internal inspection of a vessel by doing Non Destructive Testing (NDT) and inspections externally. To this end, a variety of advanced NDT techniques are needed to provide accurate online measurements. (Author)

  9. Statistical sampling techniques as applied to OSE inspections

    International Nuclear Information System (INIS)

    Davis, J.J.; Cote, R.W.

    1987-01-01

    The need has been recognized for statistically valid methods for gathering information during OSE inspections; and for interpretation of results, both from performance testing and from records reviews, interviews, etc. Battelle Columbus Division, under contract to DOE OSE has performed and is continuing to perform work in the area of statistical methodology for OSE inspections. This paper represents some of the sampling methodology currently being developed for use during OSE inspections. Topics include population definition, sample size requirements, level of confidence and practical logistical constraints associated with the conduct of an inspection based on random sampling. Sequential sampling schemes and sampling from finite populations are also discussed. The methods described are applicable to various data gathering activities, ranging from the sampling and examination of classified documents to the sampling of Protective Force security inspectors for skill testing

  10. Risk-based inspection of nuclear power plants

    International Nuclear Information System (INIS)

    Masopust, R.

    1995-01-01

    A multidiscipline research programme was developed in the USA to establish risk-based inspections for NPP structures and equipment components. Based on this US research effort, the risk-based procedure for developing inspection guidelines for NPPs is described. The procedure includes the definition of systems, qualitative risk assessment, qualitative risk analysis and development of the inspection programme. The method, when adopted and modified, is recommended also for risk-based inspections of structures and equipment of WWER-type NPPs. A pilot application of the method to unit 1 of the Surry NPP is summarized. (Z.S.) 1 tab., 1 fig., 5 refs

  11. Rail inspection system based on iGPS

    Science.gov (United States)

    Fu, Xiaoyan; Wang, Mulan; Wen, Xiuping

    2018-05-01

    Track parameters include gauge, super elevation, cross level and so on, which could be calculated through the three-dimensional coordinates of the track. The rail inspection system based on iGPS (indoor/infrared GPS) was composed of base station, receiver, rail inspection frame, wireless communication unit, display and control unit and data processing unit. With the continuous movement of the inspection frame, the system could accurately inspect the coordinates of rail; realize the intelligent detection and precision measurement. According to principle of angle intersection measurement, the inspection model was structured, and detection process was given.

  12. Feature Space Dimensionality Reduction for Real-Time Vision-Based Food Inspection

    Directory of Open Access Journals (Sweden)

    Mai Moussa CHETIMA

    2009-03-01

    Full Text Available Machine vision solutions are becoming a standard for quality inspection in several manufacturing industries. In the processed-food industry where the appearance attributes of the product are essential to customer’s satisfaction, visual inspection can be reliably achieved with machine vision. But such systems often involve the extraction of a larger number of features than those actually needed to ensure proper quality control, making the process less efficient and difficult to tune. This work experiments with several feature selection techniques in order to reduce the number of attributes analyzed by a real-time vision-based food inspection system. Identifying and removing as much irrelevant and redundant information as possible reduces the dimensionality of the data and allows classification algorithms to operate faster. In some cases, accuracy on classification can even be improved. Filter-based and wrapper-based feature selectors are experimentally evaluated on different bakery products to identify the best performing approaches.

  13. Risk-based methodology for USNRC inspections

    International Nuclear Information System (INIS)

    Wong, S.M.; Holahan, G.M.; Chung, J.W.; Johnson, M.R.

    1995-01-01

    This paper describes the development and trial applications of a risk-based methodology to enhance the inspection processes for US nuclear power plants. Objectives of risk-based methods to complement prescriptive engineering approaches in US Nuclear Regulatory Commission (USNRC) inspection programs are presented. Insights from time-dependent risk profiles of plant configurational from Individual Plant Evaluation (IPE) studies were integrated to develop a framework for optimizing inspection efforts in NRC regulatory initiatives. Lessons learned from NRC pilot applications of the risk-based methodology for evaluation of the effectiveness of operational risk management programs at US nuclear power plant sites are also discussed

  14. Use of mountaineering rope climbing techniques vs custom-built platforms for penstock inspections

    Energy Technology Data Exchange (ETDEWEB)

    Bechai, M.; Dmochowski, A.; Conlon, J.; Bhat, P.D. [Ontario Hydro, Toronto, ON (Canada); Henderson, D. [Remote Access Technology Inc., Dartmouth, NS (Canada)

    1998-12-01

    Ontario Hydro has developed an inspection and maintenance strategy that requires periodic inspections of their ageing penstocks. The utility has 149 operating penstocks distributed throughout the province. Ontario Hydro experienced problems ranging from minor deterioration to major failures requiring immediate and costly shut-down of entire stations. Three levels of the inspection and maintenance strategy are described. These are a routine external inspections, periodic internal and external inspections, and detailed inspection and assessment. Detailed inspection requires full access to all areas of the penstock, inside and outside, with detailed testing and analysis. Rope access techniques have been used successfully and cost effectively to gain access for close up observation and tactile contact allowing for evaluation and testing. Minor, quick repairs can also be carried out. It was noted that specially designed platforms will still be needed when extensive repairs and maintenance is required. 2 refs., 1 tab., 3 figs.

  15. Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks

    International Nuclear Information System (INIS)

    Spector, S. J.; White, D. L.; Tennant, D. M.; Ocola, L. E.; Novembre, A. E.; Peabody, M. L.; Wood, O. R. II

    1999-01-01

    We have developed two new methods for at-wavelength inspection of mask blanks for extreme-ultraviolet (EUV) lithography. In one method an EUV photoresist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. In the second method, the photoresist is applied to an EUV transparent membrane that is placed in close proximity to the mask and then exposed and developed. Both reflectivity defects and phase defects alter the exposure of the resist, resulting in mounds of resist at defect sites that can then be located by visual inspection. In the direct application method, a higher contrast resist was shown to increase the height of the mounds, thereby improving the sensitivity of the technique. In the membrane method, a holographic technique was used to reconstruct an image of the mask, revealing the presence of very small defects, approximately 0.2 μm in size. The demonstrated clean transfer of phase and amplitude defects to resist features on a membrane will be important when flagging defects in an automatic inspection tool. (c) 1999 American Vacuum Society

  16. Non-destructive inservice inspections

    International Nuclear Information System (INIS)

    Kauppinen, P.; Sarkimo, M.; Lahdenperae, K.

    1998-01-01

    In order to assess the possible damages occurring in the components and structures of operating nuclear power plants during service the main components and structures are periodically inspected by non-destructive testing techniques. The reliability of non-destructive testing techniques applied in these inservice inspections is of major importance because the decisions concerning the needs for repair of components are mainly based on the results of inspections. One of the targets of this research program has been to improve the reliability of non-destructive testing. This has been addressed in the sub-projects which are briefly summarised here. (author)

  17. Multispectral fluorescence imaging techniques for nondestructive food safety inspection

    Science.gov (United States)

    Kim, Moon S.; Lefcourt, Alan M.; Chen, Yud-Ren

    2004-03-01

    The use of spectral sensing has gained acceptance as a rapid means for nondestructive inspection of postharvest food produce. Current technologies generally use color or a single wavelength camera technology. The applicability and sensitivity of these techniques can be expanded through the use of multiple wavelengths. Reflectance in the Vis/NIR is the prevalent spectral technique. Fluorescence, compared to reflectance, is regarded as a more sensitive technique due to its dynamic responses to subtle changes in biological entities. Our laboratory has been exploring fluorescence as a potential means for detection of quality and wholesomeness of food products. Applications of fluorescence sensing require an understanding of the spectral characteristics emanating from constituents and potential contaminants. A number of factors affecting fluorescence emission characteristics are discussed. Because of relatively low fluorescence quantum yield from biological samples, a system with a powerful pulse light source such as a laser coupled with a gated detection device is used to harvest fluorescence, in the presence of ambient light. Several fluorescence sensor platforms developed in our laboratory, including hyperspectral imaging, and laser-induced fluorescence (LIF) and steady-state fluorescence imaging systems with multispectral capabilities are presented. We demonstrate the potential uses of recently developed fluorescence imaging platforms in food safety inspection of apples contaminated with animal feces.

  18. An effective technique for the software requirements analysis of NPP safety-critical systems, based on software inspection, requirements traceability, and formal specification

    International Nuclear Information System (INIS)

    Koo, Seo Ryong; Seong, Poong Hyun; Yoo, Junbeom; Cha, Sung Deok; Yoo, Yeong Jae

    2005-01-01

    A thorough requirements analysis is indispensable for developing and implementing safety-critical software systems such as nuclear power plant (NPP) software systems because a single error in the requirements can generate serious software faults. However, it is very difficult to completely analyze system requirements. In this paper, an effective technique for the software requirements analysis is suggested. For requirements verification and validation (V and V) tasks, our technique uses software inspection, requirement traceability, and formal specification with structural decomposition. Software inspection and requirements traceability analysis are widely considered the most effective software V and V methods. Although formal methods are also considered an effective V and V activity, they are difficult to use properly in the nuclear fields as well as in other fields because of their mathematical nature. In this work, we propose an integrated environment (IE) approach for requirements, which is an integrated approach that enables easy inspection by combining requirement traceability and effective use of a formal method. The paper also introduces computer-aided tools for supporting IE approach for requirements. Called the nuclear software inspection support and requirements traceability (NuSISRT), the tool incorporates software inspection, requirement traceability, and formal specification capabilities. We designed the NuSISRT to partially automate software inspection and analysis of requirement traceability. In addition, for the formal specification and analysis, we used the formal requirements specification and analysis tool for nuclear engineering (NuSRS)

  19. Management of Microbiologically Influenced Corrosion in Risk-Based Inspection Analysis

    DEFF Research Database (Denmark)

    Skovhus, Torben Lund; Andersen, Erlend S.; Hillier, Elisabeth

    2018-01-01

    Operating offshore oil and gas production facilities is often associated with high risk. To manage the risk, operators commonly use aids to support decision making in the establishment of a maintenance and inspection strategy. Risk-based inspection (RBI) analysis is widely used in the offshore...... industry as a means to justify the inspection strategy adopted. The RBI analysis is a decision-making technique that enables asset managers to identify the risk related to failure of their most critical systems and components, with an effect on safety, environmental, and business-related issues. Risk...... influenced corrosion (MIC) is a degradation mechanism that has received increased attention from corrosion engineers and asset operators in the past decades. In this paper, the most recent models that have been developed to assess the impact of MIC on asset integrity will be presented and discussed. From...

  20. Use of knowledge based systems for rational reliability analysis based inspection and maintenance planning for offshore structures

    International Nuclear Information System (INIS)

    Tang, M.X.; Dharmavasan, S.; Peers, S.M.C.

    1994-01-01

    The structural integrity of fixed offshore platforms is ensured by periodic inspections. In the past, decisions made as to when, where and how to inspect have been made by engineers using rules-of-thumb and general planning heuristics. It is now hoped that more rational inspection and maintenance scheduling may be carried out by applying recently developed techniques based on structural reliability methods. However, one of the problems associated with a theoretical approach is that it is not always possible to incorporate all the constraints that are present in a practical situation. These constraints modify the decisions made for analysis data input and the interpretation of the analysis results. Knowledge based systems provide a mean of encapsulating several different forms of information and knowledge within a computer system and hence can overcome this problem. In this paper, a prototype system being developed for integrating reliability based analysis with other constraints for inspection scheduling will be described. In addition, the scheduling model and the algorithms to carry out the scheduling will be explained. Furthermore, implementation details are also given

  1. Reliability-Based Inspection Planning for Structural Systems

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard

    1993-01-01

    A general model for reliability-based optimal inspection and repair strategies for structural systems is described. The total expected costs in the design lifetime is minimized with the number of inspections, the inspection times and efforts as decision variables. The equivalence of this model...... with a preposterior analysis from statistical decision theory is discussed. It is described how information obtained by an inspection can be used in a repair decision. Stochastic models for inspection, measurement and repair actions are presented. The general model is applied for inspection and repair planning...

  2. Under-Sodium Inspection Techniques for Reactor Internals of KALIMER-600 using Ultrasonic Waveguide Sensor

    International Nuclear Information System (INIS)

    Joo, Young Sang; Kim, Seok Hoon; Lee, Jae Han

    2005-01-01

    KALIMER-600 is a pool type liquid metal reactor (LMR) which is operated with a sodium coolant. The reactor internals of KALIMER-600 are submerged in a liquid sodium pool. As the liquid sodium is opaque to the light, a conventional visual inspection can not be used for observing the internal structures under a sodium condition. An under-sodium viewing (USV) technique using an ultrasonic wave should be applied for the observation of the refueling maneuver and the in-service inspection of the reactor internals. Under-sodium inspection technology utilizing ultrasonic waves has been widely developed for a visualization of the reactor core and internal components of LMR. Immersion sensors and waveguide sensors have been applied to the USV inspection. The immersion sensor has a precise imaging capability, but may have high temperature restrictions and an uncertain life. The waveguide sensor has the advantages of simplicity and reliability, but limited in its movement. The new plate-type waveguide sensor has been developed as a useful alternative to immersion sensors for USV applications. In the viewing and monitoring applications, a beam steering function of a waveguide sensor might be required. A new waveguide sensor and technique are being developed to overcome the limitations of a waveguide ultrasonic sensor. In this study, the under-sodium inspection techniques using the newly developed waveguide sensor for the reactor internal structures of KALIMER-600 is proposed

  3. Inspection with non destructive assay techniques of the aluminium coating of the TRIGA Mark III reactor vat

    International Nuclear Information System (INIS)

    Reyes A, A.I.; Gonzalez M, A.; Castaneda J, G.; Rivera M, H.; Sandoval G, I.

    2001-01-01

    In June 2000, the Reactor Department assigned to the Scientific Research Direction of the National Institute of Nuclear Research requested to the Non-destructive Assays Laboratory (LEND), assigned to the Materials Science Management, the inspection and measurement of thickness of the aluminium coating (liner) of the TRIGA Mark III reactor vat with non-destructive assay techniques, due to that the aluminium coating is exposed mainly to undergo slimming on its back side due to corrosion phenomena. Activity that was able to be carried out from april until august 2001. It is worth pointing out that this type of inspection with these techniques was realized by first time. The non-destructive assays (NDA) are techniques which use indirect physical methods for inspecting the sanitation of components in process or in service, for detect lack of continuity or defects which affect their quality or usefulness. The application of those do not alter the physical, chemical, mechanical or dimensional properties of the part subject of inspection. The results of the application of the ultrasound inspection techniques, industrial radiography and penetrating liquids are presented. (Author)

  4. Intelligent Automated Nuclear Fuel Pellet Inspection System

    International Nuclear Information System (INIS)

    Keyvan, S.

    1999-01-01

    At the present time, nuclear pellet inspection is performed manually using naked eyes for judgment and decisionmaking on accepting or rejecting pellets. This current practice of pellet inspection is tedious and subject to inconsistencies and error. Furthermore, unnecessary re-fabrication of pellets is costly and the presence of low quality pellets in a fuel assembly is unacceptable. To improve the quality control in nuclear fuel fabrication plants, an automated pellet inspection system based on advanced techniques is needed. Such a system addresses the following concerns of the current manual inspection method: (1) the reliability of inspection due to typical human errors, (2) radiation exposure to the workers, and (3) speed of inspection and its economical impact. The goal of this research is to develop an automated nuclear fuel pellet inspection system which is based on pellet video (photographic) images and uses artificial intelligence techniques

  5. Review of inspection of Torness reactor internals using remote techniques

    International Nuclear Information System (INIS)

    Dynan, J.

    1993-01-01

    Inspection of reactor internals is increasingly being achieved by deployment of cameras into areas of sometimes high radiation fields using manipulators. The manipulators have been developed over a number of years and from a variety of sources and those provided at Torness can maybe be seen to be the state of the art development of such machines for AGRS. Torness and Heysham 2 reactors were specifically designed for inspection using remote techniques and special facilities have been provided for this purpose. This paper is written by an operator and not a manipulator specialist and is intended to show what the operator needs versus what the designer gave him. (author)

  6. Vision Based Autonomous Robotic Control for Advanced Inspection and Repair

    Science.gov (United States)

    Wehner, Walter S.

    2014-01-01

    The advanced inspection system is an autonomous control and analysis system that improves the inspection and remediation operations for ground and surface systems. It uses optical imaging technology with intelligent computer vision algorithms to analyze physical features of the real-world environment to make decisions and learn from experience. The advanced inspection system plans to control a robotic manipulator arm, an unmanned ground vehicle and cameras remotely, automatically and autonomously. There are many computer vision, image processing and machine learning techniques available as open source for using vision as a sensory feedback in decision-making and autonomous robotic movement. My responsibilities for the advanced inspection system are to create a software architecture that integrates and provides a framework for all the different subsystem components; identify open-source algorithms and techniques; and integrate robot hardware.

  7. A Study on Techniques for Focusing Circumferential Array Guided Waves for Long Range Inspection of Pipes

    International Nuclear Information System (INIS)

    Kang, To; Kim, Hak Joon; Song, Sung Jin; Cho, Young Do; Lee, Dong Hoon; Cho, Hyun Joon

    2009-01-01

    Ultrasonic guided waves have been widely utilized for long range inspection of structures. Especially, development of array guided waves techniques and its application for long range gas pipe lines(length of from hundreds meters to few km) were getting increased. In this study, focusing algorithm for array guided waves was developed in order to improve long range inspectability and accuracy of the array guided waves techniques for long range inspection of gas pipes, and performance of the developed techniques was verified by experiments using the developed array guided wave system. As a result, S/N ratio of array guided wave signals obtained with the focusing algorithm was increased higher than that of signals without focusing algorithm

  8. Ultrasonic recording and display techniques for the inspection of nuclear power plant

    International Nuclear Information System (INIS)

    Ely, R.W.; Hall, G.D.; Johnson, A.; Pascoe, P.T.

    1985-01-01

    This paper describes four systems: MDU, PURDIE, LAURA and DRUID, under development as ultrasonic recording and display techniques for the inspection of nuclear power plant. The MDU system plots either plan or sectional views of the component under test onto a bistable storage screen. PURDIE is a system based around a video cassette recorder which has been modified to record ultrasonic A-scan waveforms and probe positional information. MDU and PURDIE are portable systems, for use under difficult site conditions. They may be manufactured in quantity to satisfy the demanding inspection programmes of nuclear power stations. LAURA is a desk top replay system for the video cassette tapes produced on site by PURDIE. DRUID is a digital desk top replay/display system incorporating a high resolution colour graphics terminal and therefore offering more flexibility and improved display formats. The systems are compatible with each other and some component units are directly interchangeable between the various systems

  9. Application of corrosion screening tools for riser inspection

    International Nuclear Information System (INIS)

    Zamir Mohamed Daud; Vijayan, S.

    2003-01-01

    As offshore facilities approach the end of their design life, owners would like to assess the condition and integrity of plant and equipment. Detailed inspection, including non-destructive testing (NDT), are implemented and results are utilised for predictive maintenance and estimating useful remaining life. Except for risk based inspection, the extent of surface coverage required would be more compared to inspection of pre-determined spots. Risers, for example, usually have several layers of coating that prevent use of conventional techniques for inspection of corrosion. Complete coverage requires access (including removal coatings and insulation). Inspection utilising the conventional NDT tools can be very slow and expensive. However, recent advances have forwarded the use of specialised NDT techniques that were developed for inspection of corrosion under insulation (CUI). This paper details two screening inspection tools, LIXI Profiler and RTD-INCOTEST that have been applied to inspection of risers. LIXI Profiler is based on attenuation of penetrating radiation by materials, and RTD-INCOTEST is based on decay of pulsed eddy current in materials. (Author)

  10. Application of non-destructive liner thickness measurement technique for manufacturing and inspection process of zirconium lined cladding tube

    International Nuclear Information System (INIS)

    Nakazawa, Norio; Fukuda, Akihiro; Fujii, Noritsugu; Inoue, Koichi

    1986-01-01

    Recently, in order to meet the difference of electric power demand owing to electric power situation, large scale load following operation has become necessary. Therefore, the development of the cladding tubes which withstand power variation has been carried out, as the result, zirconium-lined zircaloy 2 cladding tubes have been developed. In order to reduce the sensitivity to stress corrosion cracking, these zirconium-lined cladding tubes require uniform liner thickness over the whole surface and whole length. Kobe Steel Ltd. developed the nondestructive liner thickness measuring technique based on ultrasonic flaw detection technique and eddy current flaw detection technique. These equipments were applied to the manufacturing and inspection processes of the zirconium-lined cladding tubes, and have demonstrated superiority in the control and assurance of the liner thickness of products. Zirconium-lined cladding tubes, the development of the measuring technique for guaranteeing the uniform liner thickness and the liner thickness control in the manufacturing and inspection processes are described. (Kako, I.)

  11. From PISC to risk informed inspection

    International Nuclear Information System (INIS)

    Crutzen, S.; Lemaitre, P.; Fabbri, L.

    1998-01-01

    In this paper the correlation between inspection effectiveness, inspection qualification and risk informed inspection will be treated in view of harmonisation of inspection of nuclear plant components. Through the different phases of the PISC programme the necessity has been demonstrated to show the effectiveness of the inspection through a formal process of qualification in order to ensure that a certain level of effectiveness has been reached. Inspection qualification is indeed the way to quantify the capability level of inspection techniques used. The targets to be met by the inspection is information which should be obtained from risk based analyses. (author)

  12. Comparison of API 510 pressure vessels inspection planning with API 581 risk-based inspection planning approaches

    International Nuclear Information System (INIS)

    Shishesaz, Mohammad Reza; Nazarnezhad Bajestani, Mohammad; Hashemi, Seyed Javad; Shekari, Elahe

    2013-01-01

    To ensure mechanical integrity, all pressure vessels shall be inspected at the intervals provided in inspection codes or based on a risk-based inspection (RBI) assessment. The RBI assessment may allow previously established inspection intervals to be extended. This paper describes the methodology, analysis and results of two RBI studies conducted on 293 pressure vessel components in two crude oil distillation units. Based on API RBI methodology in API 581 (2008), risk target concept was used for determining inspection dates. It was shown that when thinning is the major active damage, the RBI recommended intervals are as long as twice the API 510 intervals. This paper summarizes that, as a fundamental step in the risk calculation, RBI has a more defined methodology for evaluating equipment for multiple damage mechanisms and a more defined approach to specify the use of other inspection technologies beyond the traditional visual, ultrasonic, and radiography tests. -- Highlights: • RBI calculated inspection intervals are as long as twice of API 510 inspection code. • Two case studies verified the advantage of RBI in inspection planning. • RBI is a more reliable methodology when evaluating multiple damage mechanisms. • Damage factor calculations can be used for determining RSFa value in FFS assessments

  13. Generic Reliability-Based Inspection Planning for Fatigue Sensitive Details

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Straub, Daniel; Faber, Michael Havbro

    2005-01-01

    of fatigue sensitive details in fixed offshore steel jacket platforms and FPSO ship structures. Inspection and maintenance activities are planned such that code based requirements to the safety of personnel and environment for the considered structure are fulfilled and at the same time such that the overall......The generic approach for planning of in-service NDT inspections is extended to cover the case where the fatigue load is modified during the design lifetime of the structure. Generic reliability-based inspection planning has been developed as a practical approach to perform inspection planning...... expected costs for design, inspections, repairs and failures are minimized. The method is based on the assumption of “no-finds” of cracks during inspections. Each fatigue sensitive detail is categorized according to their type of details (SN curves), FDF values, RSR values, inspection, repair and failure...

  14. Risk-based inspection--Development of guidelines

    International Nuclear Information System (INIS)

    1993-07-01

    Effective inservice inspection programs can play a significant role in minimizing equipment and structural failures. Most of the current inservice inspection programs for light water reactor (LWR) nuclear power plant components are based on experience and engineers' qualitative judgment. These programs include only an implicit consideration of risk, which combines the probability of failure of a component under its operation and loading conditions and the consequences of such failure, if it occurs. This document recommends appropriate methods for establishing a risk-based inspection program for LWR nuclear power plant components. The process has been built from a general methodology (Volume 1) and has been expanded to involve five major steps: defining the system; evaluating qualitative risk assessment results; using this and information from plant probabilistic risk assessments to perform a quantitative risk analysis; selecting target failure probabilities; and developing an inspection program for components using economic decision analysis and structural reliability assessment methods

  15. Development of inspection robots for bridge cables.

    Science.gov (United States)

    Yun, Hae-Bum; Kim, Se-Hoon; Wu, Liuliu; Lee, Jong-Jae

    2013-01-01

    This paper presents the bridge cable inspection robot developed in Korea. Two types of the cable inspection robots were developed for cable-suspension bridges and cable-stayed bridge. The design of the robot system and performance of the NDT techniques associated with the cable inspection robot are discussed. A review on recent advances in emerging robot-based inspection technologies for bridge cables and current bridge cable inspection methods is also presented.

  16. Study of a risk-based piping inspection guideline system.

    Science.gov (United States)

    Tien, Shiaw-Wen; Hwang, Wen-Tsung; Tsai, Chih-Hung

    2007-02-01

    A risk-based inspection system and a piping inspection guideline model were developed in this study. The research procedure consists of two parts--the building of a risk-based inspection model for piping and the construction of a risk-based piping inspection guideline model. Field visits at the plant were conducted to develop the risk-based inspection and strategic analysis system. A knowledge-based model had been built in accordance with international standards and local government regulations, and the rational unified process was applied for reducing the discrepancy in the development of the models. The models had been designed to analyze damage factors, damage models, and potential damage positions of piping in the petrochemical plants. The purpose of this study was to provide inspection-related personnel with the optimal planning tools for piping inspections, hence, to enable effective predictions of potential piping risks and to enhance the better degree of safety in plant operations that the petrochemical industries can be expected to achieve. A risk analysis was conducted on the piping system of a petrochemical plant. The outcome indicated that most of the risks resulted from a small number of pipelines.

  17. Evaluation of the feasibility for detecting hidden corrosion damage in multi-layer gusset plates using multiple inspection techniques

    International Nuclear Information System (INIS)

    Cobb, Adam C.; Duffer, Charles E.; Light, Glenn M.

    2014-01-01

    Gusset plates are used to connect the members in truss bridges and they are usually inspected using calipers or conventional thickness measurement ultrasonic testing (UT) devices. The damage mechanism of particular concern in gusset plates is corrosion and the regions most susceptible to corrosion damage are on the gusset interior surface where it intersects the chord, diagonal, and vertical members from water collecting at the interfaces. For heavily loaded gusset plates, one or more shingle plates are used to reinforce the gusset plate, creating a multi-layer structure. While the areas with corrosion damage remain near the members on the gusset plate, the shingle plates cover the gusset plate and greatly limit the surface access to the gusset plate, making UT thickness measurement impractical. Because of the critical nature of the gussets, a viable inspection strategy for multi-layer gusset assemblies must be developed. The premise of this research and development effort was to develop viable, field-deployable inspection approaches for this problem area. This paper presents three separate inspection approaches: two ultrasonic-based techniques and one radiographic approach. Each of these techniques was evaluated on a mock-up specimen provided by the Federal Highway Administration (FHWA) that is representative of gusseted connection from a truss bridge

  18. Evaluation of the feasibility for detecting hidden corrosion damage in multi-layer gusset plates using multiple inspection techniques

    Energy Technology Data Exchange (ETDEWEB)

    Cobb, Adam C.; Duffer, Charles E.; Light, Glenn M. [Southwest Research Institute, 6220 Culebra Road, San Antonio, TX 78238-5166 (United States)

    2014-02-18

    Gusset plates are used to connect the members in truss bridges and they are usually inspected using calipers or conventional thickness measurement ultrasonic testing (UT) devices. The damage mechanism of particular concern in gusset plates is corrosion and the regions most susceptible to corrosion damage are on the gusset interior surface where it intersects the chord, diagonal, and vertical members from water collecting at the interfaces. For heavily loaded gusset plates, one or more shingle plates are used to reinforce the gusset plate, creating a multi-layer structure. While the areas with corrosion damage remain near the members on the gusset plate, the shingle plates cover the gusset plate and greatly limit the surface access to the gusset plate, making UT thickness measurement impractical. Because of the critical nature of the gussets, a viable inspection strategy for multi-layer gusset assemblies must be developed. The premise of this research and development effort was to develop viable, field-deployable inspection approaches for this problem area. This paper presents three separate inspection approaches: two ultrasonic-based techniques and one radiographic approach. Each of these techniques was evaluated on a mock-up specimen provided by the Federal Highway Administration (FHWA) that is representative of gusseted connection from a truss bridge.

  19. Inspection of an artificial heart by the neutron radiography technique

    International Nuclear Information System (INIS)

    Pugliesi, R.; Geraldo, L.P.; Andrade, M.L.G.; Menezes, M.O.; Pereira, M.A.S.; Maizato, M.J.S.

    1999-01-01

    The neutron radiography technique was employed to inspect an artificial heart prototype which is being developed to provide blood circulation for patients expecting heart transplant surgery. The radiographs have been obtained by the direct method with a gadolinium converter screen along with the double coated Kodak-AA emulsion film. The artificial heart consists of a flexible plastic membrane located inside a welded metallic cavity, which is employed for blood pumping purposes. The main objective of the present inspection was to identify possible damages in this plastic membrane, produced during the welding process of the metallic cavity. The obtained radiographs were digitized as well as analysed in a PC and the improved images clearly identify several damages in the plastic membrane, suggesting changes in the welding process

  20. Inspection of an artificial heart by the neutron radiography technique

    CERN Document Server

    Pugliesi, R; Andrade, M L G; Menezes, M O; Pereira, M A S; Maizato, M J S

    1999-01-01

    The neutron radiography technique was employed to inspect an artificial heart prototype which is being developed to provide blood circulation for patients expecting heart transplant surgery. The radiographs have been obtained by the direct method with a gadolinium converter screen along with the double coated Kodak-AA emulsion film. The artificial heart consists of a flexible plastic membrane located inside a welded metallic cavity, which is employed for blood pumping purposes. The main objective of the present inspection was to identify possible damages in this plastic membrane, produced during the welding process of the metallic cavity. The obtained radiographs were digitized as well as analysed in a PC and the improved images clearly identify several damages in the plastic membrane, suggesting changes in the welding process.

  1. Bridging of inspection with corrosion management

    International Nuclear Information System (INIS)

    Zamaluddin Ali; Mohd Hawari Hassan; Rohana Jaafar

    2009-01-01

    Formerly, Inspection and Corrosion Engineers have less interaction and sharing of information to each other even they are working in the same plant or organization. Inspection finding either from visual inspection or NDT techniques rarely shared with corrosion engineers. Similarly corrosion engineers rarely discussed their corrosion prediction and potential damage mechanism with inspection engineers. A demanding request of more holistic plant safety and asset integrity promoted the introduction and implementation of Risk Based Inspection (RBI). RBI analysis demands the input mainly from both disciplines i.e. Inspection and Corrosion Engineers. Most of RBI methodologies are once-off analysis approach which also promoted once-off interaction between Inspection and Corrosion Engineers. PETRONAS has developed a methodology with supporting software, integrating both Inspection and Corrosion disciplines. PETRONAS Risk Based Inspection (PRBI) is intended to promote continuous integration of Inspection and Corrosion management of the plant through out the whole life cycle starting from the design stage to fabrication, operation and decommissions stage. (author)

  2. Development of Inspection Robots for Bridge Cables

    Directory of Open Access Journals (Sweden)

    Hae-Bum Yun

    2013-01-01

    Full Text Available This paper presents the bridge cable inspection robot developed in Korea. Two types of the cable inspection robots were developed for cable-suspension bridges and cable-stayed bridge. The design of the robot system and performance of the NDT techniques associated with the cable inspection robot are discussed. A review on recent advances in emerging robot-based inspection technologies for bridge cables and current bridge cable inspection methods is also presented.

  3. Evaluation of piping reliability and failure data for use in risk-based inspections of nuclear power plants

    International Nuclear Information System (INIS)

    Vasconcelos, V. de; Soares, W.A.; Costa, A.C.L. da; Rabello, E.G.; Marques, R.O.

    2016-01-01

    During operation of industrial facilities, components and systems can deteriorate over time, thus increasing the possibility of accidents. Risk-Based Inspection (RBI) involves inspection planning based on information about risks, through assessing of probability and consequence of failures. In-service inspections are used in nuclear power plants, in order to ensure reliable and safe operation. Traditional deterministic inspection approaches investigate generic degradation mechanisms on all systems. However, operating experience indicates that degradation occurs where there are favorable conditions for developing a specific mechanism. Inspections should be prioritized at these places. Risk-Informed In-service Inspections (RI-ISI) are types of RBI that use Probabilistic Safety Assessment results, increasing reliability and plant safety, and reducing radiation exposure. These assessments use both available generic reliability and failure data, as well as plant specific information. This paper proposes a method for evaluating piping reliability and failure data important for RI-ISI programs, as well as the techniques involved. (author)

  4. Evaluation of piping reliability and failure data for use in risk-based inspections of nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Vasconcelos, V. de; Soares, W.A.; Costa, A.C.L. da; Rabello, E.G.; Marques, R.O., E-mail: vasconv@cdtn.br [Centro de Desenvolvimento da Tecnologia Nuclear (CDTN/CNEN-MG), Belo Horizonte, MG (Brazil)

    2016-07-01

    During operation of industrial facilities, components and systems can deteriorate over time, thus increasing the possibility of accidents. Risk-Based Inspection (RBI) involves inspection planning based on information about risks, through assessing of probability and consequence of failures. In-service inspections are used in nuclear power plants, in order to ensure reliable and safe operation. Traditional deterministic inspection approaches investigate generic degradation mechanisms on all systems. However, operating experience indicates that degradation occurs where there are favorable conditions for developing a specific mechanism. Inspections should be prioritized at these places. Risk-Informed In-service Inspections (RI-ISI) are types of RBI that use Probabilistic Safety Assessment results, increasing reliability and plant safety, and reducing radiation exposure. These assessments use both available generic reliability and failure data, as well as plant specific information. This paper proposes a method for evaluating piping reliability and failure data important for RI-ISI programs, as well as the techniques involved. (author)

  5. Generalizing Perspective-based Inspection to handle Object-Oriented Development Artifacts

    OpenAIRE

    Laitenberger, O.; Atkinson, C.

    1998-01-01

    The value of software inspection for uncovering defects early in the development lifecycle has been well documented. Of the various types of inspection methods published to date, experiments have shown perspective-based inspection to be one of the most effective, because of its enhanced coverage of the defect space. However, inspections in general, and perspective-based inspections in particular, have so far been applied predominantly in the context of conventional structured development meth...

  6. Application of reliability techniques to prioritize BWR [boiling water reactor] recirculation loop welds for in-service inspection

    International Nuclear Information System (INIS)

    Holman, G.S.

    1989-12-01

    In January 1988 the US Nuclear Regulatory Commission issued Generic Letter 88-01 together with NUREG-0313, Revision 2, ''Technical Report on Material Selection and Processing Guidelines for BWR Coolant Pressure Boundary Piping,'' to implement NRC long-range plans for addressing the problem of stress corrosion cracking in boiling water reactor piping. NUREG-0313 presents guidelines for categorizing BWR pipe welds according to their SCC condition (e.g., presence of known cracks, implementation of measures for mitigating SCC) as well as recommended inspection schedules (e.g., percentage of welds inspected, inspection frequency) for each weld category. NUREG-0313 does not, however, specify individual welds to be inspected. To address this issue, the Lawrence Livermore National Laboratory developed two recommended inspection samples for welds in a typical BWR recirculation loop. Using a probabilistic fracture mechanics model, LLNL prioritized loop welds on the basis of estimated leak probabilities. The results of this evaluation indicate that riser welds and bypass welds should be given priority attention over other welds. Larger-diameter welds as a group can be considered of secondary importance compared to riser and bypass welds. A ''blind'' comparison between the probability-based inspection samples and data from actual field inspections indicated that the probabilistic analysis generally captured the welds which the field inspections identified as warranting repair or replacement. Discrepancies between the field data and the analytic results can likely be attributed to simplifying assumptions made in the analysis. The overall agreement between analysis and field experience suggests that reliability techniques -- when combined with historical experience -- represent a sound technical basis on which to define meaningful weld inspection programs. 13 refs., 8 figs., 5 tabs

  7. Inspection of internal tank welds using the ACFM inspection method

    International Nuclear Information System (INIS)

    Topp, D.A.; Lugg, M.C.

    2009-01-01

    The paper describes recent developments of the ACFM technique and describes several case studies where ACFM has been used to inspect the internal plate welds on large steel storage tanks in refineries. For weld inspection, conventional methods such as magnetic particle inspection or vacuum box testing are generally used. This paper presents comparisons of the results from ACFM with those from the conventional methods, from which conclusions are drawn as to the benefits this technique offers in terms of cost, time savings and inspection reliability. (author)

  8. A strategy for the risk-based inspection of pressure safety valves

    International Nuclear Information System (INIS)

    Chien, C.-H.; Chen, C.-H.; Chao, Y.J.

    2009-01-01

    The purpose of a pressure safety valve (PSV) is to protect the life and safety of pressure vessels in a pressurized system. If a weakened PSV fails to function properly, a catastrophic event might occur if no other protective means are provided. By utilizing the as-received test data and statistical analysis of the aging conditions of PSVs in lubricant process units, a risk-based inspection (RBI) system was developed in this study. First of all, the characteristics of PSV were discussed from the practical viewpoint of engineering inspection and maintenance. The as-received test data, which shows obvious PSV damage, will be separated from the data used in the following statistical analysis. Then, the relationship between the aging conditions and the corresponding PSV parameters was analyzed by using the statistical technique-analysis of variance (ANOVA). Finally, a strategy for semi-quantitative RBI is proposed. Also, a definitive estimated inspection interval for every PSV is suggested. The outcome indicated most of the risks result from a few PSVs, for which the corresponding inspection intervals will be shorter than the 2 years in accordance with relative standards and local government regulations

  9. Ergodicity of forward times of the renewal process in a block-based inspection model using the delay time concept

    International Nuclear Information System (INIS)

    Wang Wenbin; Banjevic, Dragan

    2012-01-01

    The delay time concept and the techniques developed for modelling and optimising plant inspection practice have been reported in many papers and case studies. For a system subject to a few major failure modes, component based delay time models have been developed under the assumptions of an age-based inspection policy. An age-based inspection assumes that an inspection is scheduled according to the age of the component, and if there is a failure renewal, the next inspection is always, say τ times, from the time of the failure renewal. This applies to certain cases, particularly important plant items where the time since the last renewal or inspection is a key to schedule the next inspection service. However, in most cases, the inspection service is not scheduled according to the need of a particular component, rather it is scheduled according to a fixed calendar time regardless whether the component being inspected was just renewed or not. This policy is called a block-based inspection which has the advantage of easy planning and is particularly useful for plant items which are part of a larger system to be inspected. If a block-based inspection policy is used, the time to failure since the last inspection prior to the failure for a particular item is a random variable. This time is called the forward time in this paper. To optimise the inspection interval for block-based inspections, the usual criterion functions such as expected cost or down time per unit time depend on the distribution of this forward time. We report in this paper the development of a theoretical proof that a limiting distribution for such a forward time exists if certain conditions are met. We also propose a recursive algorithm for determining such a limiting distribution. A numerical example is presented to demonstrate the existence of the limiting distribution.

  10. Additive Manufacturing Infrared Inspection

    Science.gov (United States)

    Gaddy, Darrell; Nettles, Mindy

    2015-01-01

    The Additive Manufacturing Infrared Inspection Task started the development of a real-time dimensional inspection technique and digital quality record for the additive manufacturing process using infrared camera imaging and processing techniques. This project will benefit additive manufacturing by providing real-time inspection of internal geometry that is not currently possible and reduce the time and cost of additive manufactured parts with automated real-time dimensional inspections which deletes post-production inspections.

  11. Research on automatic inspection technique of real-time radiography for turbine-blade

    International Nuclear Information System (INIS)

    Zhou, Z.G.; Zhao, S.; An, Z.G.

    2004-01-01

    To inspect turbine blade automatically, with a real-time radiographic system based on X-ray flat panel detector, computerized defect extraction technique is studied on the basis of characteristics of turbine blade's digital radiographic images. At first, in the light of a variety of gray-level in a turbine blade's digital radiographic image, it is divided into six subareas. An adaptive median filter is used to smooth defects in each subarea. Then, the filtrated image is subtracted from the raw image and a difference image with flat background and outstanding defects is obtained. After that, thresholding is applied to the difference image and defects in the turbine blade become obvious. Later on, a morphological opening is used to realize noise reduction. In order to ensure the accuracy of defects, a region growing method is adopted to reconstruct the defects. Finally, the feature data of defects are extracted. The comparison between computerized feature extraction results and human interpretation results indicates that the method mentioned above is effective and efficient, which will lay a good foundation for automatic inspection of turbine-blade with X-ray. (author)

  12. In service inspection of pipes based on risk methods

    International Nuclear Information System (INIS)

    Mendoza G, G.; Viais J, J.; Carmona C, M.

    2006-01-01

    The politics of the Nuclear Regulatory Commission (by its initials in English NRC) of the United States of America on the use of the Probabilistic Safety Analysis (PSA) in activities of nuclear regulation it foments the use of this analysis technique to improve the decisions making, to reduce the unnecessary work in maintenance aspects, inspection and tests and to improve the regulatory efficiency. The inspection programs in service (ISI by its initials in English) developed by the American Society of Mechanical Engineers (by its initials in English ASME) it has been the one primary mechanism to prove the mechanical equipment in plants of nuclear energy, these programs indeed have been carried out in plants of nuclear energy by more of two decades. Their purpose is to identify the conditions, such as indications of cracks that are precursory of flights and ruptures which violate the integrity principles of the pressure frontier. The inspection in service activities include ultrasonic tests, surface tests and penetrating liquids test, also activities that include the scaffolds construction, removal of insulations and welding polishing. The inspections in service every 18 months during the times outside of service are executed. One of the objectives is to lower the costs of the inspections during the times outside of service and to reduce the exposure to the radiation by part of the personnel during these times out for inspections, while it is increased or it maintains the personnel's safety and the reliability. As part of the methodology a pipe segment is selected for which a fault in any point has the same consequences, being calculated the fault probability of the tube using the dimensions of the segment. In this work the inspection in service methodology is applied based on risk to an aspersion system of low pressure of the Laguna Verde Nucleo electric Central. For this system a reduction in the number of welding to inspect of 103 to only 15 is obtained

  13. Review of techniques for on-line monitoring and inspection of laser welding

    International Nuclear Information System (INIS)

    Shao, J; Yan, Y

    2005-01-01

    Laser welding has been applied to various industries, in particular, automotive, aerospace and microelectronics. However, traditional off-line testing of the welds is costly and inefficient. Therefore, on-line inspection systems with low cost have being developed to increase productivity and maintain high welding quality. This paper presents the applications of acoustic, optical, visual, thermal and ultrasonic techniques and latest development of laser welding monitoring. The advantages and limitations of these techniques are also discussed

  14. Application of the perspective-based reading technique in the nuclear I and C context. CORSICA work report 2011

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, J.

    2012-07-01

    Inspections and reviews are one of the most effective ways of detecting errors in software development. The methods are also cost-effective because defects can be spotted early in the development, and thus the cost of repairing the defects is lower. Reading techniques are the procedures that are used in the inspection or review of a software artefact. The most common procedures are simple ad-hoc reading and a checklist- based reading technique. However, more advanced and detailed procedures have been created for various purposes. This report reviews the state-of-the-art software reading techniques used in inspections and reviews, and briefly reviews some of the empirical research in this context. The majority of the empirical research results indicate that, for example, perspective-based reading is more cost-effective and can detect more defects than more basic reading techniques. This report also describes how perspective-based reading can be applied to the inspection of nuclear-domain requirement specifications. For this purpose, seven perspective-based reading scenarios have been created. (orig.)

  15. Phased Array Ultrasonic Inspection of Titanium Forgings

    International Nuclear Information System (INIS)

    Howard, P.; Klaassen, R.; Kurkcu, N.; Barshinger, J.; Chalek, C.; Nieters, E.; Sun, Zongqi; Fromont, F. de

    2007-01-01

    Aerospace forging inspections typically use multiple, subsurface-focused sound beams in combination with digital C-scan image acquisition and display. Traditionally, forging inspections have been implemented using multiple single element, fixed focused transducers. Recent advances in phased array technology have made it possible to perform an equivalent inspection using a single phased array transducer. General Electric has developed a system to perform titanium forging inspection based on medical phased array technology and advanced image processing techniques. The components of that system and system performance for titanium inspection will be discussed

  16. RBI - Risk Based Inspection: new technologies and methods applied to inspections of FPSO (Floating Production, Storage and Offloading Vessel) hull; IBR - Inspecao Baseada em Risco: novas tecnologias e metodos aplicados as inspecoes de casco de FPSOs

    Energy Technology Data Exchange (ETDEWEB)

    Farias, Bruno de; Figueiredo, Eduardo; Luiz, Marcio [PETROBRAS S.A., Rio de Janeiro, RJ (Brazil); Meurer, Gustavo; Duarte, Romulo; Oliveira, Thais; Krzonkalla, Viviane [ABS Consulting, Rio de Janeiro, RJ (Brazil)

    2008-07-01

    With the aging of the FPSO's, which are fundamental to the operation Offshore, better techniques and technologies must be applied to assess more accurately the actual efficiency and structural conditions of producing unit. With the emergence of new engineering techniques and equipment and methods of structural inspection, is now possible to use these new technologies to better manage risk and reliability of the structure of the FPSO, with that, the inspections and methods are more rational and efficient. The Risk-Based Inspection is the tool for monitoring operation of industrial plants with systemic use of technology in conjunction with risk analysis and reliability. His concept is applicable to various branches of industry. The companies began their implementation of oil by refineries. The group of ABS Consulting with PETROBRAS has been developing and implementing these new technologies in inspections of hulls of FPSO's. Applied successfully in the units of the Campos basin, these methods are used by the group of Risk-Based Inspection to improve the efficiency of all the steps involved with the structural integrity of the unit. (author)

  17. Can non-destructive inspection be reliable

    International Nuclear Information System (INIS)

    Silk, M.G.; Stoneham, A.M.; Temple, J.A.G.

    1988-01-01

    The paper on inspection is based on the book ''The reliability of non-destructive inspection: assessing the assessment of structures under stress'' by the present authors (published by Adam Hilger 1987). Emphasis is placed on the reliability of inspection and whether cracks in welds or flaws in components can be detected. The need for non-destructive testing and the historical attitudes to non-destructive testing are outlined, along with the case of failure. Factors influencing reliable inspection are discussed, and defect detection trials involving round robin tests are described. The development of reliable inspection techniques and the costs of reliability and unreliability are also examined. (U.K.)

  18. Development of an ultrasonic weld inspection system based on image processing and neural networks

    Science.gov (United States)

    Roca Barceló, Fernando; Jaén del Hierro, Pedro; Ribes Llario, Fran; Real Herráiz, Julia

    2018-04-01

    Several types of discontinuities and defects may be present on a weld, thus leading to a considerable reduction of its resistance. Therefore, ensuring a high welding quality and reliability has become a matter of key importance for many construction and industrial activities. Among the non-destructive weld testing and inspection techniques, the time-of-flight diffraction (TOFD) arises as a very safe (no ionising radiation), precise, reliable and versatile practice. However, this technique presents a relevant drawback, associated to the appearance of speckle noise that should be addressed. In this regard, this paper presents a new, intelligent and automatic method for weld inspection and analysis, based on TOFD, image processing and neural networks. The developed system is capable of detecting weld defects and imperfections with accuracy, and classify them into different categories.

  19. Application of the cylindrically guided wave technique for bolt and pump-shaft inspections

    International Nuclear Information System (INIS)

    Light, G.M.; Ruescher, E.H.; Bloom, E.A.; Tsai, Y.M.

    1990-01-01

    Southwest Research Institute (SwRI) has been working with the cylindrically guided wave technique (CGWT) since late 1982. The initial work was aimed at inspecting reactor pressure vessel hold-down studs. The CGWT was shown to be able to detect defects as small as 0.060 inch (1.5 mm) deep through metal paths up to 120 inches (304 cm) in stud bolt carbon steel. Later developments in the application of CGWT were aimed at inspecting reactor coolant pump (RCP) shafts. The RCP shafts are usually approximately 2 meters long and have changing diameters along the length, from approximately 12 cm to 23 cm in discrete steps. The pump shafts have been susceptible to small cracks and can be inspected most cost-effectively from the top of the shaft. A matrix transducer composed of six 1-inch (2.54-cm) diameter transducers along with pulsing and receiving electronics (EPRI Pump-Shaft Inspection System) was developed during 1988. A patent application for this technology has been made. This report describes the work conducted during 1989 and the results obtained

  20. Application of polarization in high speed, high contrast inspection

    Science.gov (United States)

    Novak, Matthew J.

    2017-08-01

    Industrial optical inspection often requires high speed and high throughput of materials. Engineers use a variety of techniques to handle these inspection needs. Some examples include line scan cameras, high speed multi-spectral and laser-based systems. High-volume manufacturing presents different challenges for inspection engineers. For example, manufacturers produce some components in quantities of millions per month, per week or even per day. Quality control of so many parts requires creativity to achieve the measurement needs. At times, traditional vision systems lack the contrast to provide the data required. In this paper, we show how dynamic polarization imaging captures high contrast images. These images are useful for engineers to perform inspection tasks in some cases where optical contrast is low. We will cover basic theory of polarization. We show how to exploit polarization as a contrast enhancement technique. We also show results of modeling for a polarization inspection application. Specifically, we explore polarization techniques for inspection of adhesives on glass.

  1. Inspection of disposal canisters components

    International Nuclear Information System (INIS)

    Pitkaenen, J.

    2013-12-01

    This report presents the inspection techniques of disposal canister components. Manufacturing methods and a description of the defects related to different manufacturing methods are described briefly. The defect types form a basis for the design of non-destructive testing because the defect types, which occur in the inspected components, affect to choice of inspection methods. The canister components are to nodular cast iron insert, steel lid, lid screw, metal gasket, copper tube with integrated or separate bottom, and copper lid. The inspection of copper material is challenging due to the anisotropic properties of the material and local changes in the grain size of the copper material. The cast iron insert has some acoustical material property variation (attenuation, velocity changes, scattering properties), which make the ultrasonic inspection demanding from calibration point of view. Mainly three different methods are used for inspection. Ultrasonic testing technique is used for inspection of volume, eddy current technique, for copper components only, and visual testing technique are used for inspection of the surface and near surface area

  2. SIIA: a knowledge-based assistant for the SAFT ultrasonic inspection system(a)

    International Nuclear Information System (INIS)

    Melton, R.B.; Doctor, S.R.; Taylor, T.T.; Badalamente, R.V.

    1987-01-01

    SIIA(b) is a knowledge-based system designed to assist in making the operation of the Synthetic Aperture Focussing Technique (SAFT) Ultrasonic Inspection System more reliable and efficient. This paper reports on their effort to develop a prototype version of SIIA to demonstrate the feasibility of using knowledge-based systems in nondestructive evaluation (NDE). The first section of the paper describes the structure of the problem and their conceptual design of the knowledge-based system. The next section describes the current state of the prototype SIIA system and relates some of their experiences in developing the system. The final section discusses their plans for future development of SIIA and the implications of this type of system for other NDE techniques and applications

  3. Integrating design and production planning with knowledge-based inspection planning system

    International Nuclear Information System (INIS)

    Abbasi, Ghaleb Y.; Ketan, Hussein S.; Adil, Mazen B.

    2005-01-01

    In this paper an intelligent environment to integrate design and inspection earlier to the design stage. A hybrid knowledge-based approach integrating computer-aided design (CAD) and computer-aided inspection planning (CAIP) was developed, thereafter called computer-aided design and inspection planning (CADIP). CADIP was adopted for automated dimensional inspection planning. Critical functional features were screened based on certain attributes for part features for inspection planning application. Testing the model resulted in minimizing the number of probing vectors associated with the most important features in the inspected prismatic part, significant reduction in inspection costs and release of human labor. In totality, this tends to increase customer satisfaction as a final goal of the developed system. (author)

  4. Use of the cylindrically guided wave technique for the inspection of stud bolts, valve stems and pump shafts

    International Nuclear Information System (INIS)

    Light, G.M.; Bloom, E.A.; Ruescher, E.H.; Lui, S.N.

    1989-01-01

    Over the last several years, nuclear power plants have expressed concern about failures of bolting, valve stems, and pump shafts. This paper reports on the development of an ultrasonic technique to inspect these components. The authors have successfully demonstrated the cylindrically guided wave technique (CGWT) on a wide range of stud bolts. The CGWT employs zero-degree longitudinal waves constrained to travel within the boundary of the cylindrically shaped components during inspection. Theoretically explained, mode conversion occurs because the ultrasonic wave is guided down the length of the component. These mode-converted signals are dependent upon the diameter of the component under inspection and the longitudinal- and shear-wave velocities of the component material. This technique has also been successfully used on valve stems in the field. The geometry of the valve stem is very similar to that of the stud bolt

  5. Generic safety insights for inspection of boiling water reactors

    International Nuclear Information System (INIS)

    Higgins, J.C.; Taylor, J.H.; Fresco, A.N.; Hillman, B.M.

    1987-01-01

    As the number of operating nuclear power plants (NPP) increases, safety inspection has increased in importance. However, precisely what is important, and what is not important? What should one focus inspection efforts on. Over the last two years Probabilistic Risk Assessment (PR) techniques have been developed to aid in the inspection process. Broad interest in generic PRA-based methods has arisen in the past year, since only about 25% of the US nuclear power plants have completed PRAs, and also, inspectors want PRA-based tools for these plants. This paper describes the BNL program to develop generic BWR PRA-based inspection insights or inspection guidance designed to be applied to plants without PRAs

  6. A novel optical investigation technique for railroad track inspection and assessment

    Science.gov (United States)

    Sabato, Alessandro; Beale, Christopher H.; Niezrecki, Christopher

    2017-04-01

    Track failures due to cross tie degradation or loss in ballast support may result in a number of problems ranging from simple service interruptions to derailments. Structural Health Monitoring (SHM) of railway track is important for safety reasons and to reduce downtime and maintenance costs. For this reason, novel and cost-effective track inspection technologies for assessing tracks' health are currently insufficient and needed. Advancements achieved in recent years in cameras technology, optical sensors, and image-processing algorithms have made machine vision, Structure from Motion (SfM), and three-dimensional (3D) Digital Image Correlation (DIC) systems extremely appealing techniques for extracting structural deformations and geometry profiles. Therefore, optically based, non-contact measurement techniques may be used for assessing surface defects, rail and tie deflection profiles, and ballast condition. In this study, the design of two camera-based measurement systems is proposed for crossties-ballast condition assessment and track examination purposes. The first one consists of four pairs of cameras installed on the underside of a rail car to detect the induced deformation and displacement on the whole length of the track's cross tie using 3D DIC measurement techniques. The second consists of another set of cameras using SfM techniques for obtaining a 3D rendering of the infrastructure from a series of two-dimensional (2D) images to evaluate the state of the track qualitatively. The feasibility of the proposed optical systems is evaluated through extensive laboratory tests, demonstrating their ability to measure parameters of interest (e.g. crosstie's full-field displacement, vertical deflection, shape, etc.) for assessment and SHM of railroad track.

  7. Nondestructive inspection of chemical warfare based on API-TOF

    International Nuclear Information System (INIS)

    Wang Xinhua; Zheng Pu; He Tie; An Li; Yang Jie; Fan Yu

    2013-01-01

    Background: Real-time, fast, accurate, nondestructive inspection (NDI) and quantitative analysis for chemical warfare are very imperative for chemical defense, anti-terror and nation security. Purpose: Associated Particles Technique (APT)/Neutron Time of Flight (TOF) has been developed for non-invasive inspection of sealed containers with chemical warfare agents. Methods: A prototype equipment for chemical warfare is consisted of an APT neutron generator with a 3×3 matrix of semiconductor detectors of associated alpha-particles, the shielding protection of neutron and gamma-ray, arrayed NaI(Tl)-based detectors of gamma-rays, fully-digital data acquisition electronics, data analysis, decision-making software, support platform and remote control system. Inelastic scattering gamma-ray pulse height spectra of sarin, VX, mustard gas and adamsite induced by 14-MeV neutron are measured. The energies of these gamma rays are used to identify the inelastic scattering elements, and the intensities of the peaks at these energies are used to reveal their concentrations. Results: The characteristic peaks of inelastic scattering gamma-ray pulse height spectra show that the prototype equipment can fast and accurately inspect chemical warfare. Conclusion: The equipment can be used to detect not only chemical warfare agents but also other hazardous materials, such as chemical/toxic/drug materials, if their chemical composition is in any way different from that of the surrounding materials. (authors)

  8. A Novel Method of Autonomous Inspection for Transmission Line based on Cable Inspection Robot LiDAR Data

    Directory of Open Access Journals (Sweden)

    Xinyan Qin

    2018-02-01

    Full Text Available With the growth of the national economy, there is increasing demand for electricity, which forces transmission line corridors to become structurally complicated and extend to complex environments (e.g., mountains, forests. It is a great challenge to inspect transmission line in these regions. To address these difficulties, a novel method of autonomous inspection for transmission line is proposed based on cable inspection robot (CIR LiDAR data, which mainly includes two steps: preliminary inspection and autonomous inspection. In preliminary inspection, the position and orientation system (POS data is used for original point cloud dividing, ground point filtering, and structured partition. A hierarchical classification strategy is established to identify the classes and positions of the abnormal points. In autonomous inspection, CIR can autonomously reach the specified points through inspection planning. These inspection targets are imaged with PTZ (pan, tilt, zoom cameras by coordinate transformation. The feasibility and effectiveness of the proposed method are verified by test site experiments and actual line experiments, respectively. The proposed method greatly reduces manpower and improves inspection accuracy, providing a theoretical basis for intelligent inspection of transmission lines in the future.

  9. Procedure for the determination of gap and base ground surface configurations beneath the bottom plate of storage tanks using neutron gauging inspection techniques : including radiation safety procedure and emergency procedure

    International Nuclear Information System (INIS)

    Jaafar Abdullah

    1993-01-01

    The procedure is intended for the neutron gauging inspection of gap between the bottom plate and the foundation of bulk storage tanks, which potentially exhibit uneven sinking of the bottom plate and the foundation. Its describes the requirements for the performance of neutron back scattered inspection techniques (or radiometric non-destructive evaluation techniques), using an isotopic neutron source associated with neutron detecting systems, to detect and size the gap between the bottom plate and the foundations as well as to quantify the presence of hydrogenous materials (e.g. oil or water) underneath the bottom plate. This procedure is not only outline the requirements for the neutron gauging inspection, but also describes the requirements which shall be taken into account in formulating the radiation safety and emergency procedures for the neutron gauging inspection works

  10. Benefits of Risk Based Inspection Planning for Offshore Structures

    DEFF Research Database (Denmark)

    Straub, D.M.; Goyet, J.; Sørensen, John Dalsgaard

    2006-01-01

    The economical benefits of applying risk-based inspection planning (RBI) for offshore structures subject to fatigue are evaluated based on experiences from past industrial projects. To this end, the factors influencing the cost of inspection, repair and failure of structures are discussed......, the financial benefit of RBI is assessed....

  11. Vibrational Based Inspection Of A Steel Mast

    DEFF Research Database (Denmark)

    Kirkegaard, Poul Henning; Rytter, A.

    1994-01-01

    The aim of this paper is to present the results from a research project concerning vibrational based inspection of a 20 meter high steel mast containing well defined damages. Introductory analyses dealing with among other things evaluation of potential damage indicators and determination of accep......The aim of this paper is to present the results from a research project concerning vibrational based inspection of a 20 meter high steel mast containing well defined damages. Introductory analyses dealing with among other things evaluation of potential damage indicators and determination...

  12. Modeling of a remote inspection system for NSSS components

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Kim, Jae Hee; Lee, Jae Cheol

    2003-03-01

    Safety inspection for safety-critical unit of nuclear power plant has been processed using off-line technology. Thus we can not access safety inspection system and inspection data via network such as internet. We are making an on-line control and data access system based on WWW and JAVA technologies which can be used during plant operation to overcome these problems. Users can access inspection systems and inspection data only using web-browser. This report discusses about analysis of the existing remote system and essential techniques such as Web, JAVA, client/server model, and multi-tier model. This report also discusses about a system modeling that we have been developed using these techniques and provides solutions for developing an on-line control and data access system

  13. Inspection Based Evaluation of a Danish Road Bridge

    DEFF Research Database (Denmark)

    Thoft-Christensen, Palle

    In this paper it is shown how an inspection-based evaluation of a Danish road bridge may be performed using the BRIDGE1 and BRIDGE2 bridge management systems produced within the EC-supported research programme "Assessment of Performance and Optimal Strategies for Inspection and Maintenance...

  14. In-service inspection methods for graphite-epoxy structures on commercial transport aircraft

    Science.gov (United States)

    Phelps, M. L.

    1981-01-01

    In-service inspection methods for graphite-epoxy composite structures on commercial transport aircraft are determined. Graphite/epoxy structures, service incurred defects, current inspection practices and concerns of the airline and manufacturers, and other related information were determind by survey. Based on this information, applicable inspection nondestructive inspection methods are evaluated and inspection techniques determined. Technology is developed primarily in eddy current inspection.

  15. Vibration measurement-based simple technique for damage detection of truss bridges: A case study

    Directory of Open Access Journals (Sweden)

    Sudath C. Siriwardane

    2015-10-01

    Full Text Available The bridges experience increasing traffic volume and weight, deteriorating of components and large number of stress cycles. Therefore, assessment of the current condition of steel railway bridges becomes necessary. Most of the commonly available approaches for structural health monitoring are based on visual inspection and non-destructive testing methods. The visual inspection is unreliable as those depend on uncertainty behind inspectors and their experience. Also, the non-destructive testing methods are found to be expensive. Therefore, recent researches have noticed that dynamic modal parameters or vibration measurement-based structural health monitoring methods are economical and may also provide more realistic predictions to damage state of civil infrastructure. Therefore this paper proposes a simple technique to locate the damage region of railway truss bridges based on measured modal parameters. The technique is discussed with a case study. Initially paper describes the details of considered railway bridge. Then observations of visual inspection, material testing and in situ load testing are discussed under separate sections. Development of validated finite element model of the considered bridge is comprehensively discussed. Hence, variations of modal parameters versus position of the damage are plotted. These plots are considered as the main reference for locating the damage of the railway bridge in future periodical inspection by comparing the measured corresponding modal parameters. Finally the procedure of periodical vibration measurement and damage locating technique are clearly illustrated.

  16. Remotely deployable aerial inspection using tactile sensors

    Science.gov (United States)

    MacLeod, C. N.; Cao, J.; Pierce, S. G.; Sullivan, J. C.; Pipe, A. G.; Dobie, G.; Summan, R.

    2014-02-01

    For structural monitoring applications, the use of remotely deployable Non-Destructive Evaluation (NDE) inspection platforms offer many advantages, including improved accessibility, greater safety and reduced cost, when compared to traditional manual inspection techniques. The use of such platforms, previously reported by researchers at the University Strathclyde facilitates the potential for rapid scanning of large areas and volumes in hazardous locations. A common problem for both manual and remote deployment approaches lies in the intrinsic stand-off and surface coupling issues of typical NDE probes. The associated complications of these requirements are obviously significantly exacerbated when considering aerial based remote inspection and deployment, resulting in simple visual techniques being the preferred sensor payload. Researchers at Bristol Robotics Laboratory have developed biomimetic tactile sensors modelled on the facial whiskers (vibrissae) of animals such as rats and mice, with the latest sensors actively sweeping their tips across the surface in a back and forth motion. The current work reports on the design and performance of an aerial inspection platform and the suitability of tactile whisking sensors to aerial based surface monitoring applications.

  17. Review of In-Service Inspection and Repair Technique Developments for French Liquid Metal Fast Reactors

    International Nuclear Information System (INIS)

    Baque, F.

    2005-01-01

    In-service monitoring of nuclear plants is indispensable for both the Operator and the Regulator. The notion of in-service monitoring ranges from the continuous monitoring of the reactor in operation to the thorough in-service reactor inspection during programmed shutdowns. However, the highly specific environment found in French liquid metal fast reactor plants - Phenix and Superphenix - makes monitoring and inspection complicated because of the use of a sodium coolant that is hot, opaque, and difficult to drain.The Commissariat a l'Energie Atomique, in collaboration with its traditional French partners, Electricite de France utilities and FRAMATOME/Novatome Engineering, decided to conduct a 6-yr research and development program (1994-2000) to explore this problem vis-a-vis Superphenix, as well as the possibilities of intervening within the reactor block or on components in a sodium environment. Furthermore, the safety reevaluation of Phenix, conducted between 1994 and 2003, represented an excellent 'test bench' during which the limits of inspection processes - applied to an integrated reactor concept - were surpassed using techniques such as fuel subassembly head scanning, ultrasonic examination of the core support, and visual inspection of the cover-gas plenum following a partial sodium draining. Repair techniques were investigated for cleaning of sodium wet structure surfaces, cutting of damaged parts, and welding in sodium aerosol atmosphere. Both conventional and laser processes were tested

  18. Optimal Risk-Based Inspection Planning for Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Rangel-Ramirez, Jose G.; Sørensen, John Dalsgaard

    2008-01-01

    , inspection and maintenance activities are developed. This paper considers aspects of inspection and maintenance planning of fatigue prone details in jacket and tripod types of wind turbine support structures. Based oil risk-based inspection planning methods used for oil & gas installations, a framework......Wind turbines for electricity production have increased significantly the last years both in production capability and size. This development is expected to continue also in the coining years. The Support structure for offshore wind turbines is typically a steel structure consisting of a tower...... for optimal inspection and maintenance planning of offshore wind turbines is presented. Special aspects for offshore wind turbines are considered: usually the wind loading are dominating the wave loading, wake effects in wind farms are important and the reliability level is typically significantly lower than...

  19. New approach for risk based inspection of H2S based Process Plants

    International Nuclear Information System (INIS)

    Vinod, Gopika; Sharma, Pavan K.; Santosh, T.V.; Hari Prasad, M.; Vaze, K.K.

    2014-01-01

    Highlights: • Study looks into improving the consequence evaluation in risk based inspection. • Ways to revise the quantity factors used in qualitative approach. • New approach based on computational fluid dynamics along with probit mathematics. • Demonstrated this methodology along with a suitable case study for the said issue. - Abstract: Recent trend in risk informed and risk based approaches in life management issues have certainly put the focus on developing estimation methods for real risk. Idea of employing risk as an optimising measure for in-service inspection, termed as risk based inspection, was accepted in principle from late 80s. While applying risk based inspection, consequence of failure from each component needs to be assessed. Consequence evaluation in a Process Plant is a crucial task. It may be noted that, in general, the number of components to be considered for life management is very large and hence the consequence evaluation resulting from their failures (individually) is a laborious task. Screening of critical components is usually carried out using simplified qualitative approach, which primarily uses influence factors for categorisation. This necessitates logical formulation of influence factors and their ranges with a suitable technical basis for acceptance from regulators. This paper describes application of risk based inspection for H 2 S based Process Plant along with the approach devised for handling the influence factor related to the quantity of H 2 S released

  20. Proving the capabilities of the phased-array probe/ALOK inspection technique

    International Nuclear Information System (INIS)

    Bohn, H.; Kroening, M.; Rathgeb, W.; Gebhardt, W.; Kappes, W.; Barbian, O.A.

    1987-01-01

    The capability of the ALOK phased-probe-array inspection technique results from the simplicity of the testing system structure, the reflector detection and identification by means of transit time curves, and the analytical capacity of the system. Testing times are shortened, with the test results meeting the current standards, and further possibilities being given: Areas that could so far only be inspected with difficulty of not at all, can be examined, thanks to the compact equipment and without having to modify the system, very informative analysis measurements for interpreting indications can be made. Furthermore, it may be expected from the testing practice that descriptions of indications will become more reliable and reproducible, due to transit time curve identification. In addition to conventional criteria for reflector evaluation, the potential of transit time curve identification, and flaw boundary imaging of the reconstruction image can be utilized. (orig.)

  1. Fast in-situ tool inspection based on inverse fringe projection and compact sensor heads

    Science.gov (United States)

    Matthias, Steffen; Kästner, Markus; Reithmeier, Eduard

    2016-11-01

    Inspection of machine elements is an important task in production processes in order to ensure the quality of produced parts and to gather feedback for the continuous improvement process. A new measuring system is presented, which is capable of performing the inspection of critical tool geometries, such as gearing elements, inside the forming machine. To meet the constraints on sensor head size and inspection time imposed by the limited space inside the machine and the cycle time of the process, the measuring device employs a combination of endoscopy techniques with the fringe projection principle. Compact gradient index lenses enable a compact design of the sensor head, which is connected to a CMOS camera and a flexible micro-mirror based projector via flexible fiber bundles. Using common fringe projection patterns, the system achieves measuring times of less than five seconds. To further reduce the time required for inspection, the generation of inverse fringe projection patterns has been implemented for the system. Inverse fringe projection speeds up the inspection process by employing object-adapted patterns, which enable the detection of geometry deviations in a single image. Two different approaches to generate object adapted patterns are presented. The first approach uses a reference measurement of a manufactured tool master to generate the inverse pattern. The second approach is based on a virtual master geometry in the form of a CAD file and a ray-tracing model of the measuring system. Virtual modeling of the measuring device and inspection setup allows for geometric tolerancing for free-form surfaces by the tool designer in the CAD-file. A new approach is presented, which uses virtual tolerance specifications and additional simulation steps to enable fast checking of metric tolerances. Following the description of the pattern generation process, the image processing steps required for inspection are demonstrated on captures of gearing geometries.

  2. Ultrasonic inspections of fuel alignment pins

    International Nuclear Information System (INIS)

    Rathgeb, W.; Schmid, R.

    1994-01-01

    As a remedy to the practical problem of defects in fuel alignment pins made of Inconel X750, an inspection technique has been developed which fully meets the requirements of detecting defects. The newly used fuel alignment pins made of austenite are easy to test and therefore satisfy the necessity of further inspections.For the fuel alignment pins of the upper core structure a safe and fast inspection technique was made available. The inspection sensitivity is high and it is possible to give quantitative directions concerning defect orientation and depth. After the required inspections had been concluded in 1989, a total of 18 inspections were carried out in various national and international nuclear power plants in the following years. During this time more than 6000 fuel alignment pines were examined.For the fuel alignment pins the inspection technique provided could increase the understanding of the defect process. This technique contributed to the development of an adaptive and economical repair strategy. ((orig.))

  3. Verification of split spectrum technique for ultrasonic inspection of welded structures in nuclear reactors

    International Nuclear Information System (INIS)

    Ericsson, L.; Stepinski, T.

    1992-01-01

    Ultrasonic nondestructive inspection of materials is often limited by the presence of backscattered echoes from the material structure. A digital signal processing technique for removal of this material noise, referred to as split spectrum processing (SSP), has been developed and verified using simple laboratory experiments during the last decade. However, application of the split spectrum processing algorithm to industrial conditions has been rarely reported. In the paper the results of the practical evaluation of the SSP technique are presented. A number of different ultrasonic transducers were used for acquiring echoes from artificial flaws as well as natural cracks. The flaws were located in test blocks employed by the Swedish Nuclear Power Companies as reference during ultrasonic inspection of nuclear reactor vessels. The acquired ultrasonic A-scan signals were processed off-line using specially developed algorithms on a personal computer (PC). The experiments show evidence that properly tuned SSP algorithms result in a considerable improvement of the signal to material noise ratio. The enhancements were similar irrespective of the features of the transducer used or the nature of the inspected flaw. The problems related to the development of self-tuning SSP algorithms for on-line processing of B-scans are discussed. (author)

  4. Improvement of testing techniques for inspecting steam turbine rotor in power plant

    International Nuclear Information System (INIS)

    Su, Yeong Shuenn; Wei, Chieng Neng; Wu, Chien Wen; Wu, Yung How

    1997-01-01

    Steam turbine rotor is important to the Utility industry, it degrades over time due to fatigue and corrosion under high temperature and high pressure environment. Periodic inspection is required in the wake of plant annual overhaul to ensure the integrity of turbine rotor. Non-Destructive Testing of turbine rotor is usually performed using magnetic particle testing with wet fluorescent magnetic particle. However, it is very difficult to ensure the reliability of inspection due to the limitation of using one NDT method only. The crack-susceptible areas, such as turbine blade, and blade root have high incidence of stress corrosion cracking, The blade root section is difficult to locate cracks because of the complex geometry which may cause inadequate magnetic field and poor accessibility. Improved inspection practices was developed by our Department, together with remaining life analysis, in maintaining the high availability of steam turbine rotor. The newly-developed inspection system based on the practical study of magnetic field strength distribution, quality of magnetic particle bath and a combination of different NDT methods with Eddy Current Testing using absolute pen-type coil and Visual Testing using reflective mirror to examine the key areas concerned are described. TPC' experience with the well-trained technicians together with the adequate inspection procedure in detecting blade-root flaws are also discussed in the paper. Many of these inspection improvement have been applied in the fields for several times and the inspection reliability has been enhanced substantially. Results are quite encouraging and satisfactory.

  5. Update on the EPRI power generation risk-based inservice inspection pilot plant studies

    International Nuclear Information System (INIS)

    Gosselin, S.R.

    1997-01-01

    The scope for ASME Section XI ISI programs is largely based on deterministic results contained in design stress reports. These reports are normally very conservative and may not be an accurate representation of failure potential. Service experience has shown that failures are due to either corrosion or fatigue and typically occur in areas not included in the plant's ISI program. Consequently, nuclear plants are devoting significant resources to inspection programs that provide minimum benefit. As an alternative, significant industry attention has been devoted to the application of risked-based selection criteria in order to determine the scope of inservice inspection (ISI) programs at nuclear power plants. Preliminary EPRI studies indicate that the application of these techniques will allow operating nuclear plants to reduce the examination scope of current ISI programs by as much as 60 to 80%, significantly reduce costs, and continue to maintain high nuclear plant safety standards

  6. Value of information-based inspection planning for offshore structures

    DEFF Research Database (Denmark)

    Irman, Arifian Agusta; Thöns, Sebastian; Leira, Bernt J.

    2017-01-01

    with each inspection strategy. A simplified and generic risk-based inspection planning utilizing pre- posterior Bayesian decision analysis had been proposed by Faber et al. [1] and Straub [2]. This paper provides considerations on the theoretical background and a Value of Information analysis......-based inspection planning. The paper will start out with a review of the state-of-art RBI planning procedure based on Bayesian decision theory and its application in offshore structure integrity management. An example of the Value of Information approach is illustrated and it is pointed to further research......Asset integrity and management is an important part of the oil and gas industry especially for existing offshore structures. With declining oil price, the production rate is an important factor to be maintained that makes integrity of the structures one of the main concerns. Reliability based...

  7. Risk Based Inspection Methodology and Software Applied to Atmospheric Storage Tanks

    Science.gov (United States)

    Topalis, P.; Korneliussen, G.; Hermanrud, J.; Steo, Y.

    2012-05-01

    A new risk-based inspection (RBI) methodology and software is presented in this paper. The objective of this work is to allow management of the inspections of atmospheric storage tanks in the most efficient way, while, at the same time, accident risks are minimized. The software has been built on the new risk framework architecture, a generic platform facilitating efficient and integrated development of software applications using risk models. The framework includes a library of risk models and the user interface is automatically produced on the basis of editable schemas. This risk-framework-based RBI tool has been applied in the context of RBI for above-ground atmospheric storage tanks (AST) but it has been designed with the objective of being generic enough to allow extension to the process plants in general. This RBI methodology is an evolution of an approach and mathematical models developed for Det Norske Veritas (DNV) and the American Petroleum Institute (API). The methodology assesses damage mechanism potential, degradation rates, probability of failure (PoF), consequence of failure (CoF) in terms of environmental damage and financial loss, risk and inspection intervals and techniques. The scope includes assessment of the tank floor for soil-side external corrosion and product-side internal corrosion and the tank shell courses for atmospheric corrosion and internal thinning. It also includes preliminary assessment for brittle fracture and cracking. The data are structured according to an asset hierarchy including Plant, Production Unit, Process Unit, Tag, Part and Inspection levels and the data are inherited / defaulted seamlessly from a higher hierarchy level to a lower level. The user interface includes synchronized hierarchy tree browsing, dynamic editor and grid-view editing and active reports with drill-in capability.

  8. Inspection of bottom and lid welds for disposal canisters

    International Nuclear Information System (INIS)

    Pitkaenen, J.

    2010-09-01

    This report presents the inspection techniques of copper electron beam and friction stir welds. Both welding methods are described briefly and a more detailed description of the defects occurring in each welding methods is given. The defect types form a basis for the design of non-destructive testing. The inspection of copper material is challenging due to the anisotropic properties of the weld and local changes in the grain size of the base material. Four different methods are used for inspection. Ultrasonic and radiographic testing techniques are used for inspection of volume. Eddy current and visual testing techniques are used for inspection of the surface and near surface area. All these methods have some limitations which are related to the physics of the used method. All inspection methods need to be carried out remotely because of the radiation from the spent nuclear fuel. All methods have been described in detail and the use of the chosen inspection techniques has been justified. Phased array technology has been applied in ultrasonic testing. Ultrasonic phased array technology enables the electrical modification of the sound field during inspection so that the sound field can be adjusted dynamically for different situations and detection of different defect types. The frequency of the phased array probe has been chosen to be 3.5 MHz. It is a compromise between good sizing and defect detectability. It must be taken into account that ultrasonic testing is not suitable for detection of defect types which are in the direction of the beam. Ultrasonic and radiographic testing techniques complement each other in case of planar defects. Positioning of the indication in the radial direction is rather limited in radiographic testing. Surface inspection has been added to the inspection routine because indications from the outer surface of the canister cannot be distinguished from weld defects in the radiographic image. A 9 MeV linear accelerator has been used in the

  9. Nuclear Technology. Course 26: Nondestructive Examination (NDE) Techniques I. Module 26-6, Radiography Inspection.

    Science.gov (United States)

    Pelton, Rick; Espy, John

    This sixth in a series of seven modules for a course titled Nondestructive Examination (NDE) Techniques I explains radiographic inspection as a means of nondestructively examining components, assemblies, structures, and fabricated piping. The module follows a typical format that includes the following sections: (1) introduction, (2) module…

  10. Surface crack detection by magnetic particle inspection

    International Nuclear Information System (INIS)

    Goebbels, K.

    1988-01-01

    For ferromagnetic materials magnetic particle inspection is without doubt the most sensitive method to detect surface cracks and the least sensitive method referring to disturbing boundary conditions. Up to now the technique is based on experiments, experience, on empirical facts and on a subjective evaluation. This contribution for the first time presents a concept which allows the objective, reproducible as well as reliable magnetic particle inspection: Modelling of testing based on Maxwell's equations by finite element calculation; objective setting of test-parameters and their surveillance, handling systems, illumination and sensors, image processing and fully automated evaluation. Economy and safety of magnetic particle inspection are strongly improved by this procedure. (orig./HP) [de

  11. Ultrasonic inspection of the Calder Hall and Chaplecross reactor pressure vessels

    International Nuclear Information System (INIS)

    Pennick, A.M.

    1993-01-01

    This paper describes the ultrasonic inspection surveys that have recently been carried out on the Calder Hall and Chapelcross Magnox steel reactor pressure vessels. The development of the inspection system, which is based on the Rediman manipulator and uses the Sonomatic Zipscan equipment and Time-of-Flight diffraction techniques is discussed. The inspection results are presented and compared with the original inspection findings and limiting crack sizes. (author)

  12. Performance-based inspection and maintenance strategies

    International Nuclear Information System (INIS)

    Vesely, W.E.

    1995-01-01

    Performance-based inspection and maintenance strategies utilize measures of equipment performance to help guide inspection and maintenance activities. A relevant measure of performance for safety system components is component unavailability. The component unavailability can also be input into a plant risk model such as a Probabilistic Risk Assessment (PRA) to determine the associated plant risk performance. Based on the present and projected unavailability performance, or the present and projected risk performance, the effectiveness of current maintenance activities can be evaluated and this information can be used to plan future maintenance activities. A significant amount of information other than downtimes or failure times is collected or can be collected when an inspection or maintenance is conducted which can be used to estimate the component unavailability. This information generally involves observations on the condition or state of the component or component piecepart. The information can be detailed such as the amount of corrosion buildup or can be general such as the general state of the component described as ' high degradation', ' moderate degradation', or ' low degradation'. Much of the information collected in maintenance logs is qualitative and fuzzy. As part of an NRC Research program on performance-based engineering modeling, approaches have been developed to apply Fuzzy Set Theory to information collected on the state of the component to determine the implied component or component piecepart unavailability. Demonstrations of the applications of Fuzzy Set Theory are presented utilizing information from plant maintenance logs. The demonstrations show the power of Fuzzy Set Theory in translating engineering information to reliability and risk implications

  13. Feature-Learning-Based Printed Circuit Board Inspection via Speeded-Up Robust Features and Random Forest

    Directory of Open Access Journals (Sweden)

    Eun Hye Yuk

    2018-06-01

    Full Text Available With the coming of the 4th industrial revolution era, manufacturers produce high-tech products. As the production process is refined, inspection technologies become more important. Specifically, the inspection of a printed circuit board (PCB, which is an indispensable part of electronic products, is an essential step to improve the quality of the process and yield. Image processing techniques are utilized for inspection, but there are limitations because the backgrounds of images are different and the kinds of defects increase. In order to overcome these limitations, methods based on machine learning have been used recently. These methods can inspect without a normal image by learning fault patterns. Therefore, this paper proposes a method can detect various types of defects using machine learning. The proposed method first extracts features through speeded-up robust features (SURF, then learns the fault pattern and calculates probabilities. After that, we generate a weighted kernel density estimation (WKDE map weighted by the probabilities to consider the density of the features. Because the probability of the WKDE map can detect an area where the defects are concentrated, it improves the performance of the inspection. To verify the proposed method, we apply the method to PCB images and confirm the performance of the method.

  14. Innovative Ultrasonic Techniques for Inspection and Monitoring of Large Concrete Structures

    Directory of Open Access Journals (Sweden)

    Niederleithinger E.

    2013-07-01

    Full Text Available Ultrasonic echo and transmission techniques are used in civil engineering on a regular basis. New sensors and data processing techniques have lead to many new applications in the structural investigation as well as quality control. But concrete structures in the nuclear sector have special features and parameters, which pose problems for the methods and instrumentation currently available, e.g. extreme thickness, dense reinforcement, steel liners or special materials. Several innovative ultrasonic techniques have been developed to deal with these issues at least partly in lab experiments and pilot studies. Modern imaging techniques as multi-offset SAFT have been used e. g. to map delaminations. Thick concrete walls have successfully been inspected, partly through a steel liner. Embedded ultrasonic sensors have been designed which will be used in monitoring networks of large concrete structures above and below ground. In addition, sensitive mathematical methods as coda wave interferometry have been successfully evaluated to detect subtle changes in material properties. Examples of measurements and data evaluation are presented.

  15. Inspection qualification and implementation of ENIQ in Sweden

    International Nuclear Information System (INIS)

    Zettervall, Tommy

    2013-01-01

    Many countries are currently considering their approaches to inspection qualification and risk-informed in-service inspection (RI-ISI) and are carefully assessing experience data. In Europe most of the utilities operating nuclear power plants have joined together to form the European Network for Inspection Qualification ENIQ. In practice, qualification can be performed with varying degrees of complexity and cost, varying from capability statement based on existing evidence, through to an extensive qualification consisting of a detailed Technical Justification (TJ) together with open and blind trials on full-scale test blocks. An Inspection Qualification is an investigation and demonstration, which confirm that an inspection system has the ability to solve its specific tasks. The qualification is a Quality Assurance of an inspection system based on documents and practical trials. A reliable inspection system, based on a reliable qualification and correct prerequisites, will reduce total costs for Licensees and increase the credibility of the inspection result. To get such inspection system, which could be valid for many years, it's of necessity to fulfil all included parts in the process. It begins with the Technical Specification from Licensee, where input data and requirements about the actual component are specified. To get an inspection system that could live over time, the Technical Justification is of importance. Finally the test blocks and used simulation techniques play an important part of the final result, and these test blocks together with TJ form the basis for qualification body's decision. (author)

  16. Pressure vessel inspection criteria based on fitness-for-purpose assessment

    International Nuclear Information System (INIS)

    Grover, J.L.; Cipolla, R.C.

    1985-01-01

    The paper on pressure vessel inspection investigates the methodology required to establish an inspection strategy consistent with fracture mechanics analysis, i.e. to define allowable flaw sizes based on location within the vessel. The methodology is demonstrated using a sample problem for a typical pressurised water reactor pressure vessel, and shows the impact of certain assumptions on the inspection strategy. The results indicate that the flaw size varies with the shape of the assumed residual stress field and the through-thickness location. Also in general, the fracture mechanics evaluation allows flaws much larger than are allowed by the inspection acceptance criteria. (UK)

  17. Intercomparison of techniques for inspection and diagnostics of heavy water reactor pressure tubes. Additional information

    International Nuclear Information System (INIS)

    2009-03-01

    The reports from Argentina, Canada, India, Korea and Romania are presented concerning the projects carried out under the Coordinated Research Program (CRP) I3.30.10 of the International Agency for Atomic Energy - Vienna related to 'Intercomparison of Techniques for Pressure Tube Inspection and Diagnostics'

  18. Reliability-based inspection planning of 20MW offshore wind turbine jacket

    DEFF Research Database (Denmark)

    Gintautas, Tomas; Sørensen, John Dalsgaard

    2018-01-01

    This paper presents the application of a risk and reliability based inspection planning framework (RBI) for the InnWind 20MW reference wind turbine jacket sub-structure. A detailed fracture mechanics based fatigue crack growth model is developed and used as basis to derive optimal inspection plans...

  19. Establishment of effective maintenance method based on the superior inspection technique for the deteriorating hot laboratory exhaust stack

    International Nuclear Information System (INIS)

    Mizukoshi, Yasutaka; Yasu, Tetsunori

    2012-06-01

    The Materials Monitoring Facility is equipped with an exhaust stack to emit air from a controlled area (the hot laboratory) into the atmosphere. Cracks and exfoliation have been observed for the surface of the exhaust stack, which is made of reinforced concrete and was constructed on the seacoast about 25 years ago, so exposed to a salt-corrosive condition. In order to get details of the present condition of the exhaust stack, an inspection was carried out using an electromagnetic wave radar method and chloride content method. Cracks and exfoliation were observed for the whole stack surface, especially for high positions. Moreover, salt damage was observed for the outer surface of the exhaust stack, and it was estimated that the infiltration of the chloride content was about 17 mm. Based on this detailed inspection of the exhaust stack, maintenance and repair work were carried out. (author)

  20. Feasibility of developing risk-based rankings of pressure boundary systems for inservice inspection

    Energy Technology Data Exchange (ETDEWEB)

    Vo, T.V.; Smith, B.W.; Simonen, F.A.; Gore, B.F.

    1994-08-01

    The goals of the Evaluation and Improvement of Non-destructive Examination Reliability for the In-service Inspection of Light Water Reactors Program sponsored by the Nuclear Regulatory Commission at Pacific Northwest Laboratory (PNL) are to (1) assess current ISI techniques and requirements for all pressure boundary systems and components, (2) determine if improvements to the requirements are needed, and (3) if necessary, develop recommendations for revising the applicable ASME Codes and regulatory requirements. In evaluating approaches that could be used to provide a technical basis for improved inservice inspection plans, PNL has developed and applied a method that uses results of probabilistic risk assessment (PRA) to establish piping system ISI requirements. In the PNL program, the feasibility of generic ISI requirements is being addressed in two phases. Phase I involves identifying and prioritizing the systems most relevant to plant safety. The results of these evaluations will be later consolidated into requirements for comprehensive inservice inspection of nuclear power plant components that will be developed in Phase II. This report presents Phase I evaluations for eight selected plants and attempts to compare these PRA-based inspection priorities with current ASME Section XI requirements for Class 1, 2 and 3 systems. These results show that there are generic insights that can be extrapolated from the selected plants to specific classes of light water reactors.

  1. Feasibility of developing risk-based rankings of pressure boundary systems for inservice inspection

    International Nuclear Information System (INIS)

    Vo, T.V.; Smith, B.W.; Simonen, F.A.; Gore, B.F.

    1994-08-01

    The goals of the Evaluation and Improvement of Non-destructive Examination Reliability for the In-service Inspection of Light Water Reactors Program sponsored by the Nuclear Regulatory Commission at Pacific Northwest Laboratory (PNL) are to (1) assess current ISI techniques and requirements for all pressure boundary systems and components, (2) determine if improvements to the requirements are needed, and (3) if necessary, develop recommendations for revising the applicable ASME Codes and regulatory requirements. In evaluating approaches that could be used to provide a technical basis for improved inservice inspection plans, PNL has developed and applied a method that uses results of probabilistic risk assessment (PRA) to establish piping system ISI requirements. In the PNL program, the feasibility of generic ISI requirements is being addressed in two phases. Phase I involves identifying and prioritizing the systems most relevant to plant safety. The results of these evaluations will be later consolidated into requirements for comprehensive inservice inspection of nuclear power plant components that will be developed in Phase II. This report presents Phase I evaluations for eight selected plants and attempts to compare these PRA-based inspection priorities with current ASME Section XI requirements for Class 1, 2 and 3 systems. These results show that there are generic insights that can be extrapolated from the selected plants to specific classes of light water reactors

  2. Approaching application of risk-based inspection to ASME code section XI

    International Nuclear Information System (INIS)

    Hedden, Owen F.

    1995-01-01

    This paper will describe current efforts within the ASME Boiler and Pressure Vessel Committee's Subcommittee on Nuclear Inservice Inspection to introduce risk-based technology to optimize inservice inspection of nuclear power plants. The subcommittee is responsible for the content of ASME Boiler and Pressure Vessel Code Section XI, Rules for Inservice Inspection of Nuclear Power Plant Components. The paper will first provide the historical background for the inspection program currently in Section XI. It will then describe the development of new technology through the ASME Center for Research and Technology Development program. Next, the work now going on in two of the groups under the Section XI committee will be described in detail. Each of these two efforts is directed toward the application of new risk-based inspection technology to nuclear piping systems. Finally, the directions of additional research and applications of the technology will be discussed. (author)

  3. MTR fuel inspection at CERCA

    International Nuclear Information System (INIS)

    Fanjas, Y.

    1992-01-01

    The stringent specifications for MTR fuel plates and fuel elements require various sophisticated inspection techniques. In particular, the development of low enriched silicide fuels made it necessary to adapt these techniques to high density plates. This paper presents the status of inspection technology at CERCA. (author)

  4. Non-destructive radiometry inspection technique for locating reinforcements and void/porosity in bridge bearings

    International Nuclear Information System (INIS)

    Yahaya bin Jafar; Jaafar bin Abdullah; Mohamad Azmi bin Ismail.

    1989-01-01

    Defects detection in bridge bearings is very important in controlling quality and safety. Typical manufacturing defects include misalligned or bent steel plates and the presence of voids/porosity within the rubber. A non-destructive radiometry inspection technique was used to locate steel plates position and the presence of voids/porosity in bridge bearing samples provided by the Rubber Research Institute of Malaysia (RRIM). Preliminary studies show that the mentioned defects can readily be determined by this technique. Some of the results are also presented. (author)

  5. Nuclear data needs for non-intrusive inspection

    International Nuclear Information System (INIS)

    Smith, D. L.; Michlich, B. J.

    2000-01-01

    Various nuclear-based techniques are being explored for use in non-intrusive inspection. Their development is motivated by the need to prevent the proliferation of nuclear weapons, to thwart trafficking in illicit narcotics, to stop the transport of explosives by terrorist organizations, to characterize nuclear waste, and to deal with various other societal concerns. Non-intrusive methods are sought in order to optimize inspection speed, to minimize damage to packages and containers, to satisfy environmental, health and safety requirements, to adhere to legal requirements, and to avoid inconveniencing the innocent. These inspection techniques can be grouped into two major categories: active and passive. They almost always require the use of highly penetrating radiation and therefore are generally limited to neutrons and gamma rays. Although x-rays are widely employed for these purposes, their use does not constitute nuclear technology and therefore is not discussed here. This paper examines briefly the basic concepts associated with nuclear inspection and investigates the related nuclear data needs. These needs are illustrated by considering four of the methods currently being developed and tested

  6. Nuclear data needs for non-intrusive inspection

    International Nuclear Information System (INIS)

    Smith, D.L.; Micklich, B.J.

    2001-01-01

    Various nuclear-based techniques are being explored for use in non-intrusive inspection. Their development is motivated by the need to prevent the proliferation of nuclear weapons, to thwart trafficking in illicit narcotics, to stop the transport of explosives by terrorist organizations, to characterize nuclear waste, and to deal with various other societal concerns. Non-intrusive methods are sought in order to optimize inspection speed, to minimize damage to packages and containers, to satisfy environmental, health and safety requirements, to adhere to legal requirements, and to avoid inconveniencing the innocent. These inspection techniques can be grouped into two major categories: active and passive. They almost always require the use of highly penetrating radiation and therefore are generally limited to neutrons and gamma rays. Although x-rays are widely employed for these purposes, their use does not constitute 'nuclear technology' and therefore is not discussed here. This paper examines briefly the basic concepts associated with nuclear inspection and investigates the related nuclear data needs. These needs are illustrated by considering four of the methods currently being developed and tested. (author)

  7. Inspection program for U.S. research reactors

    International Nuclear Information System (INIS)

    Isaac, Patrick J.

    2010-01-01

    This paper presents an established program for inspection of nuclear research reactors to ensure that systems and techniques are in accordance with regulatory requirements and to provide protection for the health and safety of the public. The inspection program, implemented from the time a facility gets licensed, remains in effect through operations, shutdown, decommissioning, and until the license is terminated. The program establishes inspection methodology for operating, safeguards, and decommissioning activities. Using a performance- based approach, inspectors focus their attention on activities important to safety. Inspection procedures allow the inspectors to assess facility safety and compliance to applicable requirements. A well designed inspection program is an integral part of the mechanism to ensure that the level of performance in the strategic areas of reactor safety, radiation safety, and safeguards is acceptable and provides adequate protection of public health and safety. (author)

  8. Dual view x-ray inspection system for foreign objects detection in canned food

    Science.gov (United States)

    Lu, Zhiwen; Peng, Ningsong

    2013-04-01

    X-ray inspection technique for foreign objects in food products can determine and mark the presence of contaminants within the product by using image processing and pattern recognition technique on the X-ray transmission images. This paper presents the dual view X-ray inspection technique for foreign objects in food jar via analyzing the weak points of the traditional single view X-ray inspection technique. In addition, a prototype with the new technique is developed in accordance with glass splinters detection within the food jar (glass jar especially) which is a typical tickler. Some algorithms such as: adaptive image segmentation based on contour tracking, nonlinear arctan function transform and etc., are applied to improve image quality and achieve effective inspection results. The false recognition rate is effectively reduced and the detection sensitivity is highly enhanced. Finally the actual test results of this prototype are given.

  9. Techniques d'inspection par ondes guidees ultrasonores d'assemblages brases dans des reacteurs aeronautiques =

    Science.gov (United States)

    Comot, Pierre

    L'industrie aeronautique, cherche a etudier la possibilite d'utiliser de maniere structurelle des joints brases, dans une optique de reduction de poids et de cout. Le developpement d'une methode d'evaluation rapide, fiable et peu couteuse pour evaluer l'integrite structurelle des joints apparait donc indispensable. La resistance mecanique d'un joint brase dependant principalement de la quantite de phase fragile dans sa microstructure. Les ondes guidees ultrasonores permettent de detecter ce type de phase lorsqu'elles sont couplees a une mesure spatio-temporelle. De plus la nature de ce type d'ondes permet l'inspection de joints ayant des formes complexes. Ce memoire se concentre donc sur le developpement d'une technique basee sur l'utilisation d'ondes guidees ultrasonores pour l'inspection de joints brases a recouvrement d'Inconel 625 avec comme metal d'apport du BNi-2. Dans un premiers temps un modele elements finis du joint a ete utilise pour simuler la propagation des ultrasons et optimiser les parametres d'inspection, la simulation a permis egalement de demontrer la faisabilite de la technique pour la detection de la quantite de phase fragile dans ce type de joints. Les parametres optimises sont la forme de signal d'excitation, sa frequence centrale et la direction d'excitation. Les simulations ont montre que l'energie de l'onde ultrasonore transmise a travers le joint aussi bien que celle reflechie, toutes deux extraites des courbes de dispersion, etaient proportionnelles a la quantite de phase fragile presente dans le joint et donc cette methode permet d'identifier la presence ou non d'une phase fragile dans ce type de joint. Ensuite des experimentations ont ete menees sur trois echantillons typiques presentant differentes quantites de phase fragile dans le joint, pour obtenir ce type d'echantillons differents temps de brasage ont ete utilises (1, 60 et 180 min). Pour cela un banc d'essai automatise a ete developpe permettant d'effectuer une analyse similaire

  10. Risk Based Inspection of Gas-Cooling Heat Exchanger

    Directory of Open Access Journals (Sweden)

    Dwi Priyanta

    2017-09-01

    Full Text Available On October 2013, Pertamina Hulu Energi Offshore North West Java (PHE – ONWJ platform personnel found 93 leaking tubes locations in the finfan coolers/ gas-cooling heat exchanger. After analysis had been performed, the crack in the tube strongly indicate that stress corrosion cracking was occurred by chloride. Chloride stress corrosion cracking (CLSCC is the cracking occurred by the combined influence of tensile stress and a corrosive environment. CLSCC is the one of the most common reasons why austenitic stainless steel pipework or tube and vessels deteriorate in the chemical processing, petrochemical industries and maritime industries. In this thesis purpose to determine the appropriate inspection planning for two main items (tubes and header box in the gas-cooling heat exchanger using risk based inspection (RBI method. The result, inspection of the tubes must be performed on July 6, 2024 and for the header box inspection must be performed on July 6, 2025. In the end, RBI method can be applicated to gas-cooling heat exchanger. Because, risk on the tubes can be reduced from 4.537 m2/year to 0.453 m2/year. And inspection planning for header box can be reduced from 4.528 m2/year to 0.563 m2/year.

  11. Steam Generator Inspection Planning Expert System

    International Nuclear Information System (INIS)

    Rzasa, P.

    1987-01-01

    Applying Artificial Intelligence technology to steam generator non-destructive examination (NDE) can help identify high risk locations in steam generators and can aid in preparing technical specification compliant eddy current test (ECT) programs. A steam Generator Inspection Planning Expert System has been developed which can assist NDE or utility personnel in planning ECT programs. This system represents and processes its information using an object oriented declarative knowledge base, heuristic rules, and symbolic information processing, three artificial intelligence based techniques incorporated in the design. The output of the system is an automated generation of ECT programs. Used in an outage inspection, this system significantly reduced planning time

  12. Vision based tunnel inspection using non-rigid registration

    Science.gov (United States)

    Badshah, Amir; Ullah, Shan; Shahzad, Danish

    2015-04-01

    Growing numbers of long tunnels across the globe has increased the need for safety measurements and inspections of tunnels in these days. To avoid serious damages, tunnel inspection is highly recommended at regular intervals of time to find any deformations or cracks at the right time. While following the stringent safety and tunnel accessibility standards, conventional geodetic surveying using techniques of civil engineering and other manual and mechanical methods are time consuming and results in troublesome of routine life. An automatic tunnel inspection by image processing techniques using non rigid registration has been proposed. There are many other image processing methods used for image registration purposes. Most of the processes are operation of images in its spatial domain like finding edges and corners by Harris edge detection method. These methods are quite time consuming and fail for some or other reasons like for blurred or images with noise. Due to use of image features directly by these methods in the process, are known by the group, correlation by image features. The other method is featureless correlation, in which the images are converted into its frequency domain and then correlated with each other. The shift in spatial domain is the same as in frequency domain, but the processing is order faster than in spatial domain. In the proposed method modified normalized phase correlation has been used to find any shift between two images. As pre pre-processing the tunnel images i.e. reference and template are divided into small patches. All these relative patches are registered by the proposed modified normalized phase correlation. By the application of the proposed algorithm we get the pixel movement of the images. And then these pixels shifts are converted to measuring units like mm, cm etc. After the complete process if there is any shift in the tunnel at described points are located.

  13. Risk-Based School Inspections: Impact of Targeted Inspection Approaches on Dutch Secondary Schools

    Science.gov (United States)

    Ehren, Melanie C.; Shackleton, Nichola

    2016-01-01

    In most countries, publicly funded schools are held accountable to one inspectorate and are judged against agreed national standards. Many inspectorates of education have recently moved towards more proportional risk-based inspection models, targeting high-risk schools for visits, while schools with satisfactory student attainment levels are…

  14. Label inspection of approximate cylinder based on adverse cylinder panorama

    Science.gov (United States)

    Lin, Jianping; Liao, Qingmin; He, Bei; Shi, Chenbo

    2013-12-01

    This paper presents a machine vision system for automated label inspection, with the goal to reduce labor cost and ensure consistent product quality. Firstly, the images captured from each single-camera are distorted, since the inspection object is approximate cylindrical. Therefore, this paper proposes an algorithm based on adverse cylinder projection, where label images are rectified by distortion compensation. Secondly, to overcome the limited field of viewing for each single-camera, our method novelly combines images of all single-cameras and build a panorama for label inspection. Thirdly, considering the shake of production lines and error of electronic signal, we design the real-time image registration to calculate offsets between the template and inspected images. Experimental results demonstrate that our system is accurate, real-time and can be applied for numerous real- time inspections of approximate cylinders.

  15. Application of immersion phased array UT technique in nickel based alloy weld

    International Nuclear Information System (INIS)

    Hirasawa, Taiji; Nagai, Satoshi; Murakami, Koji; Yuguchi, Yasuhiro; Ootsubo, Tooru; Naruse, Katsuhiko

    2007-01-01

    The improvement of defect detection and sizing capabilities for nondestructive inspection technique has been required in order to ensure the reliable operation and life extension of nuclear power plant. Immersion phased array UT technique which is not affected the surface geometry of welds has been developed for inspection of BWR internals such as shroud, shroud support, and so on. Phased array UT technique was applied for shroud support mockup specimen with fatigue crack and partially SCC. From the experimental results, the superior performance of phased array UT for the RPV outside and inside inspection was shown. (author)

  16. Phased arrays techniques and split spectrum processing for inspection of thick titanium casting components

    International Nuclear Information System (INIS)

    Banchet, J.; Chahbaz, A.; Sicard, R.; Zellouf, D.E.

    2003-01-01

    In aircraft structures, titanium parts and engine members are critical structural components, and their inspection crucial. However, these structures are very difficult to inspect ultrasonically because of their large grain structure that increases noise drastically. In this work, phased array inspection setups were developed to detected small defects such as simulated inclusions and porosity contained in thick titanium casting blocks, which are frequently used in the aerospace industry. A Cut Spectrum Processing (CSP)-based algorithm was then implemented on the acquired data by employing a set of parallel bandpass filters with different center frequencies. This process led in substantial improvement of the signal to noise ratio and thus, of detectability

  17. Enhancement of the reliability of automated ultrasonic inspections using tools of quantitative NDT

    International Nuclear Information System (INIS)

    Kappes, W.; Baehr, W.; Kroening, M.; Schmitz, V.

    1994-01-01

    To achieve reliable test results from automated ultrasonic inspection of safety related components, optimization and integral consideration of the various inspection stages - inspection planning, inspection performance and evaluation of results - are indispensable. For this purpose, a large potential of methods is available: advanced measurement techniques, mathematical-numerical modelling processes, artificial intelligence tools, data bases and CAD systems. The potential inherent in these methods to enhance inspection reliability is outlined by way of different applications. (orig.) [de

  18. Reliability Assessment and Reliability-Based Inspection and Maintenance of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramírez, José G. Rangel; Sørensen, John Dalsgaard

    2009-01-01

    Probabilistic methodologies represent an important tool to identify the suitable strategy to inspect and deal with the deterioration in structures such as offshore wind turbines (OWT). Reliability based methods such as Risk Based Inspection (RBI) planning may represent a proper methodology to opt...

  19. Risk-based Inspection Guide for the Susquehanna Station HPCI system

    International Nuclear Information System (INIS)

    Travis, R.; Higgins, J.; Gunther, W.; Shier, W.

    1992-11-01

    The High Pressure Coolant Injection (HPCI) system has been examined from a risk perspective. A system Risk-based Inspection Guide (S-RIG) has been developed as an aid to HPCI system inspections at the Susquehanna Steam Electric Station (SSES) which is operated by Pennsylvania Power ampersand Light (PP ampersand L). Included in this S-RIG is a discussion of the role of HPCI in mitigating accidents and a presentation of PRA-based failure modes which could prevent proper operation of the system. The S-RIG uses industry operating experience, including plant-specific illustrative examples, to augment the basic PRA failure modes. It is designed to be used as a reference for both routine inspections and the evaluation of the significance of component failures

  20. GASVOL 18'' gas pipeline - risk based inspection study

    Energy Technology Data Exchange (ETDEWEB)

    Bjoernoey, Ola H.; Etterdal, Birger A. [Det Norske Veritas (DNV), Oslo (Norway); Guarize, Rosimar; Oliveira, Luiz F.S. [Det Norske Veritas (DNV) (Brazil); Faertes, Denise; Dias, Ricardo [TRANSPETRO - PETROBRAS Transporte S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    This paper describes a risk based approach and inspection planning as part of the Pipeline Integrity Management (PIM) system for the 95.5 km long 18'' GASVOL gas pipeline in the South eastern region of Brazil transporting circa 5 000 000 m3 dry gas per day. Pipeline systems can be subject to several degradation mechanisms and inspection and monitoring are used to ensure system integrity. Modern pipeline regulations and codes are normally based on a core safety or risk philosophy. The detailed design requirements presented in design codes are practical interpretations established so as to fulfill these core objectives. A given pipeline, designed, constructed and installed according to a pipeline code is therefore the realization of a structure, which, along its whole length, meets the applicable safety objectives of that code. The main objective of Pipeline Integrity Management (PIM) is to control and document the integrity of the pipeline for its whole service life, and to do this in a cost-effective manner. DNV has a specific approach to RBI planning, starting with an initial qualitative assessment where pipelines and damage type are ranked according to risk and potential risk reduction by an inspection and then carried forward to a quantitative detailed assessment where the level of complexity and accuracy can vary based on availability of information and owner needs. Detailed assessment requires significant effort in data gathering. The findings are dependent upon the accuracy of the inspection data, and on DNV's interpretation of the pipeline reference system and simplifications in the inspection data reported. The following specific failure mechanisms were investigated: internal corrosion, external corrosion, third party interference, landslides and black powder. RBI planning, in general words, is a 'living process'. In order to optimize future inspections, it is essential that the analyses utilize the most recent information regarding

  1. Integration of design and inspection

    Science.gov (United States)

    Simmonds, William H.

    1990-08-01

    Developments in advanced computer integrated manufacturing technology, coupled with the emphasis on Total Quality Management, are exposing needs for new techniques to integrate all functions from design through to support of the delivered product. One critical functional area that must be integrated into design is that embracing the measurement, inspection and test activities necessary for validation of the delivered product. This area is being tackled by a collaborative project supported by the UK Government Department of Trade and Industry. The project is aimed at developing techniques for analysing validation needs and for planning validation methods. Within the project an experimental Computer Aided Validation Expert system (CAVE) is being constructed. This operates with a generalised model of the validation process and helps with all design stages: specification of product requirements; analysis of the assurance provided by a proposed design and method of manufacture; development of the inspection and test strategy; and analysis of feedback data. The kernel of the system is a knowledge base containing knowledge of the manufacturing process capabilities and of the available inspection and test facilities. The CAVE system is being integrated into a real life advanced computer integrated manufacturing facility for demonstration and evaluation.

  2. A study on laser-based ultrasonic technique by the use of guided wave tomographic imaging

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junpil, E-mail: jpp@pusan.ac.kr; Lim, Juyoung, E-mail: jpp@pusan.ac.kr [Graduate school, School of Mechanical Engineering, Pusan National University (Korea, Republic of); Cho, Younho [School of Mechanical Engineering, Pusan National University (Korea, Republic of); Krishnaswamy, Sridhar [Center for Quality Engineering and Failure Prevention, Northwestern University, Evanston, IL (United States)

    2015-03-31

    Guided wave tests are impractical for investigating specimens with limited accessibility and coarse surfaces or geometrically complicated features. A non-contact setup with a laser ultrasonic transmitter and receiver is the classic attractive for guided wave inspection. The present work was done to develop a non-contact guided-wave tomography technique by laser ultrasonic technique in a plate-like structure. A method for Lam wave generation and detection in an aluminum plate with a pulse laser ultrasonic transmitter and a Michelson interferometer receiver has been developed. In the images obtained by laser scanning, the defect shape and area showed good agreement with the actual defect. The proposed approach can be used as a non-contact-based online inspection and monitoring technique.

  3. Benchmarking on Inspection Practices. First Triennial Report of the Working Group on Inspection Practices

    International Nuclear Information System (INIS)

    2017-01-01

    The WGIP Nuclear Power Plant (NPP) Observed Inspection Practices Program is intended to promote co-operation and learning among member countries and to collect and provide them with information for improving the effectiveness of existing regulatory inspection practices. This is accomplished by observing how inspections are carried out by other member countries and issuing a WGIP observed inspection report that includes observations (e.g. inspection techniques, obstacles encountered), lessons learnt and potential commendable practices that could be used by a regulatory body. This first triennial report documents the results of a consistency check performed between all six WGIP observed inspection reports and ratifies certain observations, lessons learnt and potential commendable practices identified by WGIP observed inspection participants

  4. New techniques provide low-cost X-ray inspection of highly attenuating materials

    International Nuclear Information System (INIS)

    Stupin, D.M.; Mueller, K.H.; Viskoe, D.A.; Howard, B.; Poland, R.W.; Schneberk, D.; Dolan, K.; Thompson, K.; Stoker, G.

    1995-01-01

    As a result of an arms reduction treaty between the United States and the Russian Federation, both countries will each be storing over 40,000 containers of plutonium. To help detect any deterioration of the containers and prevent leakage, the authors are designing a digital radiography and computed tomography system capable of handling this volume reliably, efficiently, and at a lower cost. The materials to be stored have very high x-ray attenuations, and, in the past, were inspected using 1- to 24-MV x-ray sources. This inspection system, however, uses a new scintillating (Lockheed) glass and an integrating CCD camera. Preliminary experiments show that this will permit the use of a 450-kV x-ray source. This low-energy system will cost much less than others designed to use a higher-energy x-ray source because it will require a less expensive source, less shielding, and less floor space. Furthermore, they can achieve a tenfold improvement in spatial resolution by using their knowledge of the point-spread function of the x-ray imaging system and a least-squares fitting technique

  5. Inspection planning

    International Nuclear Information System (INIS)

    Korosec, D.; Levstek, M.F.

    2001-01-01

    Slovenian Nuclear Safety Administration (SNSA) division of nuclear and radiological safety inspection has developed systematic approach to their inspections. To be efficient in their efforts regarding regular and other types of inspections, in past years, the inspection plan has been developed. It is yearly based and organized on a such systematic way, that all areas of nuclear safety important activities of the licensee are covered. The inspection plan assures appropriate preparation for conducting the inspections, allows the overview of the progress regarding the areas to be covered during the year. Depending on the licensee activities and nature of facility (nuclear power plant, research reactor, radioactive waste storage, others), the plan has different levels of intensity of inspections and also their frequency. One of the basic approaches of the plan is to cover all nuclear and radiological important activities on such way, that all regulatory requests are fulfilled. In addition, the inspection plan is a good tool to improve inspection effectiveness based on previous experience and allows to have the oversight of the current status of fulfillment of planned inspections. Future improvement of the plan is necessary in the light of newest achievements on this field in the nuclear world, that means, new types of inspections are planned and will be incorporated into plan in next year.(author)

  6. Periodic inspections of the primary system

    International Nuclear Information System (INIS)

    Dufour, L.B.

    1978-01-01

    An impression is given of the inspection techniques, preparations and background for periodic examinations of the primary system of the Dodewaard Nuclear Reactor over the past 10 years. Unfortunately reliable integral inspection techniques to enable 'listening-in' to developing faults, are not yet available. Until they are, inspections will continue to be executed from a distance using different continuous methods, often under water and with a shortage of space and in the presence of ionising radiations. (C.F.)

  7. Nuclear reactor vessel surface inspecting technique applying electric resistance probe

    International Nuclear Information System (INIS)

    Yamaguchi, T.; Enami, K.; Yoshioka, M.

    1975-01-01

    A new technique for inspecting the inner surface of the PWR type nuclear reactor vessel by use of an electric resistance probe is introduced, centering on a data processing system. This system is composed of a mini-computer, a system typewriter, an interface unit, a D-A converter and controller, and X-Y recorder and others. Its functions are judging flaws and making flaw detection maps. In order to judge flaws by flaw detection signals, three kinds of flaw judging methods have been developed. In case there is a flaw, its position and depth are calculated and listed on the system typewriter. The flaw detection maps are expressed in four kinds of modes and they are displayed on the X-Y recorder. (auth.)

  8. Assessment of the reliability of ultrasonic inspection methods

    International Nuclear Information System (INIS)

    Haines, N.F.; Langston, D.B.; Green, A.J.; Wilson, R.

    1982-01-01

    The reliability of NDT techniques has remained an open question for many years. A reliable technique may be defined as one that, when rigorously applied by a number of inspection teams, consistently finds then correctly sizes all defects of concern. In this paper we report an assessment of the reliability of defect detection by manual ultrasonic methods applied to the inspection of thick section pressure vessel weldments. Initially we consider the available data relating to the inherent physical capabilities of ultrasonic techniques to detect cracks in weldment and then, independently, we assess the likely variability in team to team performance when several teams are asked to follow the same specified test procedure. The two aspects of 'capability' and 'variability' are brought together to provide quantitative estimates of the overall reliability of ultrasonic inspection of thick section pressure vessel weldments based on currently existing data. The final section of the paper considers current research programmes on reliability and presents a view on how these will help to further improve NDT reliability. (author)

  9. Qualification of the LF-eddy current technique for the inspection of stainless steel cladding and applications on the reactor pressure vessel

    International Nuclear Information System (INIS)

    Weiss, R.; Becker, R.; Lucht, B.; Mohr, F.; Hartwig, K.

    2001-01-01

    As part of the re-inspection of the reactor pressure vessel of the nuclear power plant, the low-frequency-eddy current technique was implemented during the 1995 outage. Since then, this inspection technique and the testing equipment have seen steady further development. Therefore, optimization of the entire testing system, including qualification based on the 1995 results, was conducted. The eddy current testing system was designed as a ten-channel test system with sensors having separate transmitter and receiver coils. The first qualification of the testing technique and sensors was performed using a single-channel system; a second qualification was then carried out using the new testing electronics. The sensor design allows for a simultaneous detection of surface and subsurface flaws. This assumes that testing is performed simultaneously using four frequencies. Data analysis and evaluation are performed using a digital multi-frequency regression analysis technique The detection limits determined using this technique led to the definition of the following recording limits for testing in which the required signal-to-noise ratio of 6 dB was reliably observed. - Detection of surface connected longitudinal and transverse flaws: - notch, 3 mm deep and 10 mm long, for weave bead cladding; - notch, 2 mm deep and 20 mm long, for strip weld cladding. - Detection of embedded planar longitudinal and transverse flaws: - ligament of 7 mm for 8 mm clad thickness and 3 mm; - ligament for 4 mm clad thickness, notch starting at the carbon steel base material with a length of 20 mm. - Detection of embedded volumetric longitudinal and transverse flaws: - 3 mm diameter side-drilled hole (SDH) for 8 mm clad thickness; ligament, 4 mm. For 4 mm clad thickness: diameter, 2 mm SDH; ligament, 2 mm. All SDHs are 55 mm deep

  10. Extreme Learning Machine and Moving Least Square Regression Based Solar Panel Vision Inspection

    Directory of Open Access Journals (Sweden)

    Heng Liu

    2017-01-01

    Full Text Available In recent years, learning based machine intelligence has aroused a lot of attention across science and engineering. Particularly in the field of automatic industry inspection, the machine learning based vision inspection plays a more and more important role in defect identification and feature extraction. Through learning from image samples, many features of industry objects, such as shapes, positions, and orientations angles, can be obtained and then can be well utilized to determine whether there is defect or not. However, the robustness and the quickness are not easily achieved in such inspection way. In this work, for solar panel vision inspection, we present an extreme learning machine (ELM and moving least square regression based approach to identify solder joint defect and detect the panel position. Firstly, histogram peaks distribution (HPD and fractional calculus are applied for image preprocessing. Then an ELM-based defective solder joints identification is discussed in detail. Finally, moving least square regression (MLSR algorithm is introduced for solar panel position determination. Experimental results and comparisons show that the proposed ELM and MLSR based inspection method is efficient not only in detection accuracy but also in processing speed.

  11. Intercomparison of techniques for inspection and diagnostics of heavy water reactor pressure tubes. Determination of hydrogen concentration and blister characterization

    International Nuclear Information System (INIS)

    2009-03-01

    Heavy water reactors (HWRs) comprise significant numbers of today's operating nuclear power plants, and more are under construction. Efficient and accurate inspection and diagnostic techniques for various reactor components and systems, especially pressure tubes, are an important factor in ensuring reliable and safe plant operation. To foster international collaboration in the efficient and safe use of nuclear power, the IAEA conducted a Coordinated Research Project (CRP) on Intercomparison of Techniques for HWR Pressure Tube Inspection and Diagnostics. This CRP was carried out within the framework of the IAEA's Technical Working Group on Advanced Technologies for HWRs (the TWG-HWR). The TWG-HWR is a group of experts nominated by their governments and designated by the IAEA to provide advice and to support implementation of IAEA's project on advanced technologies for HWRs. The objective of the CRP was to compare non-destructive inspection and diagnostic techniques, in use and being developed, for structural integrity assessment of HWR pressure tubes. During the first phase of this CRP participants investigated the capability of different techniques to detect and characterize flaws. During the second phase participants collaborated to detect and characterize hydride blisters and to determine the hydrogen concentration in zirconium alloys. The intention was to identify the most effective pressure tube inspection and diagnostic methods and to identify further development needs. The organizations which participated in phase 2 of this CRP are: - Comision Nacional de Energia Atomica (CNEA), Argentina; - Atomic Energy of Canada Ltd. (AECL), Chalk River Laboratories (CRL), Canada; - Bhabha Atomic Research Centre (BARC), India; - Korea Atomic Energy Research Institute (KAERI), Republic of Korea; - National Institute for Research and Development for Technical Physics (NIRDTP), Romania; - Nuclear Non-Destructive Testing Research and Services (NNDT), Romania. IAEA-TECDOC-1499

  12. Development of outdoor luminescence imaging for drone-based PV array inspection

    DEFF Research Database (Denmark)

    Benatto, Gisele Alves dos Reis; Riedel, Nicholas; Thorsteinsson, Sune

    2017-01-01

    This work has the goal to examined experimentally PV module imaging methods under natural light conditions, that will be used in a fast, accurate and automatic drone-based inspection system for PV power plants.......This work has the goal to examined experimentally PV module imaging methods under natural light conditions, that will be used in a fast, accurate and automatic drone-based inspection system for PV power plants....

  13. Scheduling and coordination for in-service inspection of nuclear power plant

    International Nuclear Information System (INIS)

    Li Songbai

    1996-11-01

    Based on the practice and experiences of pre-service and in-service inspections for Daya Bay Nuclear Power Plant (NPP) by Research Institute of Nuclear Power Operation (RINPO) following RSEM code, requirements of utility and actual situation in China, the in-service inspection preparation for organization, techniques and equipment/tooling, materials, personnel and documentation is briefly described. And the scheduling and coordinating consideration for planed in-service inspection activities during NPP outage is emphatically introduced. (2 refs., 4 figs.)

  14. Development of digital radiographic inspection method in MINT

    International Nuclear Information System (INIS)

    Mohd Ashhar Khalid; Abdul Razak Hamzah; Abdul Aziz Mohamad; Anuar Mikdad Muad; Nolida Yussup; Maslina Mohd Ibrahim

    2002-01-01

    Industrial radiography has been employed for the evaluation of defects, such as cracks, porosity and foreign inclusions found in casting and welds. It has become one of the major techniques in industrial non-destructive testing for the past 40 years. Although this technique has been well developed, further improvement can be implemented especially with the advancement of electronics and computer technology. Digital image processing techniques and application of artificial intelligence methods allow the interpretation of the image to be automated, avoiding the presence of human operators making the inspection system faster, more reliable and reproducible. Numerous works has been reported by various groups on the development of an automated, computer based evaluation algorithms. This paper reports the development and progress of digital radiographic inspection method in MINT. (Author)

  15. Bayesian inferences of generation and growth of corrosion defects on energy pipelines based on imperfect inspection data

    International Nuclear Information System (INIS)

    Qin, H.; Zhou, W.; Zhang, S.

    2015-01-01

    Stochastic process-based models are developed to characterize the generation and growth of metal-loss corrosion defects on oil and gas steel pipelines. The generation of corrosion defects over time is characterized by the non-homogenous Poisson process, and the growth of depths of individual defects is modeled by the non-homogenous gamma process (NHGP). The defect generation and growth models are formulated in a hierarchical Bayesian framework, whereby the parameters of the models are evaluated from the in-line inspection (ILI) data through the Bayesian updating by accounting for the probability of detection (POD) and measurement errors associated with the ILI data. The Markov Chain Monte Carlo (MCMC) simulation in conjunction with the data augmentation (DA) technique is employed to carry out the Bayesian updating. Numerical examples that involve simulated ILI data are used to illustrate and validate the proposed methodology. - Highlights: • Bayesian updating of growth and generation models of defects on energy pipelines. • Non-homogeneous Poisson process for defect generation. • Non-homogeneous gamma process for defect growth. • Updating based on inspection data with detecting and sizing uncertainties. • MCMC in conjunction with data augmentation technique employed for the updating.

  16. Working group 8: inspection tools

    Energy Technology Data Exchange (ETDEWEB)

    Billey, Deb; Kania, Richard; Nickle, Randy; Wang, Rick; Westwood, Stephen

    2011-07-01

    This eighth working group of the Banff 2011 conference discussed the inspection tools and techniques used by the upstream and downstream pipeline industry to evaluate pipeline integrity. Special attention was given to the challenges and successes related to in-line inspection (ILI) technology. The background of current dent assessment criteria in B31.8 was presented, including dent definition for ILI vendors and pipeline operators as well as codes (CSA Z662 and B31.8). The workshop described examples of dents and assessments showing inconsistency with current criteria as set out by TCPL and Marathon. This workshop produced a single, industry-wide definition of the dent. It was found that the strain based criteria were more practical because depth based is conservative and may miss shallow occurrences. The creation of joint industry group was proposed to develop strain based criteria for incorporation into CSAZ662 and B31.8.

  17. Development of NDT techniques for the inspection of WSGHWR pressure tubes

    International Nuclear Information System (INIS)

    Gray, B.S.; Highmore, P.J.; Rudlin, J.R.; Cooper, A.G.

    1979-01-01

    The fuel for the Steam Generating Heavy Water Reactor at Winfrith Heath is contained in vertical Zircaloy pressure tubes and is cooled by boiling light water. This paper describes the development of NDT techniques for the inservice examination of the pressure tubes to provide continuing assurance of the absence of axial crack-like defects. The resultant equipment has to operate in water-filled tubes in the presence of the radiation field due to the irradiated fuel elements in adjacent tubes. Also, a layer of surface oxide on the inside of the tubes has been found to significantly affect the behaviour of a prototype inspection device. To provide adequate sensitivity in these conditions, without the occurrence of unnecessary spurious indications, a combination of techniques has been developed. This involves the use of ultrasonics in both pulse-echo and 'pitch and catch' mode together with a single frequency eddy current technique. Laboratory work using artificial defects is described and also how the development programme was modified to accommodate the results of in-reactor tests using a prototype device. Reference is also made to the development of CCTV equipment to provide a supplementary visual examination. (author)

  18. Risk-based inspection and maintenance systems for steam turbines

    International Nuclear Information System (INIS)

    Fujiyama, Kazunari; Nagai, Satoshi; Akikuni, Yasunari; Fujiwara, Toshihiro; Furuya, Kenichiro; Matsumoto, Shigeru; Takagi, Kentaro; Kawabata, Taro

    2004-01-01

    The risk-based maintenance (RBM) system has been developed for steam turbine plants coupled with the quick inspection systems. The RBM system utilizes the field failure and inspection database accumulated over 30 years. The failure modes are determined for each component of steam turbines and the failure scenarios are described as event trees. The probability of failure is expressed in the form of unreliability functions of operation hours or start-up cycles through the cumulative hazard function method. The posterior unreliability is derived from the field data analysis according to the inspection information. Quick inspection can be conducted using air-cooled borescope and heat resistant ultrasonic sensors even if the turbine is not cooled down sufficiently. Another inspection information comes from degradation and damage measurement. The probabilistic life assessment using structural analysis and statistical material properties, the latter is estimated from hardness measurement, replica observation and embrittlement measurement. The risk function is calculated as the sum product of unreliability functions and expected monetary loss as the consequence of failure along event trees. The optimum maintenance plan is determined among simulated scenarios described through component breakdown trees, life cycle event trees and risk functions. Those methods are effective for total condition assessment and economical maintenance for operating plants

  19. Strategy of Risk-Informed Inspection for Secondary Systems in NPPs

    International Nuclear Information System (INIS)

    Kim, So Young; Heo, Gyun Young

    2012-01-01

    Recently, unexpected accidents such as large-scale blackout have been occurred due to increasing demand of electricity. The unplanned trips have great influence over the economics although they rarely affect the safety of system. Because the cost for inspection and maintenance is limited, it is beneficial not only economically to take follow-up action in a timely manner to prevent plant trip but also in safety by protecting against the risk which is occurred from trip. In nuclear power plants (NPPs), the importance about risk-informed service such as inspection, maintenance and replacement is becoming on the rise. Risk-informed techniques such as in-service test, in-service inspection, design guide change and etc. are already used in nuclear field but these techniques are applied focusing on primary system for safety-related regulatory issues. It is needed to consider the optimization of inspection informed risk for entire plant including secondary system which is not applied enough. The study about risk-based inspection for boilers in thermal power field is in progress actively, but not for turbine cycle, which is similar with nuclear industry. Today, the most of inspections or tests for turbine cycle are time-based maintenance, being performed on time. It is required to perform condition-based maintenance by evaluating risk analyzing both of probability and consequence simultaneously about damage mechanisms that can be predicted by equipment or devices configuring the secondary system. Probability of failure (POF) is analyzed in terms of materials' ageing mechanisms, but consequence of failure (COF) should be evaluated in terms of thermohydraulic condition then it is needed to check power loss and period of maintenance. This paper is going to propose the idea to quantify the consequence of failure using the fault tree and simulation for secondary system, and propose quantitative risk-informed inspection by these approaches

  20. Inspection of dissimilar metal welds in reactor pressure vessels in Spain

    Energy Technology Data Exchange (ETDEWEB)

    Gadea, J.R.; Regidor, J.J.; Pelaez, J.A.; Serrano, P. [Tecnatom, S.A., San Sebastian de los Reyes, Madrid (Spain)

    2011-07-01

    MRP-139 recommendations for inspection of dissimilar metal (DM) welds in PWR vessels were launched in the last years in the USA. Basically, it increases the frequency of the examinations in these type of welds, with major emphasis in the hot loops, adding one intermediate inspection at the ten years interval in outlet nozzles. The spanish nuclear power plants (NPP's) have begun the implementation of this type of inspections on the vessel nozzles DM welds. As this type of inspections could have an impact in the critical path duration of the outage, it is necessary the use of a mechanical equipment able to examine the nozzles DM welds in a short vessel occupation time (VOT) with high quality, qualified techniques and minimum requirements of the refuelling platform. Tecnatom undertook the design and development of a new more advanced equipment, named TENIS-DM, for implementing the reactor pressure vessel (RPV) nozzles examination. This equipment was designed in order to accomplish the stringent requirements and the updated examination techniques; it was used for the inspection of the DM welds of Asco 1 NPP inlet and outlet nozzles in March 2011. Examination techniques and procedures were qualified through the GRUVAL validation program, based on ENIC methodology. Mechanical scanner was equipped with a large number of examination probes, and TV cameras -for visual inspection and also for monitoring the ultrasonic inspections. A remote operated submarine was also used to give support to the operational personnel during the manipulation of the equipment and its movements from one nozzle to the others. During two months before the inspection, tests of the complete inspection system were made on a nozzle mock-up installed in a 4 meters deep well at Tecnatom's facilities; this scenario was also used during the training sessions of the inspection crew. The defined technical and practical objectives were achieved: use of qualified techniques and minimal impact on the

  1. Inspection of dissimilar metal welds in reactor pressure vessels in Spain

    International Nuclear Information System (INIS)

    Gadea, J.R.; Regidor, J.J.; Pelaez, J.A.; Serrano, P.

    2011-01-01

    MRP-139 recommendations for inspection of dissimilar metal (DM) welds in PWR vessels were launched in the last years in the USA. Basically, it increases the frequency of the examinations in these type of welds, with major emphasis in the hot loops, adding one intermediate inspection at the ten years interval in outlet nozzles. The spanish nuclear power plants (NPP's) have begun the implementation of this type of inspections on the vessel nozzles DM welds. As this type of inspections could have an impact in the critical path duration of the outage, it is necessary the use of a mechanical equipment able to examine the nozzles DM welds in a short vessel occupation time (VOT) with high quality, qualified techniques and minimum requirements of the refuelling platform. Tecnatom undertook the design and development of a new more advanced equipment, named TENIS-DM, for implementing the reactor pressure vessel (RPV) nozzles examination. This equipment was designed in order to accomplish the stringent requirements and the updated examination techniques; it was used for the inspection of the DM welds of Asco 1 NPP inlet and outlet nozzles in March 2011. Examination techniques and procedures were qualified through the GRUVAL validation program, based on ENIC methodology. Mechanical scanner was equipped with a large number of examination probes, and TV cameras -for visual inspection and also for monitoring the ultrasonic inspections. A remote operated submarine was also used to give support to the operational personnel during the manipulation of the equipment and its movements from one nozzle to the others. During two months before the inspection, tests of the complete inspection system were made on a nozzle mock-up installed in a 4 meters deep well at Tecnatom's facilities; this scenario was also used during the training sessions of the inspection crew. The defined technical and practical objectives were achieved: use of qualified techniques and minimal impact on the critical

  2. Application of acoustic emission, as non destructive testing technique, to nuclear components inspection

    International Nuclear Information System (INIS)

    Sanchez Miro, J.J.

    1980-01-01

    A panorama of actual state of acoustic emission as non destructive testing technique, from stand point of its safety applications to nuclear reactor is offered. In first place the physic grounds of acoustic emission phenomenon is briefly exposed. After we speak about the experimental methods for detection, and overall is made an explanation of the problems which are found during the application of this technology to on-line inspection of nuclear oower plants. It is hoped that this repport makes a contribution in the sense of to create a favourable atmosphere toward the introduction in our country of this important technique, and concretely within the nuclear power industry. In this last field the employ of acoustic emission is overcoming the experimental stage. (author)

  3. Risk-Informed Decisions Optimization in Inspection and Maintenance

    International Nuclear Information System (INIS)

    Robertas Alzbutas

    2002-01-01

    The Risk-Informed Approach (RIA) used to support decisions related to inspection and maintenance program is considered. The use of risk-informed methods can help focus the adequate in-service inspections and control on the more important locations of complex dynamic systems. The focus is set on the highest risk measured as conditional core damage frequency, which is produced by the frequencies of degradation and final failure at different locations combined with the conditional failure consequence probability. The probabilities of different degradation states per year and consequences are estimated quantitatively. The investigation of inspection and maintenance process is presented as the combination of deterministic and probabilistic analysis based on general risk-informed model, which includes the inspection and maintenance program features. Such RIA allows an optimization of inspection program while maintaining probabilistic and fundamental deterministic safety requirements. The failure statistics analysis is used as well as the evaluation of reliability of inspections. The assumptions regarding the effectiveness of the inspection methods are based on a classification of the accessibility of the welds during the inspection and on the different techniques used for inspection. The probability of defect detection is assumed to depend on the parameters either through logarithmic or logit transformation. As example the modeling of the pipe systems inspection process is analyzed. The means to reduce a number of inspection sites and the cumulative radiation exposure to the NPP inspection personnel with a reduction of overall risk is presented together with used and developed software. The developed software can perform and administrate all the risk evaluations and ensure the possibilities to compare different options and perform sensitivity analysis. The approaches to define an acceptable level of risk are discussed. These approaches with appropriate software in

  4. Risk based inspection for atmospheric storage tank

    Science.gov (United States)

    Nugroho, Agus; Haryadi, Gunawan Dwi; Ismail, Rifky; Kim, Seon Jin

    2016-04-01

    Corrosion is an attack that occurs on a metallic material as a result of environment's reaction.Thus, it causes atmospheric storage tank's leakage, material loss, environmental pollution, equipment failure and affects the age of process equipment then finally financial damage. Corrosion risk measurement becomesa vital part of Asset Management at the plant for operating any aging asset.This paper provides six case studies dealing with high speed diesel atmospheric storage tank parts at a power plant. A summary of the basic principles and procedures of corrosion risk analysis and RBI applicable to the Process Industries were discussed prior to the study. Semi quantitative method based onAPI 58I Base-Resource Document was employed. The risk associated with corrosion on the equipment in terms of its likelihood and its consequences were discussed. The corrosion risk analysis outcome used to formulate Risk Based Inspection (RBI) method that should be a part of the atmospheric storage tank operation at the plant. RBI gives more concern to inspection resources which are mostly on `High Risk' and `Medium Risk' criteria and less on `Low Risk' shell. Risk categories of the evaluated equipment were illustrated through case study analysis outcome.

  5. Risk-based optimization of pipe inspections in large underground networks with imprecise information

    International Nuclear Information System (INIS)

    Mancuso, A.; Compare, M.; Salo, A.; Zio, E.; Laakso, T.

    2016-01-01

    In this paper, we present a novel risk-based methodology for optimizing the inspections of large underground infrastructure networks in the presence of incomplete information about the network features and parameters. The methodology employs Multi Attribute Value Theory to assess the risk of each pipe in the network, whereafter the optimal inspection campaign is built with Portfolio Decision Analysis (PDA). Specifically, Robust Portfolio Modeling (RPM) is employed to identify Pareto-optimal portfolios of pipe inspections. The proposed methodology is illustrated by reporting a real case study on the large-scale maintenance optimization of the sewerage network in Espoo, Finland. - Highlights: • Risk-based approach to optimize pipe inspections on large underground networks. • Reasonable computational effort to select efficient inspection portfolios. • Possibility to accommodate imprecise expert information. • Feasibility of the approach shown by Espoo water system case study.

  6. Robotic inspection of fiber reinforced composites using phased array UT

    Science.gov (United States)

    Stetson, Jeffrey T.; De Odorico, Walter

    2014-02-01

    Ultrasound is the current NDE method of choice to inspect large fiber reinforced airframe structures. Over the last 15 years Cartesian based scanning machines using conventional ultrasound techniques have been employed by all airframe OEMs and their top tier suppliers to perform these inspections. Technical advances in both computing power and commercially available, multi-axis robots now facilitate a new generation of scanning machines. These machines use multiple end effector tools taking full advantage of phased array ultrasound technologies yielding substantial improvements in inspection quality and productivity. This paper outlines the general architecture for these new robotic scanning systems as well as details the variety of ultrasonic techniques available for use with them including advances such as wide area phased array scanning and sound field adaptation for non-flat, non-parallel surfaces.

  7. Orion Exploration Flight Test Post-Flight Inspection and Analysis

    Science.gov (United States)

    Miller, J. E.; Berger, E. L.; Bohl, W. E.; Christiansen, E. L.; Davis, B. A.; Deighton, K. D.; Enriquez, P. A.; Garcia, M. A.; Hyde, J. L.; Oliveras, O. M.

    2017-01-01

    The principal mechanism for developing orbital debris environment models, is to make observations of larger pieces of debris in the range of several centimeters and greater using radar and optical techniques. For particles that are smaller than this threshold, breakup and migration models of particles to returned surfaces in lower orbit are relied upon to quantify the flux. This reliance on models to derive spatial densities of particles that are of critical importance to spacecraft make the unique nature of the EFT-1's return surface a valuable metric. To this end detailed post-flight inspections have been performed of the returned EFT-1 backshell, and the inspections identified six candidate impact sites that were not present during the pre-flight inspections. This paper describes the post-flight analysis efforts to characterize the EFT-1 mission craters. This effort included ground based testing to understand small particle impact craters in the thermal protection material, the pre- and post-flight inspection, the crater analysis using optical, X-ray computed tomography (CT) and scanning electron microscope (SEM) techniques, and numerical simulations.

  8. Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Jindal, Vibhu; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-09-01

    The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow's requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection. To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today's patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the

  9. Ultrasonic, microwave, and millimeter wave inspection techniques for adhesively bonded stacked open honeycomb core composites

    Science.gov (United States)

    Thomson, Clint D.; Cox, Ian; Ghasr, Mohammad Tayeb Ahmed; Ying, Kuang P.; Zoughi, Reza

    2015-03-01

    Honeycomb sandwich composites are used extensively in the aerospace industry to provide stiffness and thickness to lightweight structures. A common fabrication method for thick, curved sandwich structures is to stack and bond multiple honeycomb layers prior to machining core curvatures. Once bonded, each adhesive layer must be inspected for delaminations and the presence of unwanted foreign materials. From a manufacturing and cost standpoint, it can be advantageous to inspect the open core prior to face sheet closeout in order to reduce end-article scrap rates. However, by nature, these honeycomb sandwich composite structures are primarily manufactured from low permittivity and low loss materials making detection of delamination and some of the foreign materials (which also are low permittivity and low loss) quite challenging in the microwave and millimeter wave regime. Likewise, foreign materials such as release film in adhesive layers can be sufficiently thin as to not cause significant attenuation in through-transmission ultrasonic signals, making them difficult to detect. This paper presents a collaborative effort intended to explore the efficacy of different non-contact NDI techniques for detecting flaws in a stacked open fiberglass honeycomb core panel. These techniques primarily included air-coupled through-transmission ultrasonics, single-sided wideband synthetic aperture microwave and millimeter-wave imaging, and lens-focused technique. The goal of this investigation has been to not only evaluate the efficacy of these techniques, but also to determine their unique advantages and limitations for evaluating parameters such as flaw type, flaw size, and flaw depth.

  10. Experience with the implementation of a risk-based ISI program and inspection qualification

    International Nuclear Information System (INIS)

    Chapman, O.J.V.

    1996-01-01

    Rolls Royce and Associates (RRA) are the Design Authority (DA) for Nuclear Steam Raising Plant (NSRP) used for the Royal Naval Nuclear Fleet. Over the past seven years RRA, with support from the Ministry of Defense, has developed and implemented a risk based in-service inspection (RBISI) strategy for the NSRP. Having used risk as a means of optimizing where to inspect, an inspection qualification (IQ) process has now been put in place to ensure that proposed inspections deliver the expected gains assumed. This qualification process follows very closely that currently being put forward by the European Network on Inspection Qualification (ENIQ)

  11. Container Inspection Utilizing 14 MeV Neutrons

    Science.gov (United States)

    Valkovic, Vladivoj; Sudac, Davorin; Nad, Karlo; Obhodas, Jasmina

    2016-06-01

    A proposal for an autonomous and flexible ship container inspection system is presented. This could be accomplished by the incorporation of an inspection system on various container transportation devices (straddle carriers, yard gentry cranes, automated guided vehicles, trailers). The configuration is terminal specific and it should be defined by the container terminal operator. This enables that no part of the port operational area is used for inspection. The inspection scenario includes container transfer from ship to transportation device with the inspection unit mounted on it. The inspection is performed during actual container movement to the container location. A neutron generator without associated alpha particle detection is used. This allows the use of higher neutron intensities (5 × 109 - 1010 n/s in 4π). The inspected container is stationary in the “inspection position” on the transportation device while the “inspection unit” moves along its side. The following analytical methods will be used simultaneously: neutron radiography, X-ray radiography, neutron activation analysis, (n, γ) and (n,n'γ) reactions, neutron absorption. and scattering, X-ray backscattering. The neutron techniques will utilize “smart collimators” for neutrons and gamma rays, both emitted and detected. The inspected voxel is defined by the intersection of the neutron generator and the detectors solid angles. The container inspection protocol is based on identification of discrepancies between the cargo manifest, elemental “fingerprint” and radiography profiles. In addition, the information on container weight is obtained during the container transport and screening by measuring of density of material in the container.

  12. RNL NDT studies related to PWR pressure vessel inlet nozzle inspection

    International Nuclear Information System (INIS)

    Rogerson, A.; Poulter, L.N.J.; Clough, P.; Cooper, A.

    1984-01-01

    Non-destructive examinations of the Reactor Pressure Vessel (RPV) of a Pressurized Water Reactor (PWR) play an important role in assuring vessel integrity throughout its operational life. Automated ultrasonic techniques for the detection and sizing of flaws in thick-section seam welds and near-surface regions in a PWR RPV have been under development at RNL for some time. Techniques for the inspection of complex geometry welds and other regions of the vessel are now being assessed and further developed as part of the UK NDT development programme in support of the Sizewell PWR. One objective of this programme is to demonstrate that the range of ultrasonic techniques already shown to be effective for the inspection of seam welds and inlet nozzle corner regions, through exercises such as the Defect Detection Trials, can also be effective for inspection of these other vessel regions. The nozzle-to-vessel welds and nozzle crotch corners associated with the RPV water inlet and outlet nozzles are two such regions being examined in this programme. In this paper, a review is given of the work performed at RNL in the development of a laboratory-based inspection system for inlet nozzle inspection. The main features of the system in its current stage of development are explained. (author)

  13. Application of risk-based methods for inspection of nuclear power plant components

    International Nuclear Information System (INIS)

    Balkey, K.R.

    1992-01-01

    In-service inspections (ISIs) can play a significant role in minimizing equipment and structural failures. All aspects of inspections, i.e., objectives, method, timing, and the acceptance criteria for detected flaws can affect the probability of component failure. Where ISI programs exist, they are primarily based on prior experience and engineering judgment. At best, some include an implicit consideration of risk (probability of failure multiplied by consequence). Since late 1988, a multidisciplined American Society of Mechanical Engineers (ASME) Research Task Force on Risk-Based Inspection Guidelines has been addressing the general question of how to formally incorporate risk considerations into plans and requirements for the ISI of components and structural systems. The task force and steering committee that guided the project have concluded that appropriate analytical methods exist for evaluating and quantifying risks associated with pressure boundary and structural failures. With the support of about a dozen industry and government organizations, the research group has recommended a general methodology for establishing a risk-based inspection program that could be applied to any nuclear system or structural system

  14. A real-time standard parts inspection based on deep learning

    Science.gov (United States)

    Xu, Kuan; Li, XuDong; Jiang, Hongzhi; Zhao, Huijie

    2017-10-01

    Since standard parts are necessary components in mechanical structure like bogie and connector. These mechanical structures will be shattered or loosen if standard parts are lost. So real-time standard parts inspection systems are essential to guarantee their safety. Researchers would like to take inspection systems based on deep learning because it works well in image with complex backgrounds which is common in standard parts inspection situation. A typical inspection detection system contains two basic components: feature extractors and object classifiers. For the object classifier, Region Proposal Network (RPN) is one of the most essential architectures in most state-of-art object detection systems. However, in the basic RPN architecture, the proposals of Region of Interest (ROI) have fixed sizes (9 anchors for each pixel), they are effective but they waste much computing resources and time. In standard parts detection situations, standard parts have given size, thus we can manually choose sizes of anchors based on the ground-truths through machine learning. The experiments prove that we could use 2 anchors to achieve almost the same accuracy and recall rate. Basically, our standard parts detection system could reach 15fps on NVIDIA GTX1080 (GPU), while achieving detection accuracy 90.01% mAP.

  15. Improvement of visualization efficiency for the nondestructive inspection image of internal defects in plate type nuclear fuel

    International Nuclear Information System (INIS)

    Park, Seung Kyu; Park, Nak Kyu; Baik, Sung Hoon; Lee, Yoon Sang; Cheong, Yong Moo; Kang, Young June

    2012-01-01

    Plate type nuclear fuel has been adopted in most research reactors. The production quality of the fuel is a key part for an efficient and stable generation of thermal energy in research reactors. Thus, a nondestructive quality inspection for the internal defects of plate type nuclear fuel is a key process during the production of nuclear fuel for safety insurance. Nondestructive quality inspections based on X rays and ultrasounds have been widely used for the defect detection of plate type nuclear fuel. X ray testing is a simple and fast inspection method, and provides an image in real time as the inspection results. Thus, the testing can be carried out by a non expert field worker. However, it is hard to detect closed type defects that should be detected during the production of plate type nuclear fuel. Ultrasonic testing is a powerful tool to detect internal defects including open type and closed type defects in plate type nuclear fuel. However, the inspection process is complicated because an immersion test should be carried out in a water tank. It is also a time consuming inspection method because area testing to acquire image is based on the scanning of the point by point inspections. Among nondestructive inspection techniques, the techniques based on laser interferometry and infrared thermography have been widely used in the detection of internal defects of plate type composite materials, such as aircraft, automotive etc. While infrared thermography technique (IRT) analyses the thermal behavior of the specimen surface, laser interferometry technique (LIT) analyses the deformation field. Both techniques are useful tools for detection and evaluation of internal defects in composite materials. Especially, the laser interferometry technique can provide the depth information of internal defects. Laser interferometry technique (LIT) is a non contact inspection method faster than thermography. Also, this technique requires less energy than thermography and the

  16. A study on the crack inspection signal characteristics for power plant components by phased array UT

    International Nuclear Information System (INIS)

    Cho, Yong Sang; Lim, Sang Gyu; Kil, Du Song

    2001-01-01

    Phased array ultrasonic testing system has become available for practical application in complicated geometry such as turbine blade root, tenon, disc in power industry. This research describes the characteristics of phased array UT signal for various type of blade roots in thermal Power Plant turbines. This application of Phased array ultrasonic testing system has been promoted mainly to save inspection time and labor cost of turbine inspection. The characteristic of phase array UT signal for power plant component is very simple to understand but to difficult for perform the inspection. Since our sophisticated inspection technique and systems are essential for the inspection of steam turbine blade roots that require high reliability, we intend to develop new technology and improve phased array technique based on the wide and much experience for the inspection of turbine components.

  17. Mobile X-ray inspection of light weight materials

    International Nuclear Information System (INIS)

    Ewert, Uwe; Redmer, Bernhard; Raedel, Christoph; Osterloh, Kurt; Schnars, Ulf; Henrich, Rudolf; Schimmelmann, Olaf; Bavendiek, Klaus; Jahn, Mirko

    2008-01-01

    Digital detectors such as phosphor imaging plates (IP) and digital detector arrays (DDA) allow radiographic inspection with higher efficiency and improved image quality in comparison to the classic film technique. Mobile X-ray flash tubes are used routinely for veterinarian and security applications. New high sensitive IPs and DDAs enable to apply them for inspection of light materials with low X-ray attenuation as in aluminium, plastics and composites. A versatile computed tomography (CT) system was developed for in situ inspection of large aircraft components under production conditions. A gate based planar computed tomograph was developed and tested for inspection of integrity of the stringer incorporation. Successful test trials were performed to prove the detection rate of cracks in embedded stringers. Honey comb structures of aircrafts have to be inspected for water inclusions during in-service inspections. Thermography is a powerful method for in house inspections when variations in temperature caused e.g. by sunshine can be excluded. A new X-ray diaphragm was developed for mobile back scatter measurements of large components. This method is insensitive to heat alterations in the field and thus can be applied also outdoors. (orig.)

  18. 29 CFR 1903.7 - Conduct of inspections.

    Science.gov (United States)

    2010-07-01

    ... of § 1903.3, inspections shall take place at such times and in such places of employment as the Area... photographs related to the purpose of the inspection, employ other reasonable investigative techniques, and... trade secrets.) As used herein, the term employ other reasonable investigative techniques includes, but...

  19. Emerging nondestructive inspection methods for aging aircraft

    Energy Technology Data Exchange (ETDEWEB)

    Beattie, A; Dahlke, L; Gieske, J [and others

    1994-01-01

    This report identifies and describes emerging nondestructive inspection (NDI) methods that can potentially be used to inspect commercial transport and commuter aircraft for structural damage. The nine categories of emerging NDI techniques are: acoustic emission, x-ray computed tomography, backscatter radiation, reverse geometry x-ray, advanced electromagnetics, including magnetooptic imaging and advanced eddy current techniques, coherent optics, advanced ultrasonics, advanced visual, and infrared thermography. The physical principles, generalized performance characteristics, and typical applications associated with each method are described. In addition, aircraft inspection applications are discussed along with the associated technical considerations. Finally, the status of each technique is presented, with a discussion on when it may be available for use in actual aircraft maintenance programs. It should be noted that this is a companion document to DOT/FAA/CT-91/5, Current Nondestructive Inspection Methods for Aging Aircraft.

  20. Ultrasonic inspection experience of steam generator tubes at Ontario Hydro and the TRUSTIE inspection system

    International Nuclear Information System (INIS)

    Choi, E.I.; Jansen, D.

    1998-01-01

    Ontario Hydro have been using ultrasonic test (UT) technique to inspect steam generator (SG) tubes since 1993. The UT technique has higher sensitivity in detecting flaws in SG tubes and can characterize the flaws with higher accuracy. Although an outside contractor was used initially, Ontario Hydro has been using a self-developed system since 1995. The TRUSTIE system (Tiny Rotating UltraSonic Tube Inspection Equipment) was developed by Ontario Hydro Technologies specifically for 12.7 mm outside diameter (OD) tubes, and later expanded to larger tubes. To date TRUSTIE has been used in all of Ontario Hydro's nuclear generating stations inspecting for flaws such as pitting, denting, and cracks at top-of-tubesheet to the U-bend region. (author)

  1. Development of a two-stage inspection process for the assessment of deteriorating infrastructure

    International Nuclear Information System (INIS)

    Sheils, Emma; O'Connor, Alan; Breysse, Denys; Schoefs, Franck; Yotte, Sylvie

    2010-01-01

    Inspection-based maintenance strategies can provide an efficient tool for the management of ageing infrastructure subjected to deterioration. Many of these methods rely on quantitative data from inspections, rather than qualitative and subjective data. The focus of this paper is on the development of an inspection-based decision scheme, incorporating analysis on the effect of the cost and quality of NDT tools to assess the condition of infrastructure elements/networks during their lifetime. For the first time the two aspects of an inspection are considered, i.e. detection and sizing. Since each stage of an inspection is carried out for a distinct purpose, different parameters are used to represent each procedure and both have been incorporated into a maintenance management model. The separation of these procedures allows the interaction between the two inspection techniques to be studied. The inspection for detection process acts as a screening exercise to determine which defects require further inspection for sizing. A decision tool is developed that allows the owner/manager of the infrastructural element/network to choose the most cost-efficient maintenance management plan based on his/her specific requirements.

  2. Remote Impedance-based Loose Bolt Inspection Using a Radio-Frequency Active Sensing Node

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seung Hee; Yun, Chung Bang [Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of); Inman, Daniel J. [Virginia Polytechnic Institute and State University, Virginia (United States)

    2007-06-15

    This paper introduces an active sensing node using radio-frequency (RF) telemetry. This device has brought the traditional impedance-based structural health monitoring (SHM) technique to a new paradigm. The RF active sensing node consists of a miniaturized impedance measuring device (AD5933), a microcontroller (ATmega128L), and a radio frequency (RF) transmitter (XBee). A macro-fiber composite (MFC) patch interrogates a host structure by using a self-sensing technique of the miniaturized impedance measuring device. All the process including structural interrogation, data acquisition, signal processing, and damage diagnostic is being performed at the sensor location by the microcontroller. The RF transmitter is used to communicate the current status of the host structure. The feasibility of the proposed SHM strategy is verified through an experimental study inspecting loose bolts in a bolt-jointed aluminum structure

  3. Remote Impedance-based Loose Bolt Inspection Using a Radio-Frequency Active Sensing Node

    International Nuclear Information System (INIS)

    Park, Seung Hee; Yun, Chung Bang; Inman, Daniel J.

    2007-01-01

    This paper introduces an active sensing node using radio-frequency (RF) telemetry. This device has brought the traditional impedance-based structural health monitoring (SHM) technique to a new paradigm. The RF active sensing node consists of a miniaturized impedance measuring device (AD5933), a microcontroller (ATmega128L), and a radio frequency (RF) transmitter (XBee). A macro-fiber composite (MFC) patch interrogates a host structure by using a self-sensing technique of the miniaturized impedance measuring device. All the process including structural interrogation, data acquisition, signal processing, and damage diagnostic is being performed at the sensor location by the microcontroller. The RF transmitter is used to communicate the current status of the host structure. The feasibility of the proposed SHM strategy is verified through an experimental study inspecting loose bolts in a bolt-jointed aluminum structure

  4. A risk-based restaurant inspection system in Los Angeles County.

    Science.gov (United States)

    Buchholz, U; Run, G; Kool, J L; Fielding, J; Mascola, L

    2002-02-01

    thermometers, and the presence of any food protection violation. In multivariate analysis, the size of restaurant, the incorrect storage of food, the reuse of food, and the presence of any food protection violation remained significant predictors for becoming a case restaurant. Our data suggest that routine restaurant inspections should concentrate on those establishments that have a large seating capacity or a poor inspection history. Evaluation of inspection data bases in individual local health departments and translation of those findings into inspection guidelines could lead to an increased efficiency and perhaps cost-effectiveness of local inspection programs.

  5. Luminescence Imaging Strategies for Drone-Based PV Array Inspection

    DEFF Research Database (Denmark)

    Benatto, Gisele Alves dos Reis; Riedel, Nicholas; Mantel, Claire

    2017-01-01

    ) acquisition under natural light conditions during several times of the day, under high sun irradiation, to unveil the sunlight noise characteristics towards an InGaAs detector. In order to bring more freedom to a drone-based inspection, we also show the preliminary results of a laser-line based...

  6. Detailed simulation of ultrasonic inspections

    International Nuclear Information System (INIS)

    Chaplin, K.R.; Douglas, S.R.; Dunford, D.

    1997-01-01

    Simulation of ultrasonic inspection of engineering components have been performed at the Chalk River Laboratories of AECL for over 10 years. The computer model, called EWE for Elastic Wave Equations, solves the Elastic Wave Equations using a novel finite difference scheme. It simulates the propagation of an ultrasonic wave from the transducer to a flaw, the scatter of waves from the flaw, and measurement of signals at a receive transducer. Regions of different materials, water and steel for example, can be simulated. In addition, regions with slightly different material properties from the parent material can be investigated. The two major types of output are displays of the ultrasonic waves inside the component and the corresponding A-scans. EPRI and other organizations have used ultrasonic models for: defining acceptable ultrasonic inspection procedures, designing and evaluating inspection techniques, and for quantifying inspection reliability. The EWE model has been applied to the inspection of large pipes in a nuclear plant, gas pipeline welds and steam generator tubes. Most recent work has dealt with the ultrasonic inspection of pressure tubes in CANDU reactors. Pressure tube inspections can reliably detect and size defects; however, there are improvements that can be made. For example, knowing the sharpness of a flaw-tip is crucial for fitness for service assessments. Computer modelling of the ultrasonic inspection of flaws with different root radius has suggested inspection techniques that provide flaw tip radius information. A preliminary investigation of these methods has been made in the laboratory. The basis for the model will be reviewed at the presentation. Then the results of computer simulations will be displayed on a PC using an interactive program that analyzes simulated A-scans. This software tool gives inspection staff direct access to the results of computer simulations. (author)

  7. Advanced Visualization Software System for Nuclear Power Plant Inspection

    International Nuclear Information System (INIS)

    Kukic, I.; Jambresic, D.; Reskovic, S.

    2006-01-01

    Visualization techniques have been widely used in industrial environment for enhancing process control. Traditional techniques of visualization are based on control panels with switches and lights, and 2D graphic representations of processes. However, modern visualization systems enable significant new opportunities in creating 3D virtual environments. These opportunities arise from the availability of high end graphics capabilities in low cost personal computers. In this paper we describe implementation of process visualization software, developed by INETEC. This software is used to visualize testing equipment, components being tested and the overall power plant inspection process. It improves security of the process due to its real-time visualization and collision detection capabilities, and therefore greatly enhances the inspection process. (author)

  8. Development of phased array UT technique for inspection of turbine wheel rim

    International Nuclear Information System (INIS)

    Komura, I.; Nagal, S.; Goto, M.; Ohmatsu, K.

    1986-01-01

    A phased array UT technique has been developed for the improvement of defect detection under the keyway region of shrunk-on type turbine wheel. The sector scanning mode operation with plexiglas wedge of phased array capability was applied to construct the B-scope image of turbine wheel rim region. Preceding to the inspection test of the model specimen having real shape of rim region, the distribution of sound field intensity along the steering angle of the scanning line was measured on the test block. Then, the minimum depth of detectable defect by the B-scope imaging was evaluated on the dovetail shape specimens which had different depth EDM notches at the each hook fillet. As the results, it has been realized that the B-scope imaging of the sector scanning mode phased array technique has a capability for distinguishing the defect echoes from the many reflection echoes caused by the complexed shape of wheel rim region

  9. Quality Inspection and Analysis of Three-Dimensional Geographic Information Model Based on Oblique Photogrammetry

    Science.gov (United States)

    Dong, S.; Yan, Q.; Xu, Y.; Bai, J.

    2018-04-01

    In order to promote the construction of digital geo-spatial framework in China and accelerate the construction of informatization mapping system, three-dimensional geographic information model emerged. The three-dimensional geographic information model based on oblique photogrammetry technology has higher accuracy, shorter period and lower cost than traditional methods, and can more directly reflect the elevation, position and appearance of the features. At this stage, the technology of producing three-dimensional geographic information models based on oblique photogrammetry technology is rapidly developing. The market demand and model results have been emerged in a large amount, and the related quality inspection needs are also getting larger and larger. Through the study of relevant literature, it is found that there are a lot of researches on the basic principles and technical characteristics of this technology, and relatively few studies on quality inspection and analysis. On the basis of summarizing the basic principle and technical characteristics of oblique photogrammetry technology, this paper introduces the inspection contents and inspection methods of three-dimensional geographic information model based on oblique photogrammetry technology. Combined with the actual inspection work, this paper summarizes the quality problems of three-dimensional geographic information model based on oblique photogrammetry technology, analyzes the causes of the problems and puts forward the quality control measures. It provides technical guidance for the quality inspection of three-dimensional geographic information model data products based on oblique photogrammetry technology in China and provides technical support for the vigorous development of three-dimensional geographic information model based on oblique photogrammetry technology.

  10. QUALITY INSPECTION AND ANALYSIS OF THREE-DIMENSIONAL GEOGRAPHIC INFORMATION MODEL BASED ON OBLIQUE PHOTOGRAMMETRY

    Directory of Open Access Journals (Sweden)

    S. Dong

    2018-04-01

    Full Text Available In order to promote the construction of digital geo-spatial framework in China and accelerate the construction of informatization mapping system, three-dimensional geographic information model emerged. The three-dimensional geographic information model based on oblique photogrammetry technology has higher accuracy, shorter period and lower cost than traditional methods, and can more directly reflect the elevation, position and appearance of the features. At this stage, the technology of producing three-dimensional geographic information models based on oblique photogrammetry technology is rapidly developing. The market demand and model results have been emerged in a large amount, and the related quality inspection needs are also getting larger and larger. Through the study of relevant literature, it is found that there are a lot of researches on the basic principles and technical characteristics of this technology, and relatively few studies on quality inspection and analysis. On the basis of summarizing the basic principle and technical characteristics of oblique photogrammetry technology, this paper introduces the inspection contents and inspection methods of three-dimensional geographic information model based on oblique photogrammetry technology. Combined with the actual inspection work, this paper summarizes the quality problems of three-dimensional geographic information model based on oblique photogrammetry technology, analyzes the causes of the problems and puts forward the quality control measures. It provides technical guidance for the quality inspection of three-dimensional geographic information model data products based on oblique photogrammetry technology in China and provides technical support for the vigorous development of three-dimensional geographic information model based on oblique photogrammetry technology.

  11. Risk-based priorities for inspection of nuclear pressure boundary components at selected LWRs

    International Nuclear Information System (INIS)

    Vo, T.V.; Simonen, F.A.; Gore, B.F.; Doctor, S.R.; Smith, B.W.

    1990-01-01

    Data from existing probabilistic risk assessments for eight representative nuclear power plants were used to identify and prioritize the most relevant systems to plant safety. The objective of this paper is to assess current in-service inspection requirements for pressure boundary systems and components, and to develop recommendations for improvements. This study demonstrates the feasibility of using risk-based methods to develop plant-specific inspection plans. Results for the eight representative plants also indicate generic trends that suggest improvements in current inspection plans now based on priorities set in accordance with code definitions of Class 1, 2, and 3 systems

  12. Risk-based priorities for inspection of nuclear pressure boundary components at selected LWRs

    International Nuclear Information System (INIS)

    Vo, T.V.; Simonen, F.A.; Gore, B.F.; Doctor, S.R.; Smith, B.W.

    1990-03-01

    Data from existing probabilistic risk assessments for eight representative nuclear power plants were used to identify and prioritize the most relevant systems to plant safety. The objective was to assess current in-service inspection requirements for pressure boundary systems and components, and to develop recommendations for improvements. This study demonstrates the feasibility of using risk-based methods to develop plant-specific inspection plans. Results for the eight representative plants also indicate generic trends that suggest improvements in current inspection plans now based on priorities set in accordance with code definitions of Class 1, 2, and 3 systems. 2 refs., 4 figs., 5 tabs

  13. Austenitic stainless steel weld inspection

    International Nuclear Information System (INIS)

    Mech, S.J.; Emmons, J.S.; Michaels, T.E.

    1978-01-01

    Analytical techniques applied to ultrasonic waveforms obtained from inspection of austenitic stainless steel welds are described. Experimental results obtained from a variety of geometric and defect reflectors are presented. Specifically, frequency analyses parameters, such as simple moments of the power spectrum, cross-correlation techniques, and adaptive learning network analysis, all represent improvements over conventional time domain analysis of ultrasonic waveforms. Results for each of these methods are presented, and the overall inspection difficulties of austenitic stainless steel welds are discussed

  14. Savannah River Plant waste tank inspection manual

    International Nuclear Information System (INIS)

    McNatt, F.G.

    1979-01-01

    This manual is to aid in making visual and photographic inspections and steel thickness measurements of Building 241-F and -H underground waste storage tanks. It describes the inspection program, the storage tanks, the equipment and techniques used and the results of their application, and the inspection recordkeeping methods

  15. Camber Angle Inspection for Vehicle Wheel Alignments.

    Science.gov (United States)

    Young, Jieh-Shian; Hsu, Hong-Yi; Chuang, Chih-Yuan

    2017-02-03

    This paper introduces an alternative approach to the camber angle measurement for vehicle wheel alignment. Instead of current commercial approaches that apply computation vision techniques, this study aims at realizing a micro-control-unit (MCU)-based camber inspection system with a 3-axis accelerometer. We analyze the precision of the inspection system for the axis misalignments of the accelerometer. The results show that the axes of the accelerometer can be aligned to the axes of the camber inspection system imperfectly. The calibrations that can amend these axis misalignments between the camber inspection system and the accelerometer are also originally proposed since misalignments will usually happen in fabrications of the inspection systems. During camber angle measurements, the x -axis or z -axis of the camber inspection system and the wheel need not be perfectly aligned in the proposed approach. We accomplished two typical authentic camber angle measurements. The results show that the proposed approach is applicable with a precision of ± 0.015 ∘ and therefore facilitates the camber measurement process without downgrading the precision by employing an appropriate 3-axis accelerometer. In addition, the measured results of camber angles can be transmitted via the medium such as RS232, Bluetooth, and Wi-Fi.

  16. Camber Angle Inspection for Vehicle Wheel Alignments

    Directory of Open Access Journals (Sweden)

    Jieh-Shian Young

    2017-02-01

    Full Text Available This paper introduces an alternative approach to the camber angle measurement for vehicle wheel alignment. Instead of current commercial approaches that apply computation vision techniques, this study aims at realizing a micro-control-unit (MCU-based camber inspection system with a 3-axis accelerometer. We analyze the precision of the inspection system for the axis misalignments of the accelerometer. The results show that the axes of the accelerometer can be aligned to the axes of the camber inspection system imperfectly. The calibrations that can amend these axis misalignments between the camber inspection system and the accelerometer are also originally proposed since misalignments will usually happen in fabrications of the inspection systems. During camber angle measurements, the x-axis or z-axis of the camber inspection system and the wheel need not be perfectly aligned in the proposed approach. We accomplished two typical authentic camber angle measurements. The results show that the proposed approach is applicable with a precision of ± 0.015 ∘ and therefore facilitates the camber measurement process without downgrading the precision by employing an appropriate 3-axis accelerometer. In addition, the measured results of camber angles can be transmitted via the medium such as RS232, Bluetooth, and Wi-Fi.

  17. Application of risk-based inspection methods for cryogenic equipment

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    Risk-based Inspection (RBI) is widely applied across the world as part of Pressure Equipment Integrity Management, especially in the oil and gas industry, to generally reduce costs compared with time-based approaches and assist in assigning resources to the most critical equipment. One of the challenges in RBI is to apply it for low temperature and cryogenic applications, as there are usually no degradation mechanisms by which to determine a suitable probability of failure in the overall risk assessment. However, the assumptions used for other degradation mechanisms can be adopted to determine, qualitatively and semi-quantitatively, a consequence of failure within the risk assessment. This can assist in providing a consistent basis for the assumptions used in ensuring adequate process safety barriers and determining suitable sizing of relief devices. This presentation will discuss risk-based inspection in the context of cryogenic safety, as well as present some of the considerations for the risk assessme...

  18. Knowledge-Based Inspection Capabilities

    National Research Council Canada - National Science Library

    Marrano, Lance R; Grussing, Michael N

    2006-01-01

    ... does not present a compelling safety hazard. Abandoning certain inspection programs to reduce costs is not a viable alternative, however, because that could degrade real property investments, soldier quality of life, and mission-readiness...

  19. Improved Titanium Billet Inspection Sensitivity through Optimized Phased Array Design, Part I: Design Technique, Modeling and Simulation

    International Nuclear Information System (INIS)

    Lupien, Vincent; Hassan, Waled; Dumas, Philippe

    2006-01-01

    Reductions in the beam diameter and pulse duration of focused ultrasound for titanium inspections are believed to result in a signal-to-noise ratio improvement for embedded defect detection. It has been inferred from this result that detection limits could be extended to smaller defects through a larger diameter, higher frequency transducer resulting in a reduced beamwidth and pulse duration. Using Continuum Probe Designer TM (Pat. Pending), a transducer array was developed for full coverage inspection of 8 inch titanium billets. The main challenge in realizing a large aperture phased array transducer for billet inspection is ensuring that the number of elements remains within the budget allotted by the driving electronics. The optimization technique implemented by Continuum Probe Designer TM yields an array with twice the aperture but the same number of elements as existing phased arrays for the same application. The unequal area element design was successfully manufactured and validated both numerically and experimentally. Part I of this two-part series presents the design, simulation and modeling steps, while Part II presents the experimental validation and comparative study to multizone

  20. Intercomparison of techniques for inspection and diagnostics of heavy water reactor pressure tubes: Flaw detection and characterization [Phase 1

    International Nuclear Information System (INIS)

    2006-05-01

    Nuclear power plants with heavy water reactors (HWRs) comprise nine percent of today's operating nuclear units, and more are under construction. Efficient and accurate inspection and diagnostic techniques for various reactor components and systems are an important factor in assuring reliable and safe plant operation. To foster international collaboration in the efficient and safe use of nuclear power, the IAEA conducted a Coordinated Research Programme (CRP) on Inter-comparison of Techniques for HWR Pressure Tube Inspection and Diagnostics. This CRP was carried out within the frame of the IAEA Department of Nuclear Energy's Technical Working Group on Advanced Technologies for HWRs (the TWG-HWR). The TWG-HWR is a group of experts nominated by their governments and designated by the IAEA to provide advice and to support implementation of the IAEA's project on advanced technologies for HWRs. The objective of the CRP was to inter-compare non-destructive inspection and diagnostic techniques, in use and being developed, for structural integrity assessment of HWR pressure tubes. During the first phase of this CRP, participants have investigated the capability of different techniques to detect and characterize flaws. During the second phase of this CRP, participants collaborated to detect and characterize hydride blisters and to determine the hydrogen concentration in Zirconium alloys. The intent was to identify the most effective pressure tube inspection and diagnostic methods, and to identify further development needs. The organizations that have participated in this CRP are: - The Comision Nacional de Energia Atomica (CNEA), Argentina; - Atomic Energy of Canada Ltd. (AECL); Chalk River Laboratories (CRL), Canada; - The Research Institute of Nuclear Power Operations (RINPO), China National Nuclear Corporation (CNNC), China; - Bhabha Atomic Research Centre (BARC), India; - The Korea Electric Power Research Institute (KEPRI), Republic of Korea; - The Korea Atomic Energy

  1. Inspection of fuel elements in the cooling pond of a research reactor

    International Nuclear Information System (INIS)

    Pavlov, S.V.; Mestnikov, A.V.

    1992-01-01

    Nondestructive testing methods for fuel bundles and fuel elements in the cooling ponds of atomic power plants, using special inspection stands, have come into widespread use during the past decade. This paper describes a methodological stand that was built for the laboratory development of methods and individual units of inspection stands for fuel bundles of RBMK and VVER-1000 reactors. A complex of equipment was developed for the study of irradiated fuel elements, thus creating a methodological base for developing techniques for nondestructive testing of irradiated fuel elements and equipment to obtain information about the state of the fuel elements in a reactor expeditiously. The time required to inspect a fuel element can be shortened using some techniques simultaneously. The length of a fuel element can be measured simultaneously with visual inspection, eddy-current flaw detection can be preformed at the same time as the tranverse size of the fuel element is being determined. 6 refs., 5 figs

  2. A pilot application of risk-based methods to establish in-service inspection priorities for nuclear components at Surry Unit 1 Nuclear Power Station

    International Nuclear Information System (INIS)

    Vo, T.; Gore, B.; Simonen, F.; Doctor, S.

    1994-08-01

    As part of the Nondestructive Evaluation Reliability Program sponsored by the US Nuclear Regulatory Commission, the Pacific Northwest Laboratory is developing a method that uses risk-based approaches to establish in-service inspection plans for nuclear power plant components. This method uses probabilistic risk assessment (PRA) results and Failure Modes and Effects Analysis (FEMA) techniques to identify and prioritize the most risk-important systems and components for inspection. The Surry Nuclear Power Station Unit 1 was selected for pilot applications of this method. The specific systems addressed in this report are the reactor pressure vessel, the reactor coolant, the low-pressure injection, and the auxiliary feedwater. The results provide a risk-based ranking of components within these systems and relate the target risk to target failure probability values for individual components. These results will be used to guide the development of improved inspection plans for nuclear power plants. To develop inspection plans, the acceptable level of risk from structural failure for important systems and components will be apportioned as a small fraction (i.e., 5%) of the total PRA-estimated risk for core damage. This process will determine target (acceptable) risk and target failure probability values for individual components. Inspection requirements will be set at levels to assure that acceptable failure probabilistics are maintained

  3. Guided-wave tomography imaging plate defects by laser-based ultrasonic techniques

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jun Pil; Lim, Ju Young; Cho, Youn Ho [School of Mechanical Engineering, Pusan National University, Pusan (Korea, Republic of)

    2014-12-15

    Contact-guided-wave tests are impractical for investigating specimens with limited accessibility and rough surfaces or complex geometric features. A non-contact setup with a laser-ultrasonic transmitter and receiver is quite attractive for guided-wave inspection. In the present work, we developed a non-contact guided-wave tomography technique using the laser-ultrasonic technique in a plate. A method for Lamb-wave generation and detection in an aluminum plate with a pulsed laser-ultrasonic transmitter and Michelson-interferometer receiver was developed. The defect shape and area in the images obtained using laser scanning, showed good agreement with the actual defect. The proposed approach can be used as a non-contact online inspection and monitoring technique.

  4. Periodic and in-service inspection programs

    International Nuclear Information System (INIS)

    Dinu, M.

    2000-01-01

    Periodic and in-service inspection programs for Cernavoda NPP consists of periodic inspections of CANDU NPP components CSAN N-285.4 and CSAN N-285.4, in-service inspections and repair and modifications general inspection. Periodic inspection program document (PIPD) determines the systems and components subject to inspection, the category of the inspection, techniques, areas and other details.The current status of the inspection programs is presented, including containment , erosion/corrosion, pressure vessel support and snubbers, main steam lines inspection programs. Qualification program in Cernavoda NPP involves equipment qualification in the on-site laboratory, yearly certification, special equipment qualification in the National Institute of Metrology. All procedures are approved by the ISCIR (regulatory body for pressure vessel and lifting equipment) and CNCAN (National Commission on Nuclear Activities Control). Qualification of the personnel is performed according to the ISCIR Technical prescription CR 11/82 for up to 3 year period. Final qualification and licensing is performed by CNCAN

  5. High-speed potato grading and quality inspection based on a color vision system

    Science.gov (United States)

    Noordam, Jacco C.; Otten, Gerwoud W.; Timmermans, Toine J. M.; van Zwol, Bauke H.

    2000-03-01

    A high-speed machine vision system for the quality inspection and grading of potatoes has been developed. The vision system grades potatoes on size, shape and external defects such as greening, mechanical damages, rhizoctonia, silver scab, common scab, cracks and growth cracks. A 3-CCD line-scan camera inspects the potatoes in flight as they pass under the camera. The use of mirrors to obtain a 360-degree view of the potato and the lack of product holders guarantee a full view of the potato. To achieve the required capacity of 12 tons/hour, 11 SHARC Digital Signal Processors perform the image processing and classification tasks. The total capacity of the system is about 50 potatoes/sec. The color segmentation procedure uses Linear Discriminant Analysis (LDA) in combination with a Mahalanobis distance classifier to classify the pixels. The procedure for the detection of misshapen potatoes uses a Fourier based shape classification technique. Features such as area, eccentricity and central moments are used to discriminate between similar colored defects. Experiments with red and yellow skin-colored potatoes have shown that the system is robust and consistent in its classification.

  6. Calculation of frequency of optimal inspection in non-notice inspection game

    International Nuclear Information System (INIS)

    Kumakura, Shinichi; Gotoh, Yoshiki; Kikuchi, Masahiro

    2011-01-01

    We consider a non-notice inspection game between an inspection party, who verifies absence of diversion of nuclear materials and misuse of nuclear facility, and a facility operator, who tries them in a nuclear facility. In the game, the payoff for each player, inspection party and facility operator, is composed of various elements (parameters) such as facility type, a type of nuclear material, number of inspection and others. Their payoffs consist of profits and costs (minus profit). Because of random nature by non-notice inspection, its deterrence effect and inspection number could have the potential to affect their payoffs. In this paper, their payoffs taking into consideration of the inspection environment above are represented as a function of inspection number. Then, the optimal number is calculated from a condition on their payoffs for number of inspection. Comparable statics analysis is performed in order to observe the change of inspection number which is equilibrium point by changing these parameters including deterrence effect, because the number derived depends on each parameter within the inspection environment. Based on the analysis results, necessary conditions to reduce the inspection number keeping inspection effect are pointed out. (author)

  7. Visual inspection. Better than your eyes

    International Nuclear Information System (INIS)

    Jakobs, N.; Baumgartl, R.

    2005-01-01

    Ongoing improvements in the development of camera technologies and manipulator techniques permit an enhanced performance of inspection tasks in nuclear services. In areas of reduced dose rate it's possible to use small size high resolution CCD cameras instead of tube cameras. Underwater inspections may be performed by submarine instead of rigid manipulator systems. This allows the enlargement of inspection areas and the performance at reduced time and personnel dose rate. (author)

  8. Reliability-based inspection of prestressed concrete containment structures

    International Nuclear Information System (INIS)

    Pandey, M.D.

    1996-03-01

    A study was undertaken to develop a reliability-based approach to the planning of inspection programs for prestressed concrete containment structures. The main function of the prestressing system is to ensure the leak integrity of the containment by maintaining a compressive state of stress under the tensile forces which arise in a hypothesized loss of coolant accident. Prestressing force losses (due to creep and shrinkage, stress relaxation or tendon corrosion) can lead to tensile stresses under accident pressure, resulting in loss of containment leak integrity due to concrete cracking and tensile yielding of the non-prestressed reinforcement. Therefore, the evaluation of prestressing inspection programs was based on their effectiveness in maintaining an acceptable reliability level with respect to a limit state representing yeilding of non-prestressed reinforcement. An annual target reliability of 10 -4 was used for this limit state. As specified in CSA-N287.7, the evaluation of prestressing systems for containment structures is based on the results of lift-off tests to determine the prestressing force. For unbonded systems the tests are carried out on a randomly selected sample from each tendon group in the structure. For bonded systems, the test is carried out on an unbonded test beam that matches the section geometry and material properties of the containment structure. It was found that flexural testing is useful in updating the probability of concrete cracking under accident pressure. For unbonded systems, the analysis indicated that the sample size recommended by the CSA Standard (4% of the tendon population) is adequate. The CSA recommendation for a five year inspection interval is conservative unless severe degradation of the prestressing system, characterized by a high prestressing loss rate (>3%) and a large coefficient of variation of the measured prestressing force (>15%), is observed

  9. Gentilly 2 steam generators Spring 2000 outage: tubesheet waterlance cleaning and inspection; upper bundle inspection

    International Nuclear Information System (INIS)

    Akeroyd, J.K.; Plante, S.

    2000-01-01

    A review of the secondary side maintenance activities recently completed during the Gentilly 2 Annual Spring 2000 Maintenance Outage. Activities included: 1) Tubesheet intertube waterlance cleaning and visual inspection, 2) First tube support plate, in-bundle visual inspection of the hot leg, and 3) Upper bundle tube support plate visual inspection. A description of the waterlancing and inspection equipment and setup in the RB at Gentilly 2 is provided. Several innovative techniques were successfully employed and yielded savings in critical path duration, labour and personnel radiation dose. These included accessing the SG tubesheet region through one handhole only and sludge removal utilizing the SG blowdown system. Plant personnel judged tubesheet sludge removal successful. Before and after results of the cleaning process along with samples of the visual inspection results are provided. Inspection of the first support plate, which was a repeat of an inspection done in 1997, was conducted along with an in-bundle inspection of the upper tube supports. Results are presented along with a discussion of the implications for future steam generator maintenance. (author)

  10. Risk-based maintenance-Techniques and applications

    International Nuclear Information System (INIS)

    Arunraj, N.S.; Maiti, J.

    2007-01-01

    Plant and equipment, however well designed, will not remain safe or reliable if it is not maintained. The general objective of the maintenance process is to make use of the knowledge of failures and accidents to achieve the possible safety with the lowest possible cost. The concept of risk-based maintenance was developed to inspect the high-risk components usually with greater frequency and thoroughness and to maintain in a greater manner, to achieve tolerable risk criteria. Risk-based maintenance methodology provides a tool for maintenance planning and decision making to reduce the probability of failure of equipment and the consequences of failure. In this paper, the risk analysis and risk-based maintenance methodologies were identified and classified into suitable classes. The factors affecting the quality of risk analysis were identified and analyzed. The applications, input data and output data were studied to understand their functioning and efficiency. The review showed that there is no unique way to perform risk analysis and risk-based maintenance. The use of suitable techniques and methodologies, careful investigation during the risk analysis phase, and its detailed and structured results are necessary to make proper risk-based maintenance decisions

  11. Improved plant availability by advanced condition based inspections

    International Nuclear Information System (INIS)

    Hulshof, Harry J.M.; Noteboom, Jan W.; Welberg, Paul G.M.; Bruijn, Leo E.

    2004-01-01

    An industrial plant has to operate safely, reliably and efficiently at the lowest possible cost. Plant availability plays an important role regarding economic life optimisation. Industrial installations that are under pressure and are operating at high temperatures have a limited life due to creep and fatigue. It is, therefore, of critical importance to know the location of any possible weak spots in the installation. To avoid safety risks, unplanned plant shutdown and, as a consequence, high costs for unavailability, cycling and repair, periodic inspections and strain measurements are recommended. A Speckle Image Correlation Analysis (SPICA) system enables on-stream measurement of deformation due to creep in critical areas like the heat-affected zone in welds. Plant management and operators use the strain measurements to take action when necessary and, consequently, prevent failures. In those plants that have been provided with SPICA-technology for some years plant availability has improved significantly as a result. Another important development for yielding improved availability concerns steam drums. During some 20 years, KEMA has been performing automated ultrasonic steam drum inspections from outside. The Dutch authorities accepted this methodology in this period as an alternative (rather than an addition) after several pilot projects. An advantage of this inspection methodology is the possibility to record of the inspection results and possibility of thus trending these data. The resulting reduction of through time appeared a major benefit for plant owners. Since the authorities adopted the RBI approach during the last 10 years, another advantage of the inspection methodology became apparent: complete scanning and recording of the inspection data of circumferential and longitudinal (butt and fillet) welds, inspection of nozzle welds and inner radius as well as corrosion mapping has been covering all higher risk areas in these drums. This enhanced inspection

  12. Improved plant availability by advanced condition based inspections

    Energy Technology Data Exchange (ETDEWEB)

    Hulshof, Harry J.M.; Noteboom, Jan W; Welberg, Paul G.M.; Bruijn, Leo E

    2004-06-01

    An industrial plant has to operate safely, reliably and efficiently at the lowest possible cost. Plant availability plays an important role regarding economic life optimisation. Industrial installations that are under pressure and are operating at high temperatures have a limited life due to creep and fatigue. It is, therefore, of critical importance to know the location of any possible weak spots in the installation. To avoid safety risks, unplanned plant shutdown and, as a consequence, high costs for unavailability, cycling and repair, periodic inspections and strain measurements are recommended. A Speckle Image Correlation Analysis (SPICA) system enables on-stream measurement of deformation due to creep in critical areas like the heat-affected zone in welds. Plant management and operators use the strain measurements to take action when necessary and, consequently, prevent failures. In those plants that have been provided with SPICA-technology for some years plant availability has improved significantly as a result. Another important development for yielding improved availability concerns steam drums. During some 20 years, KEMA has been performing automated ultrasonic steam drum inspections from outside. The Dutch authorities accepted this methodology in this period as an alternative (rather than an addition) after several pilot projects. An advantage of this inspection methodology is the possibility to record of the inspection results and possibility of thus trending these data. The resulting reduction of through time appeared a major benefit for plant owners. Since the authorities adopted the RBI approach during the last 10 years, another advantage of the inspection methodology became apparent: complete scanning and recording of the inspection data of circumferential and longitudinal (butt and fillet) welds, inspection of nozzle welds and inner radius as well as corrosion mapping has been covering all higher risk areas in these drums. This enhanced inspection

  13. Terahertz Radome Inspection

    Directory of Open Access Journals (Sweden)

    Fabian Friederich

    2018-01-01

    Full Text Available Radomes protecting sensitive radar, navigational, and communications equipment of, e.g., aircraft, are strongly exposed to the environment and have to withstand harsh weather conditions and potential impacts. Besides their significance to the structural integrity of the radomes, it is often crucial to optimize the composite structures for best possible radio performance. Hence, there exists a significant interest in non-destructive testing techniques, which can be used for defect inspection of radomes in field use as well as for quality inspection during the manufacturing process. Contactless millimeter-wave and terahertz imaging techniques provide millimeter resolution and have the potential to address both application scenarios. We report on our development of a three-dimensional (3D terahertz imaging system for radome inspection during industrial manufacturing processes. The system was designed for operation within a machining center for radome manufacturing. It simultaneously gathers terahertz depth information in adjacent frequency ranges, from 70 to 110 GHz and from 110 to 170 GHz by combining two frequency modulated continuous-wave terahertz sensing units into a single measurement device. Results from spiraliform image acquisition of a radome test sample demonstrate the successful integration of the measurement system.

  14. Reliability Assessment and Reliability-Based Inspection and Maintenance of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramirez, José Rangel

    actions are the most relevant and effective means of control of deterioration. The risk-based inspection planning methodology, based on Bayesian decision theory, represents an important tool to identify the suitable strategy to inspect and control the deterioration in structures such as offshore wind...... performance during the life cycle. The deterioration processes, such as fatigue and corrosion, are typically affecting offshore structural systems. This damage decreases the system performance and increases the risk of failure, thus not fulfilling the established safety criteria. Inspection and maintenance...... to their offshore location, no pollution risks and low human risks since they are unmanned. This allows the allocation of lower reliability level compared to e.g. oil & gas installations. With the incursion to water depths between 20 and 50 meters, the use of jacket and tripod structures represents a feasible...

  15. The evidence base for school inspection frameworks

    NARCIS (Netherlands)

    Scheerens, Jaap; Ehren, Melanie Catharina Margaretha; Ehren, Melanie C.M.

    2016-01-01

    This chapter describes how Inspectorates of Education operationalize different inspection goals (control, improvement, and liaison) in their inspection indicator frameworks. The chapter provides an overview and examples of the indicators used across a number of countries and how these are

  16. The evidence base for school inspection frameworks

    NARCIS (Netherlands)

    Scheerens, Jaap; Ehren, Melanie Catharina Margaretha

    2015-01-01

    This article describes how Inspectorates of Education operationalize different inspection goals (control, improvement, liaison) in their inspection indicator frameworks. The paper provides an overview and examples of the indicators used across a number of countries and how these are incorporated in

  17. Endoscopic inspection of steam turbines

    International Nuclear Information System (INIS)

    Maliniemi, H.; Muukka, E.

    1990-01-01

    For over ten years, Imatran Voima Oy (IVO) has developed, complementary inspection methods for steam turbine condition monitoring, which can be applied both during operation and shutdown. One important method used periodically during outages is endoscopic inspection. The inspection is based on the method where the internal parts of the turbine is inspected through access borings with endoscope and where the magnified figures of the internal parts is seen on video screen. To improve inspection assurance, an image-processing based pattern recognition method for cracks has been developed for the endoscopic inspection of turbine blades. It is based on the deduction conditions derived from the crack shape. The computer gives an alarm of a crack detection and prints a simulated image of the crack, which is then checked manually

  18. Reliability-Based Design and Planning of Inspection and Monitoring of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Marquez-Dominguez, Sergio

    Maintaining and developing a sustainable wind industry is the main motivation of this PhD thesis entitled “Reliability-based design and planning of inspection and monitoring of offshore wind turbines”. In this thesis, statistical methods and probability theory are important mathematical tools used...... and offshore wind turbine foundations with the aim of improving the design, decreasing structural costs and increasing benefits. Recently, wind energy technology has started to adopt risk and reliability based inspection planning (RBI) as a methodology based on Bayesian decision theories together...

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. The selection of ultrasonic transducers for inspection of pipeline girth welds. Vol. 3. Evaluation of the pitch-catch technique for examination of the body region

    Energy Technology Data Exchange (ETDEWEB)

    Glover, A G; Fingerhut, M P; Dorling, D V

    1988-10-01

    Research was conducted to develop an ultrasonic inspection design for the nondestructive evaluation of pipeline girth welds made by the mechanized gas metal arc (GMA) welding process for onshore and offshore pipeline construction. This report describes the work carried out to evaluate the performance to the pitch-catch technique with respect to its ability to examine the body region of mechanized GMA welds in 19.5 mm thick material. Evaluation of the pitch-catch technique was carried out on simulated and real weld defects. Results show that an inspection design method and criteria can be specified for the detection of lack of sidewall fusion defects in the body region of mechanized GMA welds. The criteria specified a pitch-catch technique using a 2.25 MHz 45{degrees} transmitter and a 2.25 MHz 55{degrees} receiver probe. A single pair of these transducers can inspect wall thickness from 9.7 mm to 23.0 mm. The pitch-catch technique evaluated on 19.5 mm wall thickness materials demonstrated that the detection goal of projected depth with a signal-to-noise ratio of greater than 12dB could be met, and that no problems occurred with false indications or missed defects. High sensitivities to small defects in the body region were obtained using a single pair of pitch-catch probes that inspected the body region as a single plane. 4 refs., 14 figs., 6 tabs.

  1. Mechanized ultrasonic inspection of austenitic pipe systems

    International Nuclear Information System (INIS)

    Dressler, K.; Luecking, J.; Medenbach, S.

    1999-01-01

    The contribution explains the system of standard testing methods elaborated by ABB ZAQ GmbH for inspection of austenitic plant components. The inspection tasks explained in greater detail are basic materials testing (straight pipes, bends, and pipe specials), and inspection of welds and dissimilar welds. The techniques discussed in detail are those for detection and sizing of defects. (orig./CB) [de

  2. Application of risk based inspection as a part of life management of nuclear power plants

    International Nuclear Information System (INIS)

    Vinod, Gopika; Babar, A.K.; Saraf, R.K.; Kushwaha, H.S.; Venkat Raj, V.

    2002-01-01

    Full text: Risk Informed approach is a systematic effort to improve plant safety in a more efficient manner by distributing the available safety resources depending on the importance to plant safety. This approach has found immense application in various aspects associated with Nuclear Power Plants, including design, manufacturing, operation and regulation. Typical applications of Risk Informed approach are in Technical Specification, In-Service Inspection (ISI) requirements, Motor Operated Valve testing, Configuration Control etc. In order to cater to such variety of Probabilistic Safety Assessment (PSA) based Risk Informed requirements, a software package, 'Risk Monitor' has been developed by the authors. An important application of Risk informed approach that has been undertaken for Indian Pressurised Heavy Water Reactor (IPHWR) is towards Risk Informed In-Service Inspection (RI-ISI). Studies are being conducted to evolve an inspection plan that is optimised to provide effective inspections at the right location with a proper inspection frequency. Using risk informed approach, the identification of system / component for inspection resource allocation is based on the results from Level 1 PSA of a NPP. Even though this methodology can be employed on any of the nuclear components/systems such as mechanical systems, instrumentation, etc., as a starting point, piping has been considered for employing Risk Informed Inspection. Plant risk assessment is modelled through the analysis of Core Damage Frequency (CDF) using PSA models. Risk Informed Inspection program of piping involves the estimation of failure probability or frequency of a piping segment and estimation of consequences of piping failures. ISI program changes could affect the failure probability values of piping and can introduce a change in CDF. These effects can be brought out through the implementation of Risk Informed inspection strategy. Various importance measures like Fussel-Vesely, Birnbaum

  3. Apparatus for inspecting a group of containers and method of using same

    Science.gov (United States)

    Lee, Jr., James H.; Salton, Jonathan R [Albuquerque, NM; Spletzer, Barry L [Albuquerque, NM

    2012-02-28

    An apparatus and method for inspecting a plurality of containers are provided. Each container has an outer surface for housing at least one material therein. The techniques provided involve at least one inspection vehicle and at least one detector. Each inspection vehicle has a plurality of wheels for movably positioning about the plurality of containers. The wheels may have at least one magnet for selectively adhering to the outer surface of at least one of the containers whereby the inspection vehicle traverses the container(s). The detector is positionable proximate at least one of the containers. The detector may be deployable from the inspection vehicle to a position adjacent the container(s). The detector has at least one sensor for measuring at least one characteristic of the plurality of containers. At least one base station may be provided for communicating with the inspection vehicle(s) and/or detector(s).

  4. Risk Based Inspection Planning of Ageing Structures

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Ersdal, Gerhard

    2008-01-01

    . Different approaches for updating inspection plans for older installations are considered in order to achieve decreased inspection intervals as the structure are ageing. The most promising method consists in increasing the rate of defects / crack initiation at the end of the expected lifetime. Different...

  5. Experimental modeling of eddy current inspection capabilities

    International Nuclear Information System (INIS)

    Junker, W.R.; Clark, W.G.

    1984-01-01

    This chapter examines the experimental modeling of eddy current inspection capabilities based upon the use of liquid mercury samples designed to represent metal components containing discontinuities. A brief summary of past work with mercury modeling and a detailed discussion of recent experiments designed to further evaluate the technique are presented. The main disadvantages of the mercury modeling concept are that mercury is toxic and must be handled carefully, liquid mercury can only be used to represent nonferromagnetic materials, and wetting and meniscus problems can distort the effective size of artificial discontinuities. Artificial discontinuities placed in a liquid mercury sample can be used to represent discontinuities in solid metallic structures. Discontinuity size and type cannot be characterized from phase angle and signal amplitude data developed with a surface scanning, pancake-type eddy current probe. It is concluded that the mercury model approach can greatly enhance the overall understanding and applicability of eddy current inspection techniques

  6. Reducing uncertainty in wind turbine blade health inspection with image processing techniques

    Science.gov (United States)

    Zhang, Huiyi

    Structural health inspection has been widely applied in the operation of wind farms to find early cracks in wind turbine blades (WTBs). Increased numbers of turbines and expanded rotor diameters are driving up the workloads and safety risks for site employees. Therefore, it is important to automate the inspection process as well as minimize the uncertainties involved in routine blade health inspection. In addition, crack documentation and trending is vital to assess rotor blade and turbine reliability in the 20 year designed life span. A new crack recognition and classification algorithm is described that can support automated structural health inspection of the surface of large composite WTBs. The first part of the study investigated the feasibility of digital image processing in WTB health inspection and defined the capability of numerically detecting cracks as small as hairline thickness. The second part of the study identified and analyzed the uncertainty of the digital image processing method. A self-learning algorithm was proposed to recognize and classify cracks without comparing a blade image to a library of crack images. The last part of the research quantified the uncertainty in the field conditions and the image processing methods.

  7. 76 FR 65165 - Importation of Plants for Planting; Risk-Based Sampling and Inspection Approach and Propagative...

    Science.gov (United States)

    2011-10-20

    ..., this 14th day of October 2011. Kevin Shea, Acting Administrator, Animal and Plant Health Inspection... DEPARTMENT OF AGRICULTURE Animal and Plant Health Inspection Service [Docket No. APHIS-2011-0092] Importation of Plants for Planting; Risk-Based Sampling and Inspection Approach and Propagative Monitoring and...

  8. Advance High Temperature Inspection Capabilities for Small Modular Reactors: Part 1 - Ultrasonics

    Energy Technology Data Exchange (ETDEWEB)

    Bond, Leonard J. [Iowa State Univ., Ames, IA (United States); Bowler, John R. [Iowa State Univ., Ames, IA (United States)

    2017-08-30

    The project objective was to investigate the development non-destructive evaluation techniques for advanced small modular reactors (aSMR), where the research sought to provide key enabling inspection technologies needed to support the design and maintenance of reactor component performance. The project tasks for the development of inspection techniques to be applied to small modular reactor are being addressed through two related activities. The first is focused on high temperature ultrasonic transducers development (this report Part 1) and the second is focused on an advanced eddy current inspection capability (Part 2). For both inspection techniques the primary aim is to develop in-service inspection techniques that can be carried out under standby condition in a fast reactor at a temperature of approximately 250°C in the presence of liquid sodium. The piezoelectric material and the bonding between layers have been recognized as key factors fundamental for development of robust ultrasonic transducers. Dielectric constant characterization of bismuth scantanate-lead titanate ((1-x)BiScO3-xPbTiO3) (BS-PT) has shown a high Curie temperature in excess of 450°C , suitable for hot stand-by inspection in liquid metal reactors. High temperature pulse-echo contact measurements have been performed with BS-PT bonded to 12.5 mm thick 1018-low carbon steel plate from 20C up to 260 C. High temperature air-backed immersion transducers have been developed with BS-PT, high temperature epoxy and quarter wavlength nickel plate, needed for wetting ability in liquid sodium. Ultrasonic immersion measurements have been performed in water up to 92C and in silicone oil up to 140C. Physics based models have been validated with room temperature experimental data with benchmark artifical defects.

  9. Ground penetrating radar applied to rebar corrosion inspection

    Science.gov (United States)

    Eisenmann, David; Margetan, Frank; Chiou, Chien-Ping T.; Roberts, Ron; Wendt, Scott

    2013-01-01

    In this paper we investigate the use of ground penetrating radar (GPR) to detect corrosion-induced thinning of rebar in concrete bridge structures. We consider a simple pulse/echo amplitude-based inspection, positing that the backscattered response from a thinned rebar will be smaller than the similar response from a fully-intact rebar. Using a commercial 1600-MHz GPR system we demonstrate that, for laboratory specimens, backscattered amplitude measurements can detect a thinning loss of 50% in rebar diameter over a short length. GPR inspections on a highway bridge then identify several rebar with unexpectedly low amplitudes, possibly signaling thinning. To field a practical amplitude-based system for detecting thinned rebar, one must be able to quantify and assess the many factors that can potentially contribute to GPR signal amplitude variations. These include variability arising from the rebar itself (e.g., thinning) and from other factors (concrete properties, antenna orientation and liftoff, etc.). We report on early efforts to model the GPR instrument and the inspection process so as to assess such variability and to optimize inspections. This includes efforts to map the antenna radiation pattern, to predict how backscattered responses will vary with rebar size and location, and to assess detectability improvements via synthetic aperture focusing techniques (SAFT).

  10. Guided-wave tomographic imaging of plate defects by laser-based ultrasonic techniques

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junpil; Lim, Ju Young; Cho, Youn Ho [School of Mechanical Engineering, Pusan National University, Busan (Korea, Republic of)

    2016-12-15

    Contact-guided-wave tests are impractical for investigating specimens with limited accessibility and rough surfaces or complex geometric features. A non-contact setup with a laser-ultrasonic transmitter and receiver is quite attractive for guided-wave inspection. In the present work, we developed a non-contact guided-wave tomography technique using the laser-ultrasonic technique in a plate. A method for Lamb-wave generation and detection in an aluminum plate with a pulsed laser-ultrasonic transmitter and Michelson-interferometer receiver was developed. The defect shape and area in the images obtained using laser scanning, showed good agreement with the actual defect. The proposed approach can be used as a non-contact online inspection and monitoring technique.

  11. Recent development for inservice inspection of reactor pressure vessels

    International Nuclear Information System (INIS)

    Fischer, K.; Engl, G.; Rathgeb, W.; Heumueller, R.

    1991-01-01

    The German Nuclear Code (KTA-rules) requires a full scope inservice inspection (ISI) of reactor pressure vessels within a period of four years. This has a remarkable influence on plant operation and economy. Therefore, the development of advanced inspection equipment and techniques is directed not only to the enhancement of defect detectability and flaw sizing capabilities but also to reducing inspection times. A new manipulator system for PWR vessels together with fast data processing reduces the time for ISI of modern RPVs to 7 days. A new multichannel UT-system based on ALOK principle offers increased ultrasonic information with comfortable and rapid evaluation and presentation of results together with enhanced sizing capabilities. For specific inspection problems characterized by geometrical complexity the application of phased array probes in connection with UT-tomography provides improved ultrasonic information together with a streamlined manipulator principle and simplification of set up and tear down at the component which results in considerable reduction of radiation exposure. (orig.)

  12. A multi-component and multi-failure mode inspection model based on the delay time concept

    International Nuclear Information System (INIS)

    Wang Wenbin; Banjevic, Dragan; Pecht, Michael

    2010-01-01

    The delay time concept and the techniques developed for modelling and optimising plant inspection practices have been reported in many papers and case studies. For a system comprised of many components and subject to many different failure modes, one of the most convenient ways to model the inspection and failure processes is to use a stochastic point process for defect arrivals and a common delay time distribution for the duration between defect the arrival and failure of all defects. This is an approximation, but has been proven to be valid when the number of components is large. However, for a system with just a few key components and subject to few major failure modes, the approximation may be poor. In this paper, a model is developed to address this situation, where each component and failure mode is modelled individually and then pooled together to form the system inspection model. Since inspections are usually scheduled for the whole system rather than individual components, we then formulate the inspection model when the time to the next inspection from the point of a component failure renewal is random. This imposes some complication to the model, and an asymptotic solution was found. Simulation algorithms have also been proposed as a comparison to the analytical results. A numerical example is presented to demonstrate the model.

  13. NDT method in determining the rate of corrosion applicable to risk based inspection

    International Nuclear Information System (INIS)

    Mohamed Hairul Hasmoni; Mohamad Pauzi Ismail; Ab Razak Hamzah

    2004-01-01

    Corrosion is a major problem in oil and gas industries, refineries and chemical process plants as the equipment is often exposed to corrosive environments or elevated temperature. Important equipment need to operate safely and reliably to avoid injuries to personnel and the public, and to prevent loss time and cost incurred due to loss of production and shutdown. The paper assess the approach in evaluating the technique of non-destructive testing (NDT) using Ultrasonic Testing (UT) in determining the rate of corrosion and remaining life of equipment applicable to Risk Based Inspection (RBI). Methods in determining the corrosion rate are presented using analytical method. Examples and data from MINT chiller water pipeline are presented to illustrate the application of these methods. (Author)

  14. Methodology to identify risk-significant components for inservice inspection and testing

    International Nuclear Information System (INIS)

    Anderson, M.T.; Hartley, R.S.; Jones, J.L. Jr.; Kido, C.; Phillips, J.H.

    1992-08-01

    Periodic inspection and testing of vital system components should be performed to ensure the safe and reliable operation of Department of Energy (DOE) nuclear processing facilities. Probabilistic techniques may be used to help identify and rank components by their relative risk. A risk-based ranking would allow varied DOE sites to implement inspection and testing programs in an effective and cost-efficient manner. This report describes a methodology that can be used to rank components, while addressing multiple risk issues

  15. Developing an App by Exploiting Web-Based Mobile Technology to Inspect Controlled Substances in Patient Care Units

    Science.gov (United States)

    2017-01-01

    We selected iOS in this study as the App operation system, Objective-C as the programming language, and Oracle as the database to develop an App to inspect controlled substances in patient care units. Using a web-enabled smartphone, pharmacist inspection can be performed on site and the inspection result can be directly recorded into HIS through the Internet, so human error of data translation can be minimized and the work efficiency and data processing can be improved. This system not only is fast and convenient compared to the conventional paperwork, but also provides data security and accuracy. In addition, there are several features to increase inspecting quality: (1) accuracy of drug appearance, (2) foolproof mechanism to avoid input errors or miss, (3) automatic data conversion without human judgments, (4) online alarm of expiry date, and (5) instant inspection result to show not meted items. This study has successfully turned paper-based medication inspection into inspection using a web-based mobile device. PMID:28286761

  16. Seed-a distributed data base architecture for global management of steam-generator inspection data

    International Nuclear Information System (INIS)

    Soon Ju Kang; Yu Rak Choi; Hee Gon Woo; Seong Su Choi

    1996-01-01

    This paper deals with a data management system - called SEED (Steam-generator Eddy-current Expert Database) for global handling of SG (steam generator) tube inspection data in nuclear power plants. The SEED integrates all stages in SG tube inspection process and supports all data such as raw eddy current data, inspection history data, SG tube information, etc. SEED implemented under client/server computing architecture for supporting LAN/WAN based graphical user interface facilities using WWW programming tools. (author)

  17. A brick-architecture-based mobile under-vehicle inspection system

    Science.gov (United States)

    Qian, Cheng; Page, David; Koschan, Andreas; Abidi, Mongi

    2005-05-01

    In this paper, a mobile scanning system for real-time under-vehicle inspection is presented, which is founded on a "Brick" architecture. In this "Brick" architecture, the inspection system is basically decomposed into bricks of three kinds: sensing, mobility, and computing. These bricks are physically and logically independent and communicate with each other by wireless communication. Each brick is mainly composed by five modules: data acquisition, data processing, data transmission, power, and self-management. These five modules can be further decomposed into submodules where the function and the interface are well-defined. Based on this architecture, the system is built by four bricks: two sensing bricks consisting of a range scanner and a line CCD, one mobility brick, and one computing brick. The sensing bricks capture geometric data and texture data of the under-vehicle scene, while the mobility brick provides positioning data along the motion path. Data of these three modalities are transmitted to the computing brick where they are fused and reconstruct a 3D under-vehicle model for visualization and danger inspection. This system has been successfully used in several military applications and proved to be an effective safer method for national security.

  18. A study on non-contact ultrasonic technique for on-line inspection of CFRP

    International Nuclear Information System (INIS)

    Lee, Seung-Joon; Park, Won-Su; Lee, Joon-Hyun; Byun, Joon-Hyung

    2007-01-01

    The advantages of carbon fiber reinforced plastic materials (CFRP) are: they are light structure materials, they have corrosion resistance, and higher specific strength and elasticity. The recently developed 3-dimentional fiber placement system is able to produce a more complex and various shaped structures due to less limitations of a product shape according to the problem in conventional fabrication process. This fiber placement system stacks the narrow prepreg tape on the mold according to the designed sequence and thickness. Non-destructive evaluation was rquired for these composites to evaluate changes in strength caused by defects such as delamination and porosity. Additionally, the expectent quality should be satisfied for the high cost fabrication process using the fiber placement system. Therefore, an on line non-destructive evaluation system is required and real-time complement is needed when the defects are detected [1]. Defect imaging by the ultrasonic C-scan method is a useful technique for defect detection in CFRP. However, the conventional ultrasonic C-scan technique cannot be applied during the fabrication process because the test piece should be immersed into the water. Therefore, non-contact ultrasonic techniques should be applied during the fabricating process. For the development of non-contact ultrasonic techniques available in non-destructive evaluation of CFRP, a recent laser-generated ultrasonic technique and an air-coupled transducer that transmit and receive ultrasounds in the air are studied [2-3]. In this study, generating and receiving techniques of laser-generated ultrasound and the characteristics of received signals upon the internal defects of CFRO were studied for non-contact inspection

  19. General inspection strategy for fault diagnosis-minimizing the inspection costs

    International Nuclear Information System (INIS)

    Reinertsen, Rune; Wang Xiaozhong

    1995-01-01

    In this paper, a general inspection strategy for system fault diagnosis is presented. The procedure presented in this paper, is an improvement of methods described in papers by , and . This general strategy provides the optimal inspection procedure when the inspections require unequal effort and the minimum cut set probabilities are unequal. This feature makes the procedure described in this paper more useful for practical applications than the most recent procedure presented in the paper by Najmus-Saqib and Ishaque, which requires the minimum cut set probabilities to be equal. The inspection strategy described in this paper is based on first inspecting the basic event that will provide the maximum information gain per unit cost invested. The information gain is measured by the decrease of Shannon entropy. A detailed tutorial example is presented

  20. Ultrasonic inspection of AA6013 laser welded joints

    Directory of Open Access Journals (Sweden)

    Adriano Passini

    2011-09-01

    Full Text Available Interest in laser beam welding for aerospace applications is continuously growing, mainly for aluminum alloys. The joints quality is usually assessed by non-destructive inspection (NDI. In this work, bead on plate laser welds on 1.6 mm thick AA6013 alloy sheets, using a 2 kW Yb-fiber laser were obtained and inspected by pulse/echo ultrasonic phased-array technique. Good and poor quality welds were inspected in order to verify the limits of inspection, comparing also to X-ray radiography and metallographic inspections. The results showed that ultrasonic phased array technique was able to identify the presence of grouped porosity, through the attenuation of the amplitude of the echo signal. This attenuation is attributed to the scattering of the waves caused by micro pores, with individual size below the resolution limit of the equipment, but when grouped, can cause a perceptive effect on the reflection spectra.

  1. Drum inspection robots: Application development

    International Nuclear Information System (INIS)

    Hazen, F.B.; Warner, R.D.

    1996-01-01

    Throughout the Department of Energy (DOE), drums containing mixed and low level stored waste are inspected, as mandated by the Resource Conservation and Recovery Act (RCRA) and other regulations. The inspections are intended to prevent leaks by finding corrosion long before the drums are breached. The DOE Office of Science and Technology (OST) has sponsored efforts towards the development of robotic drum inspectors. This emerging application for mobile and remote sensing has broad applicability for DOE and commercial waste storage areas. Three full scale robot prototypes have been under development, and another project has prototyped a novel technique to analyze robotically collected drum images. In general, the robots consist of a mobile, self-navigating base vehicle, outfitted with sensor packages so that rust and other corrosion cues can be automatically identified. They promise the potential to lower radiation dose and operator effort required, while improving diligence, consistency, and documentation

  2. Ultrasonic inspection of inpile tubes

    International Nuclear Information System (INIS)

    Boyd, D.M.; Bossi, H.

    1985-01-01

    The in-service inspection (ISI) of inpile tubes can be performed accurately and safely with a semiautomatic ultrasonic inspection system. The ultrasonic technique uses a set of multiple transducers to detect and size cracks, voids, and laminations radially and circumferentially. Welds are also inspected for defects. The system is designed to inspect stainless steel and Inconel tubes ranging from 53.8 mm (2.12 in.) to 101.6 mm (4 in.) inner diameter with wall thickness on the order of 5 mm. The inspection head contains seven transducers mounted in a surface-following device. Six angle-beam transducers generate shear waves in the tubes. Two of the six are oriented to detect circumferential cracks, and two detect axial cracks. Although each of these four transducers is used in the pulse-echo mode, they are oriented in aligned sets so pitch-catch operation is possible if desired. The remaining angle-beam transducers are angulated to detect flaws that are off axial or circumferential orientation. The seventh transducer is used for longitudinal inspection and detects and sizes laminar-type defects

  3. Information-Driven Inspections

    International Nuclear Information System (INIS)

    Laughter, Mark D.; Whitaker, J. Michael; Lockwood, Dunbar

    2010-01-01

    New uranium enrichment capacity is being built worldwide in response to perceived shortfalls in future supply. To meet increasing safeguards responsibilities with limited resources, the nonproliferation community is exploring next-generation concepts to increase the effectiveness and efficiency of safeguards, such as advanced technologies to enable unattended monitoring of nuclear material. These include attribute measurement technologies, data authentication tools, and transmission and security methods. However, there are several conceptual issues with how such data would be used to improve the ability of a safeguards inspectorate such as the International Atomic Energy Agency (IAEA) to reach better safeguards conclusions regarding the activities of a State. The IAEA is pursuing the implementation of information-driven safeguards, whereby all available sources of information are used to make the application of safeguards more effective and efficient. Data from continuous, unattended monitoring systems can be used to optimize on-site inspection scheduling and activities at declared facilities, resulting in fewer, better inspections. Such information-driven inspections are the logical evolution of inspection planning - making use of all available information to enhance scheduled and randomized inspections. Data collection and analysis approaches for unattended monitoring systems can be designed to protect sensitive information while enabling information-driven inspections. A number of such inspections within a predetermined range could reduce inspection frequency while providing an equal or greater level of deterrence against illicit activity, all while meeting operator and technology holder requirements and reducing inspector and operator burden. Three options for using unattended monitoring data to determine an information-driven inspection schedule are to (1) send all unattended monitoring data off-site, which will require advances in data analysis techniques to

  4. Improvement of the reliability on nondestructive inspection

    International Nuclear Information System (INIS)

    Song, Sung Jin; Kim, Young H.; Lee, Hyang Beom; Shin, Young Kil; Jung, Hyun Jo; Park, Ik Keun; Park, Eun Soo

    2002-03-01

    Retaining reliabilities of nondestructive testing is essential for the life-time maintenance of Nuclear Power Plant. The nondestructive testing methods which are frequently used in the Nuclear Power Plant are eddy current testing for the inspection of steam generator tubes and ultrasonic testing for the inspection of weldments. In order to improve reliabilities of ultrasonic testing and eddy current testing, the subjects carried out in this study are as follows : development of BEM analysis technique for ECT of SG tube, development of neural network technique for the intelligent analysis of ECT flaw signals of SG tubes, development of RFECT technology for the inspection of SG tube, FEM analysis of ultrasonic scattering field, evaluation of statistical reliability of PD-RR test of ultrasonic testing and development of multi-Gaussian beam modeling technique to predict accurate signal of signal beam ultrasonic testing with the efficiency in calculation time

  5. Improvement of the reliability on nondestructive inspection

    Energy Technology Data Exchange (ETDEWEB)

    Song, Sung Jin; Kim, Young H. [Sungkyunkwan Univ., Suwon (Korea, Republic of); Lee, Hyang Beom [Soongsil Univ., Seoul (Korea, Republic of); Shin, Young Kil [Kunsan National Univ., Gunsan (Korea, Republic of); Jung, Hyun Jo [Wonkwang Univ., Iksan (Korea, Republic of); Park, Ik Keun; Park, Eun Soo [Seoul Nationl Univ., Seoul (Korea, Republic of)

    2002-03-15

    Retaining reliabilities of nondestructive testing is essential for the life-time maintenance of Nuclear Power Plant. The nondestructive testing methods which are frequently used in the Nuclear Power Plant are eddy current testing for the inspection of steam generator tubes and ultrasonic testing for the inspection of weldments. In order to improve reliabilities of ultrasonic testing and eddy current testing, the subjects carried out in this study are as follows : development of BEM analysis technique for ECT of SG tube, development of neural network technique for the intelligent analysis of ECT flaw signals of SG tubes, development of RFECT technology for the inspection of SG tube, FEM analysis of ultrasonic scattering field, evaluation of statistical reliability of PD-RR test of ultrasonic testing and development of multi-Gaussian beam modeling technique to predict accurate signal of signal beam ultrasonic testing with the efficiency in calculation time.

  6. Optical technologies for measurement and inspection

    International Nuclear Information System (INIS)

    Mader, D.L.

    1997-01-01

    Ontario Hydro has benefited from specialized optical measurement techniques such as FRILS (fret replica inspection laser system), which permits in-house inspections of pressure tube replicas and has been estimated to save $2M per year. This paper presents a brief overview of (1) FRILS, (2) OPIT (in-reactor Optical Profilometry Inspection Tool), (3) miniature optical probe for steam generator tubes, (4) laser vibrometer used for end-fitting vibration, and (5) computer vision to recognize the ends of fuel bundles and automatically measure their lengths. (author)

  7. Health products inspection

    International Nuclear Information System (INIS)

    Stoltz, M.

    2009-01-01

    To protect public health, the Health Products Inspection is a public service mission where the application of regulations concerning activities on human health products and cosmetic products is verified. This mission permits a global approach to assess the health products risk-based benefit and, in monitoring by laboratory testing and by on site inspections, to verify their compliance with appropriate regulations. The seventy five inspectors perform about eight hundred inspections per year, in France and abroad. These inspections are related to data provided in the health products assessment and also to manufacturing and delivery practices. The French inspection body is also involved in the training of foreign inspectors and in the harmonization of national, European and international practices either for operators than for the competent authorities. (author)

  8. Inspection systems for valves monitoring at EDF

    International Nuclear Information System (INIS)

    Germain, J.L.; Granal, L.; Provost, D.; Touillez, M.

    1997-01-01

    Electricite de France (EDF) makes increasing use of valve inspection systems to guarantee safety in its pressurized water reactor plants, improve plant availability and facilitate condition-based maintenance. A portable system known as SAMIR has been developed for inspection of motor-operated valves, and is now used on EDF's 900-MW sites. For its 1300-MW units, EDF has chosen a more complete system which enables measuring thrust on the valve stem during a maneuver, using a sensor mounted on the yoke. To detect internal vale leaks, an on-site assessment has demonstrated the economic benefits of acoustic emission techniques. EDF has equipped its sites with analog leak detection systems which may soon be replaced by a digital model now being developed. (authors)

  9. Accumulation and preparation of nondestructive inspection data for nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2013-08-15

    In recent years, flaws due to stress corrosion cracking (SCC) in stainless steel piping and nickel based alloy welds were detected at nuclear power plants in Japan. Weld Overlay (WOL) has been developed as a repairing method for piping items without removing flaws. Since the inspection techniques for WOL pipes and nickel based alloy welds are not verified enough in Japan, Japan Nuclear Energy Safety Organization (JNES) has been carrying out a six year research project entitled 'Accumulation and Preparation of Nondestructive Inspection Data for Nuclear Power Plants' regarding nondestructive inspection since FY2007. In this research project, detection and sizing capability of SCC by Ultrasonic Testing (UT) are evaluated using mockup tests. In addition, the results of this project and past nondestructive inspection data performed by JNES projects are gathered, and inputted into the database of NDT information. In FY2012, followings were conducted. 1) Analysis for UT measurement results of nickel based alloy weld simulating safe end of reactor vessel outlet nozzle. 2) Analysis for UT measurement results of cast stainless steel piping. 3) Development of interface of UT simulation. 4) Development of nondestructive testing guideline. (author)

  10. Risk-Based Inspection and Maintenance Planning Optimization of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramírez, José G. Rangel; Sørensen, John Dalsgaard

    2009-01-01

    A risk-based inspection planning (RBI) approach applied to offshore wind turbines (OWT) is presented, based on RBI methodology developed in the last decades in the oil and gas industry. In wind farm (IWF) and single-alone locations are considered using a code-established turbulence models including...

  11. Remote inspection with multi-copters, radiological sensors and SLAM techniques

    Science.gov (United States)

    Carvalho, Henrique; Vale, Alberto; Marques, Rúben; Ventura, Rodrigo; Brouwer, Yoeri; Gonçalves, Bruno

    2018-01-01

    Activated material can be found in different scenarios, such as in nuclear reactor facilities or medical facilities (e.g. in positron emission tomography commonly known as PET scanning). In addition, there are unexpected scenarios resulting from possible accidents, or where dangerous material is hidden for terrorism attacks using nuclear weapons. Thus, a technological solution is important to cope with fast and reliable remote inspection. The multi-copter is a common type of Unmanned Aerial Vehicle (UAV) that provides the ability to perform a first radiological inspection in the described scenarios. The paper proposes a solution with a multi-copter equipped with on-board sensors to perform a 3D reconstruction and a radiological mapping of the scenario. A depth camera and a Geiger-Müler counter are the used sensors. The inspection is performed in two steps: i) a 3D reconstruction of the environment and ii) radiation activity inference to localise and quantify sources of radiation. Experimental results were achieved with real 3D data and simulated radiation activity. Experimental tests with real sources of radiation are planned in the next iteration of the work.

  12. Remote inspection with multi-copters, radiological sensors and SLAM techniques

    Directory of Open Access Journals (Sweden)

    Carvalho Henrique

    2018-01-01

    Full Text Available Activated material can be found in different scenarios, such as in nuclear reactor facilities or medical facilities (e.g. in positron emission tomography commonly known as PET scanning. In addition, there are unexpected scenarios resulting from possible accidents, or where dangerous material is hidden for terrorism attacks using nuclear weapons. Thus, a technological solution is important to cope with fast and reliable remote inspection. The multi-copter is a common type of Unmanned Aerial Vehicle (UAV that provides the ability to perform a first radiological inspection in the described scenarios. The paper proposes a solution with a multi-copter equipped with on-board sensors to perform a 3D reconstruction and a radiological mapping of the scenario. A depth camera and a Geiger-Müler counter are the used sensors. The inspection is performed in two steps: i a 3D reconstruction of the environment and ii radiation activity inference to localise and quantify sources of radiation. Experimental results were achieved with real 3D data and simulated radiation activity. Experimental tests with real sources of radiation are planned in the next iteration of the work.

  13. Development of ultrasonic testing technique to inspect containment liners embedded in concrete on nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Ishida, H.; Kurozumi, Y. [Inst. of Nuclear Safety System, Incorporated, Mihama, Fukui (Japan); Kaneshima, Y. [The Kansai Electric Power Company, Inc., Mihama, Fukui (Japan)

    2004-07-01

    The purpose of this study is development of ultrasonic testing technique to inspect containment liners embedded in concrete on nuclear power plants. Integrity of containment liners on nuclear power plants can be secured by suitable present operation and maintenance. Furthermore, non-destructive testing technique to inspect embedded liners will ensure the integrity of the containment further. In order to develop the non-destructive testing technique, ultrasonic transducers were made newly and ultrasonic testing data acquisition and evaluation were carried out by using a mock-up. We adopted the surface shear horizontal (SH) wave, low frequency (0.3-0.5MHz), to be able to detect an echo from a defect against attenuation of ultrasonic waves due to long propagation in the liners and dispersion into concrete. We made transducers with three large active elements (40mm x 40mm) in a line which were equivalent to a 120mm width active element. Artificial hollows, {phi}200mm - 19mm depth (1/2thickness) and {phi}200mm - 9.5mm depth (1/4thickness), were made on a surface of a mock-up: carbon steel plate, 38mm thickness, 2,000mm length, 1000mm width. The surfaces of the plate were covered with concrete in order to simulate liners embedded in concrete. As a result of the examinations, the surface SH transducers could detect clearly the echo from the hollows at a distance of 1500mm. We evaluate that the newly made surface SH transducers with three elements have ability of detection of defects such as corrosion on the liners embedded in concrete. (author)

  14. Korean experience with steam turbine blade inspection

    International Nuclear Information System (INIS)

    Jung, Hyun Kyu; Park, D.Y.; Park, Hyung Jin; Chung, Min Hwa

    1990-01-01

    Several turbine blade accidents in Korea have emphasized the importance of their adequate periodic inspection. As a typical example, a broken blade was found in the Low Pressure (LP) turbine at the 950 MWe KORI unit 3 during the 1986 overhaul after one year commercial operation. Since then the Manufacturer and the Utility company (KEPCO) have been concerned about the need of blade root inspection. The ultrasonic testing was applied to detect cracks in the blade roots without removing the blades from rotor. Due to the complex geometry of the roots, the test results could not be evaluated easily. We feel that the currently applied UT technique seems to be less reliable and more effective method of inspection must be developed in the near future. This paper describes the following items: The causes and analysis of blade damage The inspection techniques and results The remedial action to be taken (Repair and Replacement) The future plan

  15. Development of a risk-based in-service inspection program for an LMR

    International Nuclear Information System (INIS)

    King, R.W.; Buschman, H.W.

    1996-01-01

    The emerging application of risk-based assessment technology to the operation and maintenance of nuclear power plants holds considerable promise for improving efficiency and reducing operating costs. Experimental Breeder Reactor II (EBR-II) is a liquid-metal-cooled fast reactor (LMR) that operated for 30 yr before shutting down in September 1994 due to program termination. Prior to the shutdown of EBR-II, an in-service inspection (ISI) program was developed that exploited certain advantages of the LMR design. For example, it demonstrated passive response to plant upset events, low-pressure primary coolant, and compatibility of the coolant and reactor materials. This ISI program was based on work currently being done by an American Society of Mechanical Engineers (ASME) Research Task Force on Risk-Based Inspection

  16. Linac based photofission inspection system employing novel detection concepts

    International Nuclear Information System (INIS)

    Stevenson, John; Gozani, Tsahi; Elsalim, Mashal; Condron, Cathie; Brown, Craig

    2011-01-01

    Rapiscan Systems is developing a LINAC based cargo inspection system for detection of special nuclear material (SNM) in cargo containers. The system, called Photofission Based Alarm Resolution (PBAR) is being developed under a DHD/DNDO Advanced Technology Demonstration (ATD) program. The PBAR system is based on the Rapiscan Eagle P9000 X-ray system, which is a portal system with a commercial 9 MeV LINAC X-ray source. For the purposes of the DNDO ATD program, a conveyor system was installed in the portal to allow scanning and precise positioning of 20 ft ISO cargo containers. The system uses a two step inspection process. In the first step, the basic scan, the container is quickly and completely inspected using two independent radiography arrays: the conventional primary array with high spatial resolution and a lower resolution spectroscopic array employing the novel Z-Spec method. The primary array uses cadmium tungstate (CdWO 4 ) detectors with conventional current mode readouts using photodiodes. The Z-Spec array uses small plastic scintillators capable of performing very fast (up to 10 8 cps) gamma-ray spectroscopy. The two radiography arrays are used to locate high-Z objects in the image such as lead, tungsten, uranium, which could be potential shielding materials as well as SNM itself. In the current system, the Z-Spec works by measuring the energy spectrum of transmitted X-rays. For high-Z materials the higher end of the energy spectrum is more attenuated than for low-Z materials and thus has a lower mean energy and a narrower width than low- and medium-Z materials. The second step in the inspection process is the direct scan or alarm clearing scan. In this step, areas of the container image, which were identified as high Z, are re-inspected. This is done by precisely repositioning the container to the location of the high-Z object and performing a stationary irradiation of the area with X-ray beam. Since there are a large number of photons in the 9 MV

  17. Development and Applicability Demonstration of a Remote Inspection Module for Inspection of Reactor Internals in an SFR

    International Nuclear Information System (INIS)

    Kim, Hoewoong; Joo, Youngsang; Park, Changgyu; Kim, Jongbum; Bae, Jinho

    2014-01-01

    Since liquid sodium is optically opaque, the ultrasonic inspection technique has been mainly employed for inspection of reactor internals in a Sodium-cooled Fast Reactor (SFR). Until now, two types of ultrasonic sensors have been mainly developed; immersion and waveguide sensors. An immersion sensor can provide a high-resolution image, but it may have problems in terms of reliability and life time because the sensor is exposed to high temperature during inspection. On the other hand, a waveguide sensor can maintain its performance during long-term inspection in high temperature because it installs an ultrasonic transducer in a cold region even though such a high-frequency ultrasonic wave cannot be used owing to the long propagation distance [4-6]. In this work, a remote inspection module employing four 10 m long waveguide sensors was newly developed and several performance tests were carried out in water to demonstrate the applicability of the developed remote inspection module to inspection of reactor internals in an SFR. In this work, a remote inspection module for inspection of reactor internals in an SFR was newly developed. The developed remote inspection module employs four 10 m long waveguide sensors for multiple inspection applications: a horizontal beam waveguide sensor for ranging inspection, two vertical beam waveguide sensors for viewing inspection and a 45 .deg. angle beam waveguide sensor for identification inspection. Several performance tests such as ranging, viewing and identification inspections were carried out for simulated nuclear fuel assembly specimens in water, and the applicability of the developed remote inspection module to inspection of reactor internals in an SFR was demonstrated

  18. Development and Applicability Demonstration of a Remote Inspection Module for Inspection of Reactor Internals in an SFR

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hoewoong; Joo, Youngsang; Park, Changgyu; Kim, Jongbum [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Bae, Jinho [National Fusion Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Since liquid sodium is optically opaque, the ultrasonic inspection technique has been mainly employed for inspection of reactor internals in a Sodium-cooled Fast Reactor (SFR). Until now, two types of ultrasonic sensors have been mainly developed; immersion and waveguide sensors. An immersion sensor can provide a high-resolution image, but it may have problems in terms of reliability and life time because the sensor is exposed to high temperature during inspection. On the other hand, a waveguide sensor can maintain its performance during long-term inspection in high temperature because it installs an ultrasonic transducer in a cold region even though such a high-frequency ultrasonic wave cannot be used owing to the long propagation distance [4-6]. In this work, a remote inspection module employing four 10 m long waveguide sensors was newly developed and several performance tests were carried out in water to demonstrate the applicability of the developed remote inspection module to inspection of reactor internals in an SFR. In this work, a remote inspection module for inspection of reactor internals in an SFR was newly developed. The developed remote inspection module employs four 10 m long waveguide sensors for multiple inspection applications: a horizontal beam waveguide sensor for ranging inspection, two vertical beam waveguide sensors for viewing inspection and a 45 .deg. angle beam waveguide sensor for identification inspection. Several performance tests such as ranging, viewing and identification inspections were carried out for simulated nuclear fuel assembly specimens in water, and the applicability of the developed remote inspection module to inspection of reactor internals in an SFR was demonstrated.

  19. ENIQ: European Network for Inspection Qualification

    International Nuclear Information System (INIS)

    Champigny, F.; Crutzen, S.; Lemaitre, P.

    1995-01-01

    Many countries are currently considering their own approach to inspection qualification and are carefully assessing experience to date. ENIQ, which stands for European Network for Inspection Qualification, groups the major part of the utilities in Western Europe. The general objective of ENIQ is to coordinate and manage at European level expertise and resources for the assessment and qualification of NDE inspection techniques and procedures, primarily for nuclear components. Also non-nuclear heavy duty components will be considered. Within ENIQ there is a growing consensus of opinion on the general principles of a European approach towards inspection qualification. In this paper the main activities, organization and actual status of ENIQ will be discussed

  20. User interface inspection methods a user-centered design method

    CERN Document Server

    Wilson, Chauncey

    2014-01-01

    User Interface Inspection Methods succinctly covers five inspection methods: heuristic evaluation, perspective-based user interface inspection, cognitive walkthrough, pluralistic walkthrough, and formal usability inspections. Heuristic evaluation is perhaps the best-known inspection method, requiring a group of evaluators to review a product against a set of general principles. The perspective-based user interface inspection is based on the principle that different perspectives will find different problems in a user interface. In the related persona-based inspection, colleagues assume the

  1. Reliability of non-destructive test techniques in the inspection of pipelines used in the oil industry

    International Nuclear Information System (INIS)

    Carvalho, A.A.; Rebello, J.M.A.; Souza, M.P.V.; Sagrilo, L.V.S.; Soares, S.D.

    2008-01-01

    The aim of this work is to evaluate the reliability of non-destructive test (NDT) techniques for the inspection of pipeline welds employed in the petroleum industry. Radiography, manual and automatic ultrasonic techniques using pulse-echo and time of flight diffraction (TOFD) were employed. Three classes of defects were analyzed: lack of penetration (LP), lack of fusion (LF) and undercut (UC). The tests were carried out on specimen made from pipelines containing defects, which had been artificially inserted on laying the weld bead. The results showed the superiority of the automatic ultrasonic tests for defect detection compared with the manual ultrasonic and radiographic tests. Additionally, artificial neural networks (ANN) were used in the detection and automatic classification of the defects

  2. Pulse holographic measurement techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Baik, Seong Hoon; Hong, Seok Kyung; Kim, Jeong Moog; Kim, Duk Hyun

    1992-01-01

    With the development of laser, remote inspection techniques using laser have been growing on. The inspection and measurement techniques by pulse holography are well-established technique for precise measurement, and widely used in various fields of industry now. In nuclear industry, this technology is practically used because holographic inspection is remote, noncontact, and precise measurement technique. In relation to remote inspection technology in nuclear industry, state-of-the art of pulse HNDT (Holographic non-destructive testing) and holographic measurement techniques are examined. First of all, the fundamental principles as well as practical problems for applications are briefly described. The fields of pulse holography have been divided into the HNDT, flow visualization and distribution study, and other application techniques. Additionally holographic particle study, bubble chamber holography, and applications to other visualization techniques are described. Lastly, the current status for the researches and applications of pulse holography to nuclear industry which are carried out actively in Europe and USA, is described. (Author)

  3. Highly reliable TOFD UT Technique

    International Nuclear Information System (INIS)

    Acharya, G.D.; Trivedi, S.A.R.; Pai, K.B.

    2003-01-01

    The high performance of the time of flight diffraction technique (TOFD) with regard to the detection capabilities of weld defects such as crack, slag, lack of fusion has led to a rapidly increasing acceptance of the technique as a pre?service inspection tool. Since the early 1990s TOFD has been applied to several projects, where it replaced the commonly used radiographic testing. The use of TOM lead to major time savings during new build and replacement projects. At the same time the TOFD technique was used as base line inspection, which enables monitoring in the future for critical welds, but also provides documented evidence for life?time. The TOFD technique as the ability to detect and simultaneously size flows of nearly any orientation within the weld and heat affected zone. TOM is recognized as a reliable, proven technique for detection and sizing of defects and proven to be a time saver, resulting in shorter shutdown periods and construction project times. Thus even in cases where inspection price of TOFD per welds is higher, in the end it will result in significantly lower overall costs and improve quality. This paper deals with reliability, economy, acceptance criteria and field experience. It also covers comparative study between radiography technique Vs. TOFD. (Author)

  4. Radiographic inspection. Film replacement with digital detector arrays in aerospace applications

    International Nuclear Information System (INIS)

    Schulte, Frank; Bavendiek, Klaus

    2016-01-01

    The new Digital Radiographic Inspection method replace more and more the conventional Film technique. For DDA (Digital Detector Array) systems it is currently necessary to use automated or semi-automated systems. For CR (Computer Radiography) Systems the conventional Film Systems may be used. For this CR technique operators can use same X-Ray Units (Bunker) and same X-Ray equipment. But on CR technique we have basically the same settings like the Film-based technique. More or less same exposure times and for aerospace application long scanning times for the CR foils. In fact there is no big benefit in capacity or in economical view. Regarding this issue we thought about the option to use a DDA System instead of a Film or CR System to use the current Film Equipment (Bunker, Generator, Tube.) and replace this 1:1 by a manual system. In this time only small detectors with fine pixel pitch was available on the market. With this type of DDA's is was absolutely uneconomical to inspect parts in serial inspection. Therefore a new generation of DDA's were developed, which is able to replace Film or CR System 1:1 with adequate economical properties for specific applications. The new PerkinElmer XRD 1611 Panel has a size of 40 x 40 cm and a pixel pitch of 100 μm. The baseline for the new DDA Panel was the most used X-Ray Film in size 30 x 40 cm. The Pixel Pitch and requirements for Bad Pixel based on the requirements for spatial resolution of the applicable ASTM standards and different customer specifications for castings. With this new DDA System is it possible to inspect small and medium castings in very short time with excellent image quality. The System is able to process images under 1 minute include averaging. Images will be transferred by a specific software tool to an offline reading station where certified Level 2 operator can inspect the images. Images will be archived as 16bit DICONDE File. All relevant images information are included in DICONDE File

  5. Application of the risk based inspection in chemical and nuclear installations: a critical evaluation

    International Nuclear Information System (INIS)

    Sousa, Anna Leticia Barbosa de

    2004-01-01

    Risk-based Inspection (RBI) has arisen as an opportunity for the industry to give a specific treatment to items as equipment integrity, failures consequences and the level of risk of each piece of equipment in the inspection programs definitions. Risk-based inspection programs are replacing the traditional ones based on prescriptive requirements, for both the oil and nuclear industries. The aim of this thesis is to demonstrate how the nuclear industry has gotten over several difficulties in implementing risk-based programs considering that its safety design and regulations have traditionally been based on deterministic and prescriptive criteria and requirements. During the development of the work, the study has shown how the absence of a rigid regulation favored the dissemination of RBI diffusion in the oil industry, mainly in the USA, where such industries do not face those difficulties found by the European plants, as long as it is well known that in Europe there are complex interrelations among documents from different countries with diversity of regulation procedures. (author)

  6. Technical Aspect on Procedure of Gamma-Ray Pipeline Inspection

    International Nuclear Information System (INIS)

    Rasif Mohd Zain; Ainul Mardhiah Terry; Norman Shah Dahing

    2015-01-01

    The main problems happen in industrial pipelines are deposit build-up, blockage, corrosion and erosion. These effects will give a constraint in transporting refined products to process or production points and cause a major problem in production. One of the techniques to inspect the problem is using gamma-ray pipe scans. The principle of the technique is gamma-ray absorption technique. In this paper describes on the technical aspect to perform the pipe inspection in laboratory work. (author)

  7. Design and development of Pc-based TOFD ultrasonic scanning system for welds inspection

    International Nuclear Information System (INIS)

    Suhairy Sani; Mohamad Pauzi Ismai; Muhammad Faiz Mohd Shukri; Amry Amin Abas

    2010-01-01

    This paper describes the design and development of a portable PC-based ultrasonic scanning system for industrial applications. The system which is called TOFD Ultrasonic Scanning System (TOFUSS) is used to create a gray scale imaging techniques are applied to the RF (AC) signal phase and enables weld integrity to be observed in real time. TOFD consists of a separate ultrasonic transmitter and receiver. The Probes are aimed at the same point in the weld volume. The entire weld is flooded with ultrasound allowing inspection of the weld. With a time of flight path, the ultrasonic velocity and the spatial relationship of the two probes, location and height of the defects can be very accurately calculated. The algorithm and complete system were implemented in a computer software developed using Microsoft Visual BASIC 6.0. (author)

  8. POTENTIAL OF UAV-BASED LASER SCANNER AND MULTISPECTRAL CAMERA DATA IN BUILDING INSPECTION

    Directory of Open Access Journals (Sweden)

    D. Mader

    2016-06-01

    Full Text Available Conventional building inspection of bridges, dams or large constructions in general is rather time consuming and often cost expensive due to traffic closures and the need of special heavy vehicles such as under-bridge inspection units or other large lifting platforms. In consideration that, an unmanned aerial vehicle (UAV will be more reliable and efficient as well as less expensive and simpler to operate. The utilisation of UAVs as an assisting tool in building inspections is obviously. Furthermore, light-weight special sensors such as infrared and thermal cameras as well as laser scanner are available and predestined for usage on unmanned aircraft systems. Such a flexible low-cost system is realized in the ADFEX project with the goal of time-efficient object exploration, monitoring and damage detection. For this purpose, a fleet of UAVs, equipped with several sensors for navigation, obstacle avoidance and 3D object-data acquisition, has been developed and constructed. This contribution deals with the potential of UAV-based data in building inspection. Therefore, an overview of the ADFEX project, sensor specifications and requirements of building inspections in general are given. On the basis of results achieved in practical studies, the applicability and potential of the UAV system in building inspection will be presented and discussed.

  9. Application of ultrasonic inspection technique for crack depth sizing on nickel based alloy weld. Part 3. Establishment of UT procedure for crack depth sizing by phased array UT

    International Nuclear Information System (INIS)

    Hirasawa, Taiji; Okada, Hisao; Fukutomi, Hiroyuki

    2012-01-01

    Recently, it is reported that the primary water stress corrosion cracking (PWSCC) was occurred at the nickel based alloy weld components such as steam generator safe end weld, reactor vessel safe end weld, and so on, in PWR. Defect detection and sizing is important in order to ensure the reliable operation and life extension of nuclear power plants. In the reactor vessel safe end weld, it was impossible to measure crack depth of PWSCC. The crack was detected in the axial direction of the safe end weld. Furthermore, the crack had some features such as shallow, large aspect ratio (ratio of crack depth and length), sharp geometry of crack tip, and so on. Therefore, development and improvement of defect detection and sizing capabilities for ultrasonic testing (UT) is required. Phased array technique was applied to nickel based alloy weld specimen with SCC cracks. From the experimental results, good accuracy of crack depth sizing by phased array UT for the inside inspection was shown. From these results, UT procedure for crack depth sizing was verified. Therefore, effectiveness of phased array UT for crack depth sizing in the nickel based alloy welds was shown. (author)

  10. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol

    2007-02-01

    This project is to develop and demonstrate new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We studied on the four issues recently focused. Thus we developed an impact analysis model of the reactor and steam generator, and diagnosis software of the reactor internals. As an on-line monitoring technology using an ultrasonic guided wave, we developed a new method enhancing the S/N ratio of the weak signal based on time reversal technique. A network based remote inspection system and an inspection robot for reactor vessel head penetration was developed. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on

  11. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol (and others)

    2007-02-15

    This project is to develop and demonstrate new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We studied on the four issues recently focused. Thus we developed an impact analysis model of the reactor and steam generator, and diagnosis software of the reactor internals. As an on-line monitoring technology using an ultrasonic guided wave, we developed a new method enhancing the S/N ratio of the weak signal based on time reversal technique. A network based remote inspection system and an inspection robot for reactor vessel head penetration was developed. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on.

  12. Nondestructive examination (NDE) Reliability for Inservice Inspection of Light Water Reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Good, M.S.; Heasler, P.G.; Hockey, R.L.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Vo, T.V.

    1992-07-01

    The Evaluation and Improvement of NDE reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to the Regulatory and ASME Code requirements, based on material properties, service conditions, and NDE uncertainties

  13. Inspection Strategies for Concrete Bridges

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Thoft-Christensen, Palle

    1989-01-01

    In this paper an optimal inspection strategy for concrete bridges based on periodic routine and detailed inspections is presented. The failure mode considered is corrosion of the reinforcement due to chlorides. A simple modelling of the corrosion and of the inspection strategy is presented....... The optimal inspection strategy is determined from an optimization problem, where the design variables are time intervals between detailed inspections and the concrete cover. The strategy is illustrated on a simple structure, namely a reinforced concrete beam....

  14. Reduced program of inspection by induced currents for condenser of Embalse nuclear power plant

    International Nuclear Information System (INIS)

    Obrutsky, L.; Mendonca, H.

    1986-01-01

    In this work it's presented a reduced inspection in service program by the technique of induced currents to the turbine condenser of Embalse's Power Plant (Cordoba). The authors based its elaboration on the results obtained in the exam of a small number of tubes and on experience obtained through four inspections in the condensers of Atucha I Power Plant, through mathematical models of oxygen and ammoniac distribution in both Power Plants, and its experimental verification in the case of Atucha I. This program improves the quality of inspection thereby reducing time, equipment and personnel employed. (C.M.) [pt

  15. Automobile inspection system based on wireless communication

    Science.gov (United States)

    Miao, Changyun; Ye, Chunqing

    2010-07-01

    This paper aims to research the Automobile Inspection System based on Wireless Communication, and suggests an overall design scheme which uses GPS for speed detection and Bluetooth and GPRS for communication. The communication between PDA and PC was realized by means of GPRS and TCP/IP; and the hardware circuit and software for detection terminal were devised by means of JINOU-3264 Bluetooth Module after analyzing the Bluetooth and its communication protocol. According to the results of debugging test, this system accomplished GPRS based data communication and management as well as the real-time detection on auto safety performance parameters in crash test via PC, whereby the need for mobility and reliability was met and the efficiency and level of detection was improved.

  16. X-ray tomographic in-service inspection of girth welds - The European project TomoWELD

    International Nuclear Information System (INIS)

    Ewert, Uwe; Redmer, Bernhard; Walter, David; Thiessenhusen, Kai-Uwe; Bellon, Carsten; Nicholson, P. Ian; Clarke, Alan L.; Finke-Härkönen, Klaus-Peter

    2015-01-01

    The new standard 'ISO 17636-2:2013: Non-destructive testing of welds - Radiographic testing - Part 2: X- and gamma-ray techniques with digital detectors', defines the practice for radiographic inspection of welded pipes for manufacturing and in-service inspection. It is applied in Europe for inspections of pipe welds in nuclear power plants as well as in chemical plants and allows a faster inspection with digital detector arrays (DDA) than with film. Nevertheless, it does not allow the evaluation of the depth and shape of volumetric and planar indications. In 2001 a planar tomography scanner, TomoCAR, was introduced for mechanized radiographic testing (RT) inspection and non-destructive measurement of cross sections. The project TomoWELD is based on a new concept of the scan geometry, an enhanced GPU based reconstruction, and the application of a new generation of photon counting DDAs based on CdTe crystal CMOS hybrids. The new detector permits the selection of energy thresholds to obtain an optimum energy range and reduction of the influence of scattered radiation. The concept and first measurements are presented. Flaw depth and shape of volumetric and planar irregularities can be determined

  17. X-ray tomographic in-service inspection of girth welds - The European project TomoWELD

    Energy Technology Data Exchange (ETDEWEB)

    Ewert, Uwe, E-mail: uwe.ewert@bam.de; Redmer, Bernhard, E-mail: uwe.ewert@bam.de; Walter, David, E-mail: uwe.ewert@bam.de; Thiessenhusen, Kai-Uwe, E-mail: uwe.ewert@bam.de; Bellon, Carsten, E-mail: uwe.ewert@bam.de [BAM Bundesanstalt für Materialforschung, Berlin (Germany); Nicholson, P. Ian, E-mail: ian.nicholson@twi.co.uk [TWI Technology Centre Wales, Port Talbot (United Kingdom); Clarke, Alan L. [TWI Technology Centre Wales, Port Talbot (United Kingdom); Finke-Härkönen, Klaus-Peter, E-mail: klaus.harkonen@ajat.fi [Oy AJAT Ltd., Helsinki (Finland)

    2015-03-31

    The new standard 'ISO 17636-2:2013: Non-destructive testing of welds - Radiographic testing - Part 2: X- and gamma-ray techniques with digital detectors', defines the practice for radiographic inspection of welded pipes for manufacturing and in-service inspection. It is applied in Europe for inspections of pipe welds in nuclear power plants as well as in chemical plants and allows a faster inspection with digital detector arrays (DDA) than with film. Nevertheless, it does not allow the evaluation of the depth and shape of volumetric and planar indications. In 2001 a planar tomography scanner, TomoCAR, was introduced for mechanized radiographic testing (RT) inspection and non-destructive measurement of cross sections. The project TomoWELD is based on a new concept of the scan geometry, an enhanced GPU based reconstruction, and the application of a new generation of photon counting DDAs based on CdTe crystal CMOS hybrids. The new detector permits the selection of energy thresholds to obtain an optimum energy range and reduction of the influence of scattered radiation. The concept and first measurements are presented. Flaw depth and shape of volumetric and planar irregularities can be determined.

  18. Findings of the inspection grading system on industrial radiography

    International Nuclear Information System (INIS)

    Gloria Doloressa

    2011-01-01

    The use of industrial radiography techniques evolve rapidly and widely. Various jobs in industry require the examination of welding techniques / connecting pipes and metal construction. The use of industrial radiography is one type of utilization of nuclear energy must get control so that its use does not cause harmful impacts to worker safety, community, and environment. Regulations is done through inspections, in order to supervise the observance of the terms in the licensing and legislation in the field of nuclear safety. The main purpose of inspection is to ensure the utilization of radiation sources of radiation have been used with. Findings of the inspection is a decline in the performance of licensees in meeting safety requirements. The Grading System to the findings of the inspection needs to be done to improve the effectiveness and efficiency of inspection, and it is expected that the company can improve the management of radiation safety. (author)

  19. Neural network Hilbert transform based filtered backprojection for fast inline x-ray inspection

    Science.gov (United States)

    Janssens, Eline; De Beenhouwer, Jan; Van Dael, Mattias; De Schryver, Thomas; Van Hoorebeke, Luc; Verboven, Pieter; Nicolai, Bart; Sijbers, Jan

    2018-03-01

    X-ray imaging is an important tool for quality control since it allows to inspect the interior of products in a non-destructive way. Conventional x-ray imaging, however, is slow and expensive. Inline x-ray inspection, on the other hand, can pave the way towards fast and individual quality control, provided that a sufficiently high throughput can be achieved at a minimal cost. To meet these criteria, an inline inspection acquisition geometry is proposed where the object moves and rotates on a conveyor belt while it passes a fixed source and detector. Moreover, for this acquisition geometry, a new neural-network-based reconstruction algorithm is introduced: the neural network Hilbert transform based filtered backprojection. The proposed algorithm is evaluated both on simulated and real inline x-ray data and has shown to generate high quality reconstructions of 400  ×  400 reconstruction pixels within 200 ms, thereby meeting the high throughput criteria.

  20. In-service inspection of nuclear power plants

    International Nuclear Information System (INIS)

    Asty, M.; Saglio, R.

    1984-10-01

    The French Commissariat a l'Energie Atomique (Atomic Energy Commission) developed two new non destructive control techniques, focused ultrasonics and multi-frequency eddy currents, which have been shown to allow a better detection and characterization of defects. We present here some of the in-service inspection devices which have been designed for field application of these techniques on the PWR reactors built by EDF, inspection devices of the PWR steam generator tubing and the now developing specific device for main tank and helicoidal tubing steam generator of Super-Phenix 1 [fr

  1. Cargo container inspection test program at ARPA's Nonintrusive Inspection Technology Testbed

    Science.gov (United States)

    Volberding, Roy W.; Khan, Siraj M.

    1994-10-01

    An x-ray-based cargo inspection system test program is being conducted at the Advanced Research Project Agency (ARPA)-sponsored Nonintrusive Inspection Technology Testbed (NITT) located in the Port of Tacoma, Washington. The test program seeks to determine the performance that can be expected from a dual, high-energy x-ray cargo inspection system when inspecting ISO cargo containers. This paper describes an intensive, three-month, system test involving two independent test groups, one representing the criminal smuggling element and the other representing the law enforcement community. The first group, the `Red Team', prepares ISO containers for inspection at an off-site facility. An algorithm randomly selects and indicates the positions and preparation of cargoes within a container. The prepared container is dispatched to the NITT for inspection by the `Blue Team'. After in-gate processing, it is queued for examination. The Blue Team inspects the container and decides whether or not to pass the container. The shipment undergoes out-gate processing and returns to the Red Team. The results of the inspection are recorded for subsequent analysis. The test process, including its governing protocol, the cargoes, container preparation, the examination and results available at the time of submission are presented.

  2. System Enhancements for Mechanical Inspection Processes

    Science.gov (United States)

    Hawkins, Myers IV

    2011-01-01

    Quality inspection of parts is a major component to any project that requires hardware implementation. Keeping track of all of the inspection jobs is essential to having a smooth running process. By using HTML, the programming language ColdFusion, and the MySQL database, I created a web-based job management system for the 170 Mechanical Inspection Group that will replace the Microsoft Access based management system. This will improve the ways inspectors and the people awaiting inspection view and keep track of hardware as it is in the inspection process. In the end, the management system should be able to insert jobs into a queue, place jobs in and out of a bonded state, pre-release bonded jobs, and close out inspection jobs.

  3. Linac based photofission inspection system employing novel detection concepts

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, John, E-mail: jstevenson@rapiscansystems.com [Rapiscan Laboratories, Inc., 520 Almanor Avenue, Sunnyvale, CA 94085 (United States); Gozani, Tsahi, E-mail: tgozani@rapiscansystems.com [Rapiscan Laboratories, Inc., 520 Almanor Avenue, Sunnyvale, CA 94085 (United States); Elsalim, Mashal; Condron, Cathie; Brown, Craig [Rapiscan Laboratories, Inc., 520 Almanor Avenue, Sunnyvale, CA 94085 (United States)

    2011-10-01

    Rapiscan Systems is developing a LINAC based cargo inspection system for detection of special nuclear material (SNM) in cargo containers. The system, called Photofission Based Alarm Resolution (PBAR) is being developed under a DHD/DNDO Advanced Technology Demonstration (ATD) program. The PBAR system is based on the Rapiscan Eagle P9000 X-ray system, which is a portal system with a commercial 9 MeV LINAC X-ray source. For the purposes of the DNDO ATD program, a conveyor system was installed in the portal to allow scanning and precise positioning of 20 ft ISO cargo containers. The system uses a two step inspection process. In the first step, the basic scan, the container is quickly and completely inspected using two independent radiography arrays: the conventional primary array with high spatial resolution and a lower resolution spectroscopic array employing the novel Z-Spec method. The primary array uses cadmium tungstate (CdWO{sub 4}) detectors with conventional current mode readouts using photodiodes. The Z-Spec array uses small plastic scintillators capable of performing very fast (up to 10{sup 8} cps) gamma-ray spectroscopy. The two radiography arrays are used to locate high-Z objects in the image such as lead, tungsten, uranium, which could be potential shielding materials as well as SNM itself. In the current system, the Z-Spec works by measuring the energy spectrum of transmitted X-rays. For high-Z materials the higher end of the energy spectrum is more attenuated than for low-Z materials and thus has a lower mean energy and a narrower width than low- and medium-Z materials. The second step in the inspection process is the direct scan or alarm clearing scan. In this step, areas of the container image, which were identified as high Z, are re-inspected. This is done by precisely repositioning the container to the location of the high-Z object and performing a stationary irradiation of the area with X-ray beam. Since there are a large number of photons in the 9

  4. Inspection with non destructive assay techniques of the aluminium coating of the TRIGA Mark III reactor vat; Inspeccion con tecnicas de ensayos no destructivos del recubrimiento de aluminio de la tina del reactor TRIGA Mark III

    Energy Technology Data Exchange (ETDEWEB)

    Reyes A, A.I.; Gonzalez M, A.; Castaneda J, G.; Rivera M, H.; Sandoval G, I. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2001-07-01

    In June 2000, the Reactor Department assigned to the Scientific Research Direction of the National Institute of Nuclear Research requested to the Non-destructive Assays Laboratory (LEND), assigned to the Materials Science Management, the inspection and measurement of thickness of the aluminium coating (liner) of the TRIGA Mark III reactor vat with non-destructive assay techniques, due to that the aluminium coating is exposed mainly to undergo slimming on its back side due to corrosion phenomena. Activity that was able to be carried out from april until august 2001. It is worth pointing out that this type of inspection with these techniques was realized by first time. The non-destructive assays (NDA) are techniques which use indirect physical methods for inspecting the sanitation of components in process or in service, for detect lack of continuity or defects which affect their quality or usefulness. The application of those do not alter the physical, chemical, mechanical or dimensional properties of the part subject of inspection. The results of the application of the ultrasound inspection techniques, industrial radiography and penetrating liquids are presented. (Author)

  5. Recent experiences with ultrasonic inservice inspection systems with phased array probes on spherical bottoms of boiling water reactors

    International Nuclear Information System (INIS)

    Wustenberg, H.; Brekow, G.; Erhard, A.; Hein, E.

    1988-01-01

    The special geometry of the spherical bottom of boiling water reactors with control rods and measuring nozzles requires a very special surveillance technique during the in-service inspection. Reside visual inspection an ultrasonic inspection has been established due to the requirements of German authorities. A first application of a new phased array system took place August 1987. The 100% inspection of a spherical bottom had been enabled by the application of phased array probes with electronically controlled skewing angles. The data acquisition had been based on the storage of whole A-scans, which had been pixellized into 256 points. This A-scan storage procedure makes possible the application of a simple and fast algorithm to present the data as TD-(time displacement)-scans. Defect reconstruction by echotomographique approaches are under development. This paper presents the ultrasonic technique applied including the phased array probes, the electronic system, as well as the software package used for the control of the inspection parameters depending on the probe position

  6. Probabilistic Fatigue Life Updating for Railway Bridges Based on Local Inspection and Repair.

    Science.gov (United States)

    Lee, Young-Joo; Kim, Robin E; Suh, Wonho; Park, Kiwon

    2017-04-24

    Railway bridges are exposed to repeated train loads, which may cause fatigue failure. As critical links in a transportation network, railway bridges are expected to survive for a target period of time, but sometimes they fail earlier than expected. To guarantee the target bridge life, bridge maintenance activities such as local inspection and repair should be undertaken properly. However, this is a challenging task because there are various sources of uncertainty associated with aging bridges, train loads, environmental conditions, and maintenance work. Therefore, to perform optimal risk-based maintenance of railway bridges, it is essential to estimate the probabilistic fatigue life of a railway bridge and update the life information based on the results of local inspections and repair. Recently, a system reliability approach was proposed to evaluate the fatigue failure risk of structural systems and update the prior risk information in various inspection scenarios. However, this approach can handle only a constant-amplitude load and has limitations in considering a cyclic load with varying amplitude levels, which is the major loading pattern generated by train traffic. In addition, it is not feasible to update the prior risk information after bridges are repaired. In this research, the system reliability approach is further developed so that it can handle a varying-amplitude load and update the system-level risk of fatigue failure for railway bridges after inspection and repair. The proposed method is applied to a numerical example of an in-service railway bridge, and the effects of inspection and repair on the probabilistic fatigue life are discussed.

  7. Automatic welding detection by an intelligent tool pipe inspection

    Science.gov (United States)

    Arizmendi, C. J.; Garcia, W. L.; Quintero, M. A.

    2015-07-01

    This work provide a model based on machine learning techniques in welds recognition, based on signals obtained through in-line inspection tool called “smart pig” in Oil and Gas pipelines. The model uses a signal noise reduction phase by means of pre-processing algorithms and attribute-selection techniques. The noise reduction techniques were selected after a literature review and testing with survey data. Subsequently, the model was trained using recognition and classification algorithms, specifically artificial neural networks and support vector machines. Finally, the trained model was validated with different data sets and the performance was measured with cross validation and ROC analysis. The results show that is possible to identify welding automatically with an efficiency between 90 and 98 percent.

  8. Selection of procedures for inservice inspections; Auswahl der Verfahren fuer wiederkehrende Pruefungen

    Energy Technology Data Exchange (ETDEWEB)

    Brast, G [Preussische Elektrizitaets-AG (Preussenelektra), Hannover (Germany); Britz, A [Bayernwerk AG, Muenchen (Germany); Maier, H J [Stuttgart Univ. (Germany). Staatliche Materialpruefungsanstalt; Seidenkranz, T [TUEV Energie- und Systemtechnik GmbH, Mannheim (Germany)

    1998-11-01

    At present, selection of procedures for inservice inspection has to take into account the legal basis, i.e. the existing regulatory codes, and the practical aspects, i.e. experience and information obtained by the general, initial inservice inspection or performance data obtained by the latest, recurrent inspection. However, regulatory codes are being reviewed to a certain extent in order to permit integration of technological progress. Depending on the degree of availability in future, of inspection task-specific, sensitive and qualified NDE techniques for inservice inspections (`risk based ISI`), the framework of defined inspection intervals, sites, and detection limits will be broken up and altered in response to progress made. This opens up new opportunities for an optimization of inservice inspections for proof of component integrity. (orig./CB) [Deutsch] Zur Zeit muss sich die Auswahl der Pruefverfahren an den gueltigen Regelwerken und, da es sich um wiederkehrende Pruefungen handelt, an der Basispruefung bzw. der letzten wiederkehrenden Pruefung orientieren. Jedoch vollzieht sich zur Zeit eine Oeffnung der Regelwerke, mit der man auch der Weiterentwicklung der Prueftechniken Rechnung traegt. In dem Masse, wie zukuenftig auf die Pruefaufgabe/Pruefaussage optimal abgestimmte und qualifizierte Prueftechniken mit einer hohen Nachweisempfindlichkeit am Bauteil fuer zielgerichtete wiederkehrende Pruefungen (als `risk based ISI`) zur Verfuegung stehen, wird der Rahmen mit festgelegten Pruefintervallen, Prueforten und festen Registriergrenzen gesprengt und variabel gestaltet werden koennen. Damit ergeben sich neue Moeglichkeiten fuer eine Optimierung der WKP zum Nachweis der Integritaet des Bauteils. (orig./MM)

  9. Waste Inspection Tomography (WIT)

    International Nuclear Information System (INIS)

    Bernardi, R.T.

    1995-01-01

    Waste Inspection Tomography (WIT) provides mobile semi-trailer mounted nondestructive examination (NDE) and assay (NDA) for nuclear waste drum characterization. WIT uses various computed tomography (CT) methods for both NDE and NDA of nuclear waste drums. Low level waste (LLW), transuranic (TRU), and mixed radioactive waste can be inspected and characterized without opening the drums. With externally transmitted x-ray NDE techniques, WIT has the ability to identify high density waste materials like heavy metals, define drum contents in two- and three-dimensional space, quantify free liquid volumes through density and x-ray attenuation coefficient discrimination, and measure drum wall thickness. With waste emitting gamma-ray NDA techniques, WIT can locate gamma emitting radioactive sources in two- and three-dimensional space, identify gamma emitting isotopic species, identify the external activity levels of emitting gamma-ray sources, correct for waste matrix attenuation, provide internal activity approximations, and provide the data needed for waste classification as LLW or TRU. The mobile feature of WIT allows inspection technologies to be brought to the nuclear waste drum storage site without the need to relocate drums for safe, rapid, and cost-effective characterization of regulated nuclear waste. The combination of these WIT characterization modalities provides the inspector with an unprecedented ability to non-invasively characterize the regulated contents of waste drums as large as 110 gallons, weighing up to 1,600 pounds. Any objects that fit within these size and weight restrictions can also be inspected on WIT, such as smaller waste bags and drums that are five and thirty-five gallons

  10. Apparative developments for inservice inspections of reactor pressure vessels

    International Nuclear Information System (INIS)

    Bohn, H.; Ruthrof, K.; Barbian, O.A.; Kappes, W.; Neumann, R.; Stanger, H.K.

    1987-01-01

    Emphasizing PWR pressure vessel (RPV) inspections, recent developments of new generations of automated and mechanized ultrasonic inspection equipment are presented. Starting from general equipment design and inservice implenentation criteria, specific examples are given. Main attention is directed to equipment realization of phased array and ALOK inspection techniques, especially in their combination. Refined aspects of subsequent computer processing and evaluation of defect detection data are described. Analytical features and potential for further developments become evident. Remote controlled RPV inspections are stressed by describing a new generation of central mast manipulators, forming an integral part of total inservice inspection system. (orig./HP)

  11. Evaluation of computer-based ultrasonic inservice inspection systems

    International Nuclear Information System (INIS)

    Harris, R.V. Jr.; Angel, L.J.; Doctor, S.R.; Park, W.R.; Schuster, G.J.; Taylor, T.T.

    1994-03-01

    This report presents the principles, practices, terminology, and technology of computer-based ultrasonic testing for inservice inspection (UT/ISI) of nuclear power plants, with extensive use of drawings, diagrams, and LTT images. The presentation is technical but assumes limited specific knowledge of ultrasonics or computers. The report is divided into 9 sections covering conventional LTT, computer-based LTT, and evaluation methodology. Conventional LTT topics include coordinate axes, scanning, instrument operation, RF and video signals, and A-, B-, and C-scans. Computer-based topics include sampling, digitization, signal analysis, image presentation, SAFI, ultrasonic holography, transducer arrays, and data interpretation. An evaluation methodology for computer-based LTT/ISI systems is presented, including questions, detailed procedures, and test block designs. Brief evaluations of several computer-based LTT/ISI systems are given; supplementary volumes will provide detailed evaluations of selected systems

  12. Demonstrating the capability and reliability of NDT inspections

    International Nuclear Information System (INIS)

    Wooldridge, A.B.

    1996-01-01

    This paper discusses some recent developments in demonstrating the capability of ultrasonics, eddy currents and radiography both theoretically and in practice, and indicates where further evidence is desirable. Magnox Electric has been involved with development of theoretical models for all three of these inspection methods. Feedback from experience on plant is also important to avoid overlooking any practical limitations of the inspections, and to ensure that the metallurgical characteristics of potential defects have been properly taken into account when designing and qualifying the inspections. For critical applications, inspection techniques are often supported by a Technical Justification which draws on all the relevant theoretical and experimental evidence, as well as experience of inspections on plant. The role of technical justifications is discussed in the context of inspection qualification. (author)

  13. Nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Deffenbaugh, J.D.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.

    1989-10-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvement that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements based on material properties, service conditions, and NDE capabilities and uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other inspected components. This is a progress report covering the programmatic work from October 1987 through March 1988. 21 refs., 28 figs., 2 tabs

  14. Nondestructive examination (NDE) reliability for inservice inspection of light waters reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Deffenbaugh, J.D.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.

    1989-11-01

    Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other inspected components. This is a progress report covering the programmatic work from April 1988 through September 1988. 33 refs., 70 figs., 12 tabs

  15. Nondestructive Examination (NDE) Reliability for Inservice Inspection of Light Water Reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Diaz, A.A.; Friley, J.R.

    1993-09-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other components inspected in accordance with Section XI of the ASME Code. This is a progress report covering the programmatic work from October 1991 through March 1992

  16. Reliability-Based Planning of Inspection, Operation and Maintenance for Offshore Oil & Gas Structures and Wind Turbines

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard

    2011-01-01

    Reliability-based cost-optimal planning of inspection, maintenance and operation has many applications. In this paper applications for planning of inspections for oil & gas jacket structures and of operation and maintenance of offshore wind turbines are described and illustrated by examples....

  17. An overview of the on-site inspection measurements from the non-proliferation experiment

    Energy Technology Data Exchange (ETDEWEB)

    Zucca, J.J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-31

    An on-site inspection (OSI) is an in-person visit to site to collect data and examine evidence in order to determine the source of an ambiguous event detected via remote monitoring systems or other measures. Its purpose is to determine whether the treaty has been violated, to deter violations, and to build confidence. At the time of this writing, it is anticipated that the Comprehensive Test Ban Treaty (CTBT) being developed in the Conference on Disarmament will contain OSI provisions. In an era of testing moratoria, the Non-Proliferation Experiment (NPE) provided a unique opportunity to investigate candidate OSI techniques. On site inspections could occur in three different contexts: after-the-fact inspections based on information from remote monitoring systems; inspections prior to, during, and after large declared chemical explosions (e.g., a large mining explosion); continuous monitoring inspections with unattended sensors at certain agreed-upon sites (e.g., previous test sites). OSI monitoring techniques need to be designed to detect the phenomena and residual effects of nuclear explosions. In the underground case, the primary effects of interest for OSI are the electromagnetic pulse, shock waves, aftershocks, radioactive gas, rubble zone, and apical void. These effects are well known and the basic techniques for their detection well established. We designed our measurement program for the NPE to answer specific issues about these detection technologies. Our measurement program includes the following: zerotime electromagnetic measurements; seismic aftershock survey; before and after electrical soundings; gas tracers introduced into the explosive; before and after multispectral overhead imagery from low-flying aircraft; before and after geological surveys.

  18. Effectiveness and reliability of US inservice inspection techniques

    International Nuclear Information System (INIS)

    Doctor, S.R.; Becker, F.L.; Selby, G.P.

    1982-01-01

    The work presented is from an ongoing program directed toward measuring the effectiveness and reliability of inservice inspection (ISI) of light water reactor systems (primary piping and pressure vessel). Extensive round robin and parametric evaluations have been conducted in 10 in. Sch. 80 stainless steel as well as centrifugally cast stainless steel and clad ferritic main coolant pipe welds. Results from these measurements will be viewed in relationship to US regulations and ASME Section XI Code requirements. 6 figures

  19. The Ontario hydro low pressure turbine disc inspection program automated ultrasonic inspection systems - an overview

    International Nuclear Information System (INIS)

    Huggins, J.W.; Chopcian, M.; Grabish, M.

    1990-01-01

    An overview of the Ontario Hydro Low Pressure Turbine Disc Inspection Program is presented. The ultrasonic inspection systems developed in-house to inspect low pressure turbine discs at Pickering and Bruce Nuclear Generating stations are described. Three aspects of the program are covered: PART I - Background to inspection program, disc cracking experience, and development of an in-house inspection capability: PART II - System development requirements; ultrasonic equipment, electromechanical subsystems and instrumentation console: PART III - Customized software for flaw detection, sizing, data acquisition/storage, advanced signal processing, reports, documentation and software based diagnostics

  20. Application and study of advanced network technology in large container inspection system

    International Nuclear Information System (INIS)

    Li Zheng; Kang Kejun; Gao Wenhuan; Wang Jingjin

    1996-01-01

    Large Container Inspection System (LCIS) based on radiation imaging technology is a powerful tool for the customs to check the contents inside a large container without opening it. An image distributed network system is composed of center manager station, image acquisition station, environment control station, inspection processing station, check-in station, check-out station, database station by using advanced network technology. Mass data, such as container image data, container general information, manifest scanning data, commands and status, must be on-line transferred between different stations. Advanced network technology and software programming technique are presented

  1. Eddy current system for inspection of train hollow axles

    Energy Technology Data Exchange (ETDEWEB)

    Chady, Tomasz; Psuj, Grzegorz; Sikora, Ryszard; Kowalczyk, Jacek; Spychalski, Ireneusz [Department of Electrical and Computer Engineering, Faculty of Electrical Engineering, West Pomeranian University of Technology, Szczecin (Poland)

    2014-02-18

    The structural integrity of wheelsets used in rolling stock is of great importance to the safety. In this paper, electromagnetic system with an eddy current transducer suitable for the inspection of hollow axles have been presented. The transducer was developed to detect surface braking defects having depth not smaller than 0.5 mm. Ultrasound technique can be utilized to inspect the whole axle, but it is not sufficiently sensitive to shallow defects located close to the surface. Therefore, the electromagnetic technique is proposed to detect surface breaking cracks that cannot be detected by ultrasonic technique.

  2. Probabilistic methodology for assessing steam generator tube inspection - Phase II: CANTIA - a probabilistic method for assessing steam generator tube inspections

    International Nuclear Information System (INIS)

    Harris, J.E.; Gorman, J.A.; Turner, A.P.L.

    1997-03-01

    The objectives of this project were to develop a computer-based method for probabilistic assessment of inspection strategies for steam generator tubes, and to document the source code and to provide a user's manual for it. The program CANTIA was created to fulfill this objective, and the documentation and verification of the code is provided in this volume. The user's manual for CANTIA is provided as a separate report. CANTIA uses Monte Carlo techniques to determine approximate probabilities of steam generator tube failures under accident conditions and primary-to-secondary leak rates under normal and accident conditions at future points in time. The program also determines approximate future flaw distributions and non-destructive examination results from the input data. The probabilities of failure and leak rates and the future flaw distributions can be influenced by performing inspections of the steam generator tubes at some future points in time, and removing defective tubes from the population. The effect of different inspection and maintenance strategies can therefore be determined as a direct effect on the probability of tube failure and primary-to-secondary leak rate

  3. Surface inspection of flat products by means of texture analysis: on-line implementation using neural networks

    Science.gov (United States)

    Fernandez, Carlos; Platero, Carlos; Campoy, Pascual; Aracil, Rafael

    1994-11-01

    This paper describes some texture-based techniques that can be applied to quality assessment of flat products continuously produced (metal strips, wooden surfaces, cork, textile products, ...). Since the most difficult task is that of inspecting for product appearance, human-like inspection ability is required. A common feature to all these products is the presence of non- deterministic texture on their surfaces. Two main subjects are discussed: statistical techniques for both surface finishing determination and surface defect analysis as well as real-time implementation for on-line inspection in high-speed applications. For surface finishing determination a Gray Level Difference technique is presented to perform over low resolution images, that is, no-zoomed images. Defect analysis is performed by means of statistical texture analysis over defective portions of the surface. On-line implementation is accomplished by means of neural networks. When a defect arises, textural analysis is applied which result in a data-vector, acting as input of a neural net, previously trained in a supervised way. This approach tries to reach on-line performance in automated visual inspection applications when texture is presented in flat product surfaces.

  4. OSE inspection of computer security: Review

    International Nuclear Information System (INIS)

    Jaehne, E.M.

    1987-01-01

    The inspection process within the Department of Energy (DOE) serves the function of analyzing and reporting on the performance of security measures and controls in specific areas at sites throughout DOE. Three aspects of this process are discussed based on experience in computer security: Policy basis of performance inspections; Role and form of standards and criteria in inspections; and Conducting an inspection using the standards and criteria. Inspections are based on DOE and other applicable policy in each area. These policy statements have a compliance orientation in which the paper trail is often more clearly discernible than the security intention. The relationship of policy to performance inspections is discussed. To facilitate bridging the gap between the paper trail and the security intention defined by policy, standards and criteria were developed in each area. The consensus process and structure of the resulting product for computer security are discussed. Standards and criteria are inspection tools that support the site in preparing for an inspection and the inspector in conducting one. They form a systematic approach that facilitates consistency in the analysis and reporting of inspection results. Experience using the computer security standards and criteria is discussed

  5. Ultrasonic inspection of austenitic welds

    Energy Technology Data Exchange (ETDEWEB)

    Tomlinson, J R; Wagg, A R; Whittle, M J [N.D.T. Applications Centre, CEGB, Manchester (United Kingdom)

    1980-11-01

    The metallurgical structure of austenitic welds is described and contrasted with that found in ferritic welds. It is shown that this structure imparts a marked elastic anisotropy in the ultrasonic propagation parameters. Measurements of variations in the apparent attenuation of sound and deviations in the beam direction are described. The measurements are interpreted in terms of the measured velocity anisotropy. Two applications of the fundamental work are described. In the first it is shown how, by using short pulse compression wave probes, and with major modification of the welding procedure, a stainless steel fillet weld in an AGR boiler can be inspected. In the second application, alternative designs of a transition butt weld have been compared for ease of ultrasonic inspection. The effects of two different welding processes on such an inspection are described. Finally, the paper examines the prospects for future development of inspection and defect-sizing techniques for austenitic welds. (author)

  6. Drone Mission Definition and Implementation for Automated Infrastructure Inspection Using Airborne Sensors.

    Science.gov (United States)

    Besada, Juan A; Bergesio, Luca; Campaña, Iván; Vaquero-Melchor, Diego; López-Araquistain, Jaime; Bernardos, Ana M; Casar, José R

    2018-04-11

    This paper describes a Mission Definition System and the automated flight process it enables to implement measurement plans for discrete infrastructure inspections using aerial platforms, and specifically multi-rotor drones. The mission definition aims at improving planning efficiency with respect to state-of-the-art waypoint-based techniques, using high-level mission definition primitives and linking them with realistic flight models to simulate the inspection in advance. It also provides flight scripts and measurement plans which can be executed by commercial drones. Its user interfaces facilitate mission definition, pre-flight 3D synthetic mission visualisation and flight evaluation. Results are delivered for a set of representative infrastructure inspection flights, showing the accuracy of the flight prediction tools in actual operations using automated flight control.

  7. High Pressure Coolant Injection (HPCI) System Risk-Based Inspection Guide for Browns Ferry Nuclear Power Station

    International Nuclear Information System (INIS)

    Wong, S.; DiBiasio, A.; Gunther, W.

    1993-09-01

    The High Pressure Coolant Injection (HPCI) system has been examined from a risk perspective. A System Risk-Based Inspection Guide (S-RIG) has been developed as an aid to HPCI system inspections at the Browns Ferry Nuclear Power Plant, Units 1, 2 and 3. The role of. the HPCI system in mitigating accidents is discussed in this S-RIG, along with insights on identified risk-based failure modes which could prevent proper operation of the system. The S-RIG provides a review of industry-wide operating experience, including plant-specific illustrative examples to augment the PRA and operational considerations in identifying a catalogue of basic PRA failure modes for the HPCI system. It is designed to be used as a reference for routine inspections, self-initiated safety system functional inspections (SSFIs), and the evaluation of risk significance of component failures at the nuclear power plant

  8. High Pressure Coolant Injection (HPCI) System Risk-Based Inspection Guide for Browns Ferry Nuclear Power Station

    Energy Technology Data Exchange (ETDEWEB)

    Wong, S.; DiBiasio, A.; Gunther, W. [Brookhaven National Lab., Upton, NY (United States)

    1993-09-01

    The High Pressure Coolant Injection (HPCI) system has been examined from a risk perspective. A System Risk-Based Inspection Guide (S-RIG) has been developed as an aid to HPCI system inspections at the Browns Ferry Nuclear Power Plant, Units 1, 2 and 3. The role of. the HPCI system in mitigating accidents is discussed in this S-RIG, along with insights on identified risk-based failure modes which could prevent proper operation of the system. The S-RIG provides a review of industry-wide operating experience, including plant-specific illustrative examples to augment the PRA and operational considerations in identifying a catalogue of basic PRA failure modes for the HPCI system. It is designed to be used as a reference for routine inspections, self-initiated safety system functional inspections (SSFIs), and the evaluation of risk significance of component failures at the nuclear power plant.

  9. New applications using phased array techniques

    International Nuclear Information System (INIS)

    Erhard, A.; Schenk, G.; Hauser, Th.; Voelz, U.

    2001-01-01

    In general, the application of phased array techniques used to be limited to heavy components with large wall thicknesses, such as those in the nuclear power industry. With the improvement of the phased array equipment, including phased array search units, other application areas are now accessible for the phased array inspection technique, e.g. the inspection of turbine blade roots, weld inspection with a wall thickness ranging from 12 to 40 mm, inspection of aircraft components, inspection of spot welds and the inspection of concrete building components. The objective for the use of phased array techniques has not significantly changed since their first application, e.g. instant adjustment of the sound beam to the geometry of the test object by steering incidence angle, skew angle and/or sound field focusing. Because some new phased array technique applications are still in the experimental (laboratory) stage, this article will focus on some examples for practical, real-weld applications

  10. Inspection of Candu Nuclear Reactor Fuel Channels

    International Nuclear Information System (INIS)

    Baron, J.; Jarvis, G.N.; Dolbey, M.P.; Hayter, D.M.

    1986-01-01

    The Channel Inspection and Gauging Apparatus of Reactors (CIGAR) is a fully atomated, remotely operated inspection system designed to perform multi-channel, multi-task inspection of CANDU reactor fuel channels. Ultrasonic techniques are used for flaw detection, (with a sensitivity capable of detecting a 0.075 mm deep notch with a signal to noise ratio of 10 dB) and pressure tube wall thickness and diameter measurements. Eddy currrent systems are used to detect the presence of spacers between the coaxial pressure tube and calandria tube, as well as to measure their relative spacing. A servo-accelerometer is used to estimate the sag of the fuel channels. This advanced inspection system was commissioned and declared in service in September 1985. The paper describes the inspection systems themselves and discussed the results achieved to-date. (author) [pt

  11. Crack-depth effects in the cylindrically guided wave technique for bolt and pump-shaft inspections

    International Nuclear Information System (INIS)

    Tsai, Y.M.; Liu, S.N.; Light, G.M.

    1991-01-01

    Nuclear power plants have experienced the failures of bolts and pump shafts. The industry is concerned about nondestructive evaluation (NDE) techniques that can be applied to these components. The cylindrically guided wave technique (CGWT) has been developed to detect the simulated circumferential defects in long bolts and studs. The ultrasonic CGWT employs the zero-degree longitudinal waves constrained to travel within the boundary of the components with cylindrical shape during inspection. When longitudinal waves are guided to travel along a cylinder, and impinge onto a circumferential defect, the waves are scattered at the crack on the cylinder surface. In this work, the wave scattering at the circumferential crack on a long cylinder is investigated. The transfer factor of the scattered waves is calculated for a wide range of frequency spectra. The scattered waveform at a distance away from a crack is calculated. The effect that crack depth exerts to the waveform in CGWT is shown. CGWT signals, waveform calculation and so on are reported. (K.I.)

  12. The API methodology for risk-based inspection (RBI) analysis for the petroleum and petrochemical industry

    International Nuclear Information System (INIS)

    Reynolds, J.T.

    1998-01-01

    Twenty-one petroleum and petrochemical companies are currently sponsoring a project within the American Petroleum Institute (API) to develop risk-based inspection (RBI) methodology for application in the refining and petrochemical industry. This paper describes that particular RBI methodology and provides a summary of the three levels of RBI analysis developed by the project. Also included is a review of the first pilot project to validate the methodology by applying RBI to several existing refining units. The failure for pressure equipment in a process unit can have several undesirable effects. For the purpose of RBI analysis, the API RBI program categorizes these effects into four basic risk outcomes: flammable events, toxic releases, major environmental damage, and business interruption losses. API RBI is a strategic process, both qualitative and quantitative, for understanding and reducing these risks associated with operating pressure equipment. This paper will show how API RBI assesses the potential consequences of a failure of the pressure boundary, as well as assessing the likelihood (probability) of failure. Risk-based inspection also prioritizes risk levels in a systematic manner so that the owner-user can then plan an inspection program that focuses more resources on the higher risk equipment; while possibly saving inspection resources that are not doing an effective job of reducing risk. At the same time, if consequence of failure is a significant driving force for high risk equipment items, plant management also has the option of applying consequence mitigation steps to minimize the impact of a hazardous release, should one occur. The target audience for this paper is engineers, inspectors, and managers who want to understand what API Risk-Based Inspection is all about, what are the benefits and limitations of RBI, and how inspection practices can be changed to reduce risks and/or save costs without impacting safety risk. (Author)

  13. In service inspection of pipes based on risk methods; Inspeccion en servicio de tuberias basada en metodos de riesgo

    Energy Technology Data Exchange (ETDEWEB)

    Mendoza G, G.; Viais J, J.; Carmona C, M. [ININ, Centro Nuclear ' Dr. Nabor Carrillo Flores' , Carretera Mexico Toluca, S/N. La Marquesa, Ocoyoacac, Mexico, C. P. 52750 (Mexico)]. e-mail: gmg@nuclear.inin.mx

    2006-07-01

    The politics of the Nuclear Regulatory Commission (by its initials in English NRC) of the United States of America on the use of the Probabilistic Safety Analysis (PSA) in activities of nuclear regulation it foments the use of this analysis technique to improve the decisions making, to reduce the unnecessary work in maintenance aspects, inspection and tests and to improve the regulatory efficiency. The inspection programs in service (ISI by its initials in English) developed by the American Society of Mechanical Engineers (by its initials in English ASME) it has been the one primary mechanism to prove the mechanical equipment in plants of nuclear energy, these programs indeed have been carried out in plants of nuclear energy by more of two decades. Their purpose is to identify the conditions, such as indications of cracks that are precursory of flights and ruptures which violate the integrity principles of the pressure frontier. The inspection in service activities include ultrasonic tests, surface tests and penetrating liquids test, also activities that include the scaffolds construction, removal of insulations and welding polishing. The inspections in service every 18 months during the times outside of service are executed. One of the objectives is to lower the costs of the inspections during the times outside of service and to reduce the exposure to the radiation by part of the personnel during these times out for inspections, while it is increased or it maintains the personnel's safety and the reliability. As part of the methodology a pipe segment is selected for which a fault in any point has the same consequences, being calculated the fault probability of the tube using the dimensions of the segment. In this work the inspection in service methodology is applied based on risk to an aspersion system of low pressure of the Laguna Verde Nucleo electric Central. For this system a reduction in the number of welding to inspect of 103 to only 15 is obtained

  14. In-service inspection of nuclear power plants

    International Nuclear Information System (INIS)

    1991-01-01

    This Manual is intended to provide more comprehensive considerations on the management, organization, preparation, improvement and implementation of in-service inspection activities and the related surveillance. It also gives illustrative examples of good practices and recommendations from operating and other organizations that are consistent with the requirements and recommendations of the Code and Safety Guides. The Manual is directed primarily towards plant management. This Manual should be used in conjunction with the Code and the Safety Guides, in particular with IAEA Safety Series Nos. 50-C-O, 50-SG-O2, 50-SG-05, 50-SG-07, 50-SG-08 and 50-SG-D1, which contain recommendations of a general character about maintenance activities and radiation protection in an operating power plant, and with the 'Manual on the Maintenance of Systems and Components Important to Safety'. This Manual is divided into four technical sections. The first introduces the purpose, structure and main requirements of the programme. The second section describes constituents of the programme, recommending its scope, scheduling, acceptance standards and documentation of results. The following section goes into details of the inspection programme's contents, such as the selection of components, inspection locations, defect types, applicable techniques and procedures, and the evaluation of results. The last section specifies recommended methods and techniques for inspection, such as visual, ultrasonic, eddy current, magnetic particle and others. This main part of the Manual is complemented by a number of annexes which reproduce actual national examples of established procedures, ISI programme parts, acceptance standards, personnel training programmes, testing techniques and other aspects of in-service inspection, illustrating practical implementation of the recommendations of the Manual

  15. Auxiliary feedwater system risk-based inspection guide for the Ginna Nuclear Power Plant

    International Nuclear Information System (INIS)

    Pugh, R.; Gore, B.F.; Vo, T.V.; Moffitt, N.E.

    1991-09-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. Ginna was selected as the eighth plant for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the Ginna plant. 23 refs., 1 fig., 1 tab

  16. Pulse-echo ultrasonic inspection system for in-situ nondestructive inspection of Space Shuttle RCC heat shields.

    Energy Technology Data Exchange (ETDEWEB)

    Roach, Dennis Patrick; Walkington, Phillip D.; Rackow, Kirk A.

    2005-06-01

    The reinforced carbon-carbon (RCC) heat shield components on the Space Shuttle's wings must withstand harsh atmospheric reentry environments where the wing leading edge can reach temperatures of 3,000 F. Potential damage includes impact damage, micro cracks, oxidation in the silicon carbide-to-carbon-carbon layers, and interlaminar disbonds. Since accumulated damage in the thick, carbon-carbon and silicon-carbide layers of the heat shields can lead to catastrophic failure of the Shuttle's heat protection system, it was essential for NASA to institute an accurate health monitoring program. NASA's goal was to obtain turnkey inspection systems that could certify the integrity of the Shuttle heat shields prior to each mission. Because of the possibility of damaging the heat shields during removal, the NDI devices must be deployed without removing the leading edge panels from the wing. Recently, NASA selected a multi-method approach for inspecting the wing leading edge which includes eddy current, thermography, and ultrasonics. The complementary superposition of these three inspection techniques produces a rigorous Orbiter certification process that can reliably detect the array of flaws expected in the Shuttle's heat shields. Sandia Labs produced an in-situ ultrasonic inspection method while NASA Langley developed the eddy current and thermographic techniques. An extensive validation process, including blind inspections monitored by NASA officials, demonstrated the ability of these inspection systems to meet the accuracy, sensitivity, and reliability requirements. This report presents the ultrasonic NDI development process and the final hardware configuration. The work included the use of flight hardware and scrap heat shield panels to discover and overcome the obstacles associated with damage detection in the RCC material. Optimum combinations of custom ultrasonic probes and data analyses were merged with the inspection procedures needed to

  17. Acoustic Techniques for Structural Health Monitoring

    Science.gov (United States)

    Frankenstein, B.; Augustin, J.; Hentschel, D.; Schubert, F.; Köhler, B.; Meyendorf, N.

    2008-02-01

    Future safety and maintenance strategies for industrial components and vehicles are based on combinations of monitoring systems that are permanently attached to or embedded in the structure, and periodic inspections. The latter belongs to conventional nondestructive evaluation (NDE) and can be enhanced or partially replaced by structural health monitoring systems. However, the main benefit of this technology for the future will consist of systems that can be differently designed based on improved safety philosophies, including continuous monitoring. This approach will increase the efficiency of inspection procedures at reduced inspection times. The Fraunhofer IZFP Dresden Branch has developed network nodes, miniaturized transmitter and receiver systems for active and passive acoustical techniques and sensor systems that can be attached to or embedded into components or structures. These systems have been used to demonstrate intelligent sensor networks for the monitoring of aerospace structures, railway systems, wind energy generators, piping system and other components. Material discontinuities and flaws have been detected and monitored during full scale fatigue testing. This paper will discuss opportunities and future trends in nondestructive evaluation and health monitoring based on new sensor principles and advanced microelectronics. It will outline various application examples of monitoring systems based on acoustic techniques and will indicate further needs for research and development.

  18. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  19. Specific radiography technique

    International Nuclear Information System (INIS)

    Abdul Nassir Ibrahim; Azali Muhammad; Ab. Razak Hamzah; Abd. Aziz Mohamed; Mohamad Pauzi Ismail

    2008-01-01

    Beside radiography testing using x-ray machine and gamma source, there are several technique that developed specifically to complete the testing that cannot be done with the two earlier. This technique was specific based on several factor, for the example, the advantages of neutron and electron using to show the image was unique compare to x-ray and gamma. Besides that, these special radiography techniques maybe differ in how to detect the radiation get through the object. These technique can used to inspect thin or specimen that contained radioactive material. There are several technique will discussed in this chapter such as neutron radiography, electron radiography, fluoroscopy and also autoradiography.

  20. AECL experience in fuel channel inspection

    Energy Technology Data Exchange (ETDEWEB)

    Van Drunen, G. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada); Gunn, R. [Atomic Energy of Canada Limited, Mississauga, Ontario (Canada); Mayo, W.R. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada); Scott, D.A. [Atomic Energy of Canada Limited, Mississauga, Ontario (Canada)

    1999-06-01

    Inspection of CANDU fuel channels (FC) is performed to ensure safe and economic reactor operation. CANDU reactor FCs have features that make them a unique non-destructive testing (NDT) challenge. The thin, 4 mm pressure-tube wall means flaws down to about 0.1 mm deep must be reliably detected and characterized. This is one to two orders of magnitude smaller than is usually considered of significant concern for steel piping and pressure vessels. A second unique feature is that inspection sensors must operate in the reactor core--often within 20 cm of highly radioactive fuel. Work on inspection of CANDU reactor FCs at AECL dates back over three decades. In that time, AECL staff have provided equipment and conducted or supervised in-service inspections in about 250 FCs, in addition to over 8000 pre-service FCs. These inspections took place at every existing CANDU reactor except those in India and Romania. Early FC inspections focussed on measurement of changes in dimensions (gauging) resulting from exposure to a combination of neutrons, stress and elevated temperature. Expansion of inspection activities to include volumetric inspection (for flaws) started in the mid-1970s with the discovery of delayed hydride cracking in Pickering 3 and 4 rolled joints. Recognition of other types of flaw mechanisms in the 1980s led to further expansion in both pre-service and in-service inspections. These growing requirements, to meet regulatory as well as economic needs, led to the development of a wide spectrum of inspection technology that now includes tests for hydrogen concentration, structural integrity of core components, flaws, and dimensional change. This paper reviews current CANDU reactor FC inspection requirements. The equipment and techniques developed to satisfy these requirements are also described. The paper concludes with a discussion of work in progress in AECL aimed at providing state-of-the-art FC inspection services. (author)

  1. Siemens capabilities to perform detailed fuel inspections during short outages

    International Nuclear Information System (INIS)

    Knecht, K.; Reparaz, A.

    1999-01-01

    Fuel inspection data are used to support development activities such as corrosion resistant cladding and advanced fuel assembly designs that will reach higher burnups. Increased inspection efforts are necessary to optimize fuel management and performance strategies. Additionally, there is an increasing trend to reduce outage time in Germany and abroad. Siemens has recently developed several timesaving systems for rapid inspection of fuel assemblies and core components. Siemens' focus in developing these systems has been to obtain data in reduced reactor outage time while increasing both the volume and the quality of the measured data. Mast sipping for PWRs is used for identifying leaking fuel assemblies and allows early detection of leaks during downloading of the fuel assemblies from the reactor. An In-Core sipping system for BWRs based on a hood technique to allow testing a full core within 16 hours is under development. (authors)

  2. Automated visual inspection for polished stone manufacture

    Science.gov (United States)

    Smith, Melvyn L.; Smith, Lyndon N.

    2003-05-01

    Increased globalisation of the ornamental stone market has lead to increased competition and more rigorous product quality requirements. As such, there are strong motivators to introduce new, more effective, inspection technologies that will help enable stone processors to reduce costs, improve quality and improve productivity. Natural stone surfaces may contain a mixture of complex two-dimensional (2D) patterns and three-dimensional (3D) features. The challenge in terms of automated inspection is to develop systems able to reliably identify 3D topographic defects, either naturally occurring or resulting from polishing, in the presence of concomitant complex 2D stochastic colour patterns. The resulting real-time analysis of the defects may be used in adaptive process control, in order to avoid the wasteful production of defective product. An innovative approach, using structured light and based upon an adaptation of the photometric stereo method, has been pioneered and developed at UWE to isolate and characterize mixed 2D and 3D surface features. The method is able to undertake tasks considered beyond the capabilities of existing surface inspection techniques. The approach has been successfully applied to real stone samples, and a selection of experimental results is presented.

  3. Evaluation and improvement in nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Andersen, E.S.; Bowey, R.E.; Diaz, A.A.; Good, M.S.; Heasler, P.G.; Hockey, R.L.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Vo, T.V.

    1991-01-01

    This program is intended to establish the effectiveness, reliability and adequacy of inservice inspection of reactor pressure vessels and primary piping systems and the impact of ISI reliability on system integrity. The objectives of the program include: (a) determine the effectiveness and reliability of ultrasonic inservice inspection (ISI) performed on commercial, light water reactor pressure vessels and piping; (b) recommend Code changes to the inspection procedures to improve the reliability of ISI; (c) using fracture mechanics analysis, determine the impact of NDE unreliability on system safety and determine the level of inspection reliability required to assure a suitably low failure probability; (d) evaluate the degree of reliability improvement which could be achieved using improved NDE techniques; and (e) based on importance of component to safety, material properties, service conditions, and NDE uncertainties, formulate improved inservice inspection criteria (including sampling plan, frequency, and reliability of inspection) for revisions to ASME Section XI and regulatory requirements needed to assure suitably low failure probabilities

  4. Waste inspection tomography (WIT)

    Energy Technology Data Exchange (ETDEWEB)

    Bernardi, R.T. [Bio-Imaging Research, Inc., Lincolnshire, IL (United States)

    1995-10-01

    Waste Inspection Tomography (WIT) provides mobile semi-trailer mounted nondestructive examination (NDE) and assay (NDA) for nuclear waste drum characterization. WIT uses various computed tomography (CT) methods for both NDE and NDA of nuclear waste drums. Low level waste (LLW), transuranic (TRU), and mixed radioactive waste can be inspected and characterized without opening the drums. With externally transmitted x-ray NDE techniques, WIT has the ability to identify high density waste materials like heavy metals, define drum contents in two- and three-dimensional space, quantify free liquid volumes through density and x-ray attenuation coefficient discrimination, and measure drum wall thickness. With waste emitting gamma-ray NDA techniques, WIT can locate gamma emitting radioactive sources in two- and three-dimensional space, identify gamma emitting, isotopic species, identify the external activity levels of emitting gamma-ray sources, correct for waste matrix attenuation, provide internal activity approximations, and provide the data needed for waste classification as LLW or TRU.

  5. Waste inspection tomography (WIT)

    International Nuclear Information System (INIS)

    Bernardi, R.T.

    1995-01-01

    Waste Inspection Tomography (WIT) provides mobile semi-trailer mounted nondestructive examination (NDE) and assay (NDA) for nuclear waste drum characterization. WIT uses various computed tomography (CT) methods for both NDE and NDA of nuclear waste drums. Low level waste (LLW), transuranic (TRU), and mixed radioactive waste can be inspected and characterized without opening the drums. With externally transmitted x-ray NDE techniques, WIT has the ability to identify high density waste materials like heavy metals, define drum contents in two- and three-dimensional space, quantify free liquid volumes through density and x-ray attenuation coefficient discrimination, and measure drum wall thickness. With waste emitting gamma-ray NDA techniques, WIT can locate gamma emitting radioactive sources in two- and three-dimensional space, identify gamma emitting, isotopic species, identify the external activity levels of emitting gamma-ray sources, correct for waste matrix attenuation, provide internal activity approximations, and provide the data needed for waste classification as LLW or TRU

  6. The ASME research task force on risk-based in-service inspection

    International Nuclear Information System (INIS)

    Balkey, K.R.; Chapman, O.J.V.

    1997-01-01

    The use of risk-based methods in the development of in-service inspection (ISI) and in-service testing (IST) programs for nuclear power plant and other industrial applications has been studied for the last several years through the American Society of Mechanical Engineers Centre for Research and Technology Development (ASME 1991, 1992, 1994, 1996). The results of this work are being used as a foundation to develop specific requirements for implementation of risk-based technology in ASME Codes and Standards, regulatory requirements and industry programs both in the U.S. and other countries. This paper provides a brief overview of the ASME Research Methodology and how it has been adapted for application to the inspection of piping within the USA. It also relates how the reliability of nondestructive examination (NDE) methods for pressure boundary components can impact the risk and discusses the relationship between this and NDE qualification/demonstration now being implemented in Europe and the USA. (orig.)

  7. A delay time model for a mission-based system subject to periodic and random inspection and postponed replacement

    International Nuclear Information System (INIS)

    Yang, Li; Ma, Xiaobing; Zhai, Qingqing; Zhao, Yu

    2016-01-01

    We propose an inspection and replacement policy for a single component system that successively executes missions with random durations. The failure process of the system can be divided into two states, namely, normal and defective, following the delay time concept. Inspections are carried out periodically and immediately after the completion of each mission (random inspections). The failed state is always identified immediately, whereas the defective state can only be revealed by an inspection. If the system fails or is defective at a periodic inspection, then replacement is immediate. If, however, the system is defective at a random inspection, then replacement will be postponed if the time to the subsequent periodic inspection is shorter than a pre-determined threshold, and immediate otherwise. We derive the long run expected cost per unit time and then investigate the optimal periodic inspection interval and postponement threshold. A numerical example is presented to demonstrate the applicability of the proposed maintenance policy. - Highlights: • A delay time model of inspection is introduced for mission-based systems. • Periodic and random inspections are performed to check the state. • Replacement of the defective system at a random inspection can be postponed.

  8. Development of a risk-based inservice inspection program for a liquid metal reactor

    International Nuclear Information System (INIS)

    King, R.W.; Buschman, H.W.

    1996-01-01

    The emerging application of risk-based assessment technology to the operation and maintenance of nuclear power plants holds considerable promise for improving efficiency and reducing operating costs. EBR-II is liquid-metal-cooled fast reactor which operated for thirty years before shutting down in September 1994 due to program termination. Prior to the shutdown of EBR-II, an in-service inspection (ISI) program was developed that exploited certain advantages of the liquid-metal reactor design, e.g., demonstrated passive response to plant upset events, low pressure primary coolant and compatibility of the coolant and reactor materials. Many of the systems cannot be inspected due to inaccessibility of the components. However, application of a risk-based approach provided the basis for reducing or eliminating inspections in some areas that would otherwise be required. Development and implementation of the risk-based ISI program was interrupted by the DOE-mandated shutdown of EBR-II, so the potential benefits of this approach in terms of reduced O and M costs have yet to be realized. Through the development of this program, however it is clear that there is potential for substantial cost-savings while improving the risk-profile of the facility through this approach

  9. Evaluation and improvement of nondestructive evaluation reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Bates, D.J.; Deffenbaugh, J.D.; Good, M.S.; Heasler, P.G.; Mart, G.A.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Van Fleet, L.G.

    1987-01-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection (ISI) of Light Water Reactors (NDE Reliability) Program at Pacific Northwest Laboratory (PNL) was established to determine the reliability of current ISI techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this NRC program are to: determine the reliability of ultrasonic ISI performed on commercial light-water reactor (LWR) primary systems, using probabilistic fracture mechanics analysis, determine the impact of NDE unreliability on system safety and determine the level of inspection reliability required to ensure a suitably low failure probability, evaluate the degree of reliability improvement that could be achieved using improved and advanced NDE techniques, based on material properties, service conditions, and NDE uncertainties, recommend revisions to ASME Code, Section XI, and Regulatory Requirements that will ensure suitably low failure probabilities. The scope of this program is limited to ISI of primary systems; the results and recommendations may also be applicable to Class II piping systems

  10. Auxiliary feedwater system risk-based inspection guide for the North Anna nuclear power plants

    International Nuclear Information System (INIS)

    Nickolaus, J.R.; Moffitt, N.E.; Gore, B.F.; Vo, T.V.

    1992-10-01

    In a study sponsored by the US Nuclear regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. North Anna was selected as a plant for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by the NRC inspectors in preparation of inspection plans addressing AFW risk important components at the North Anna plant

  11. A Steel Ball Surface Quality Inspection Method Based on a Circumferential Eddy Current Array Sensor.

    Science.gov (United States)

    Zhang, Huayu; Xie, Fengqin; Cao, Maoyong; Zhong, Mingming

    2017-07-01

    To efficiently inspect surface defects on steel ball bearings, a new method based on a circumferential eddy current array (CECA) sensor was proposed here. The best probe configuration, in terms of the coil quality factor (Q-factor), magnetic field intensity, and induced eddy current density on the surface of a sample steel ball, was determined using 3-, 4-, 5-, and 6-coil probes, for analysis and comparison. The optimal lift-off from the measured steel ball, the number of probe coils, and the frequency of excitation current suitable for steel ball inspection were obtained. Using the resulting CECA sensor to inspect 46,126 steel balls showed a miss rate of ~0.02%. The sensor was inspected for surface defects as small as 0.05 mm in width and 0.1 mm in depth.

  12. Ultrasonic techniques validation on shell

    International Nuclear Information System (INIS)

    Navarro, J.; Gonzalez, E.

    1998-01-01

    Due to the results obtained in several international RRT during the 80's, it has been necessary to prove the effectiveness of the NDT techniques. For this reason it has been imperative to verify the goodness of the Inspection Procedure over different mock-ups, representative of the inspection area and with real defects. Prior to the revision of the inspection procedure and with the aim of updating the techniques used, it is a good practice to perform different scans on the mock-ups until the validation is achieved. It is at this point, where all the parameters of the inspection at hands are defined; transducer, step, scan direction,... and what it's more important, it will be demonstrated that the technique to be used for the area required to inspection is suitable to evaluate the degradation phenomena that could appear. (Author)

  13. Advances in technologies for feeder pipe inspections

    Energy Technology Data Exchange (ETDEWEB)

    Ten Grotenhuis, R.; Verma, Y.; Hitchcox, T.; Sakuta, A. [Ontario Power Generation, Inspection and Maintenance Div., Toronto, Ontario (Canada)

    2014-07-15

    The successful development of the Matrix Inspection Technique (MIT) for feeder weld area applications has been followed up with a project to leverage the technology to address other aspects of feeder inspection. The goal of the project is to adapt the technology to provide full circumferential inspection of feeder pipes for FAC thinning and, potentially, for axial cracking. The project necessitated evolving a new generation of high speed, high element count data acquisition instruments. It also required the development of custom inspection arrays, innovative approaches to sealing the water column, use of inertial motion sensors to synthesize encoder inputs, real-time visual feedback for the operator, and enhanced automated analysis software capable of plotting the inspected configuration in 3D. The individual components of the system are currently being integrated into a whole. The results obtained to date demonstrate the approach to be fundamentally sound. (author)

  14. Remote inspection of steam turbine blades

    International Nuclear Information System (INIS)

    Anon.

    1987-01-01

    During the past five years Reinhart and Associates, Inc. has been involved in remote examination of L-0 and L-1 steam turbine blade rows of in-place LP turbines using visual and eddy current techniques. These tests have concentrated on the trailing edge and blade-to-rotor attachment (Christmas tree) areas. These remote nondestructive examinations were performed through hand access ports of the inner shell. Since the remote scanning system was in a prototype configuration, the inspection was highly operator-dependent. Refinement of the scanning equipment would considerably improve the efficiency of the test; however, the feasibility of remote in-place inspection of turbine blades was established. To further improve this technology, and to provide for remote inspection of other areas of the blade and additional turbine designs, EPRI is funding a one-year project with Reinhart and Associates, Inc. This project will develop a new system that employs state-of-the-art multifrequency eddy current techniques, a miniature charged coupled device (CCD) television camera, and remote positioning equipment. Project results from the first six months are presented

  15. Non-Destructive Techniques Based on Eddy Current Testing

    Science.gov (United States)

    García-Martín, Javier; Gómez-Gil, Jaime; Vázquez-Sánchez, Ernesto

    2011-01-01

    Non-destructive techniques are used widely in the metal industry in order to control the quality of materials. Eddy current testing is one of the most extensively used non-destructive techniques for inspecting electrically conductive materials at very high speeds that does not require any contact between the test piece and the sensor. This paper includes an overview of the fundamentals and main variables of eddy current testing. It also describes the state-of-the-art sensors and modern techniques such as multi-frequency and pulsed systems. Recent advances in complex models towards solving crack-sensor interaction, developments in instrumentation due to advances in electronic devices, and the evolution of data processing suggest that eddy current testing systems will be increasingly used in the future. PMID:22163754

  16. Risk-based prioritization and its application to inspection of valves in the water sector

    International Nuclear Information System (INIS)

    Marlow, David R.; Beale, David J.; Mashford, John S.

    2012-01-01

    Isolation valves facilitate the effective operation and maintenance of water supply networks, but their sheer number presents a significant asset management challenge. If left unmanaged, valve reliability issues can become widespread. Inspections provide a means of increasing reliability, but a survey of industry practices indicated that some utilities did not have such a program in place. To improve asset management and reduce business risk exposure, such utilities need an effective means of commencing inspection programs. From a theoretical perspective, risk concepts provide a means of optimizing maintenance effort. However, in the face of poor data on reliability or condition, pragmatic approaches to risk-based prioritization are needed. One such approach, risk indexing, is considered in this paper. Background on the research is presented, including the application of risk-based inspection concepts within the water sector. The development of a risk indexing scheme is then investigated, drawing on two industry workshops in which the analytical hierarchy process was used to set relative weights. It is concluded that risk indexing provides the basis for a rational prioritization process in the absence of data on valve reliability or condition. - Highlights: ► Importance of valve inspections to water network reliability. ► Theoretical perspective of risk concepts that provide a means of optimizing inspection programs. ► Pragmatic approaches to prioritization in light of poor valve data. ► Development and assessment of a risk index scheme. ► Use of the analytical hierarchy process to set relative weights of risk factors.

  17. A real-time surface inspection system for precision steel balls based on machine vision

    Science.gov (United States)

    Chen, Yi-Ji; Tsai, Jhy-Cherng; Hsu, Ya-Chen

    2016-07-01

    Precision steel balls are one of the most fundament components for motion and power transmission parts and they are widely used in industrial machinery and the automotive industry. As precision balls are crucial for the quality of these products, there is an urgent need to develop a fast and robust system for inspecting defects of precision steel balls. In this paper, a real-time system for inspecting surface defects of precision steel balls is developed based on machine vision. The developed system integrates a dual-lighting system, an unfolding mechanism and inspection algorithms for real-time signal processing and defect detection. The developed system is tested under feeding speeds of 4 pcs s-1 with a detection rate of 99.94% and an error rate of 0.10%. The minimum detectable surface flaw area is 0.01 mm2, which meets the requirement for inspecting ISO grade 100 precision steel balls.

  18. Validating eddy current array probes for inspecting steam generator tubes

    International Nuclear Information System (INIS)

    Sullivan, S.P.; Cecco, V.S.; Obrutsky, L.S.

    1997-01-01

    A CANDU nuclear reactor was shut down for over one year because steam generator (SG) tubes had failed with outer diameter stress-corrosion cracking (ODSCC) in the U-bend section. Novel, single-pass eddy current transmit-receive probes, denoted as C3, were successful in detecting all significant cracks so that the cracked tubes could be plugged and the unit restarted. Significant numbers of tubes with SCC were removed from a SG in order to validate the results of the new probe. Results from metallurgical examinations were used to obtain probability-of-detection (POD) and sizing accuracy plots to quantify the performance of this new inspection technique. Though effective, the above approach of relying on tubes removed from a reactor is expensive, in terms of both economic and radiation-exposure costs. This led to a search for more affordable methods to validate inspection techniques and procedures. Methods are presented for calculating POD curves based on signal-to-noise studies using field data. Results of eddy current scans of tubes with laboratory-induced ODSCC are presented with associated POD curves. These studies appear promising in predicting realistic POD curves for new inspection technologies. They are being used to qualify an improved eddy current array probe in preparation for field use. (author)

  19. Longitudinal wave ultrasonic inspection of austenitic weldments

    International Nuclear Information System (INIS)

    Gray, B.S.; Hudgell, R.J.; Seed, H.

    1980-01-01

    Successful volumetric inspection of LMFBR primary circuits, and also much of the secondary circuit, is dependent on the availability of satisfactory examination procedures for austenitic welds. Application of conventional ultrasonic techniques is hampered by the anisotropic, textured structure of the weld metal and this paper describes development work on the use of longitudinal wave techniques. In addition to confirming the dominant effects of the weld structure on ultrasound propagation some results are given of studies utilising deliberately induced defects in Manual Metal Arc Welds in 50 mm plate together with preliminary work on the inspection of narrow austenitic welds fabricated by automatic processes. (author)

  20. Development of a Multi-Channel Ultrasonic Testing System for Automated Ultrasonic Pipe Inspection of Nuclear Power Plant

    International Nuclear Information System (INIS)

    Lee, Hee Jong; Cho, Chan Hee; Cho, Hyun Joon

    2009-01-01

    Currently almost all in-service-inspection techniques, applied in domestic nuclear power plants, are partial to field inspection technique. These kinds of techniques are related to managing nuclear power plants by the operation of foreign-produced inspection devices. There have been so many needs for development of native in-service-inspection device because there is no native diagnosis device for nuclear power plant inspection yet in Korea. In this research, we developed several core techniques to make an automated ultrasonic pipe inspection system for nuclear power plants. A high performance multi-channel ultrasonic pulser/receiver module, an A/D converter module and a digital main CPU module were developed and the performance of the developed modules was verified. The S/N ratio, noise level and signal acquisition performance of the developed modules showed proper level as we designed in the beginning.

  1. Final Report Inspection of Aged/Degraded Containments Program.

    Energy Technology Data Exchange (ETDEWEB)

    Naus, Dan J [ORNL; Ellingwood, B R [Georgia Institute of Technology; Oland, C Barry [ORNL

    2005-09-01

    The Inspection of Aged/Degraded Containments Program had primary objectives of (1) understanding the significant factors relating corrosion occurrence, efficacy of inspection, and structural capacity reduction of steel containments and liners of reinforced concrete containments; (2) providing the United States Nuclear Regulatory Commission (USNRC) reviewers a means of establishing current structural capacity margins or estimating future residual structural capacity margins for steel containments, and concrete containments as limited by liner integrity; (3) providing recommendations, as appropriate, on information to be requested of licensees for guidance that could be utilized by USNRC reviewers in assessing the seriousness of reported incidences of containment degradation; and (4) providing technical assistance to the USNRC (as requested) related to concrete technology. Primary program accomplishments have included development of a degradation assessment methodology; reviews of techniques and methods for inspection and repair of containment metallic pressure boundaries; evaluation of high-frequency acoustic imaging, magnetostrictive sensor, electromagnetic acoustic transducer, and multimode guided plate wave technologies for inspection of inaccessible regions of containment metallic pressure boundaries; development of a continuum damage mechanics-based approach for structural deterioration; establishment of a methodology for reliability-based condition assessments of steel containments and liners; and fragility assessments of steel containments with localized corrosion. In addition, data and information assembled under this program has been transferred to the technical community through review meetings and briefings, national and international conference participation, technical committee involvement, and publications of reports and journal articles. Appendix A provides a listing of program reports, papers, and publications; and Appendix B contains a listing of

  2. Proceedings of the specialists' meeting on reliability of the ultrasonic inspection of austenitic materials

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1980-07-01

    The contributions of this meeting addressed several topics: the fundamentals of ultrasonic examination of austenitic materials (effect of anisotropy on propagation, improvement of ultrasonic testing to thick bimetallic welds, aspects of the ultrasonic testing of austenitic steel structures, utilization of a Fisher linear discriminant function in intergranular stress corrosion cracking or IGSCC detection, case of coarse grain austenitic welds, efforts of the Argonne National Laboratory), instruments and methods (longitudinal wave ultrasonic inspection, Grass echo suppression technique during the ultrasonic inspection of fuel cladding tubes, inspections of fillet and butt welds, improvement by signal averaging techniques, multiple bearing angle crack detector for cladded pipes examinations, flow-to-grain echo enhancement by split-spectrum processing, ultrasonic imaging techniques, ultrasonic inspection of pipe weldments for IGSCC), industrial practice (ultrasonic testing techniques for fabrication and in-service inspection, experiences in ultrasonic examination of austenitic steel components, experience and practice on nuclear piping in Spain, detection of underclad defects, sizing of cracks perpendicular to stainless overlay), and reliability (survey of ultrasonic testing in austenitic weld material, examination of electron beam welds, factors affecting the reliability of ultrasonic examination, detectability of IGSCC, ultrasonic inspection reliability for primary piping systems)

  3. Proceedings of the specialists' meeting on reliability of the ultrasonic inspection of austenitic materials

    International Nuclear Information System (INIS)

    1980-01-01

    The contributions of this meeting addressed several topics: the fundamentals of ultrasonic examination of austenitic materials (effect of anisotropy on propagation, improvement of ultrasonic testing to thick bimetallic welds, aspects of the ultrasonic testing of austenitic steel structures, utilization of a Fisher linear discriminant function in intergranular stress corrosion cracking or IGSCC detection, case of coarse grain austenitic welds, efforts of the Argonne National Laboratory), instruments and methods (longitudinal wave ultrasonic inspection, Grass echo suppression technique during the ultrasonic inspection of fuel cladding tubes, inspections of fillet and butt welds, improvement by signal averaging techniques, multiple bearing angle crack detector for cladded pipes examinations, flow-to-grain echo enhancement by split-spectrum processing, ultrasonic imaging techniques, ultrasonic inspection of pipe weldments for IGSCC), industrial practice (ultrasonic testing techniques for fabrication and in-service inspection, experiences in ultrasonic examination of austenitic steel components, experience and practice on nuclear piping in Spain, detection of underclad defects, sizing of cracks perpendicular to stainless overlay), and reliability (survey of ultrasonic testing in austenitic weld material, examination of electron beam welds, factors affecting the reliability of ultrasonic examination, detectability of IGSCC, ultrasonic inspection reliability for primary piping systems)

  4. Experience on inspection at PFPF

    International Nuclear Information System (INIS)

    Aoki, I.; Yamamoto, Y.; Takahashi, Saburo; Ooshima, Hirofumi; Kuniyasu, Kazufusa.

    1993-01-01

    In order to reduce a personal radiation exposure, Plutonium Fuel Production Facility (PFPF) introduced an automated MOX fabrication technology. Safeguards system for the PFPF was designed and installed so as to be compatible with automated process operation as much as possible. Introduction of these system in PFPF made possible to do the inspection measurements with unattended mode and Near Real Time Material Accountancy (NRTA), consequently inspection has been carrying out effectively and efficiently. This paper describes the new Inspection activities as a comparison with old Inspection activities based on our experience. (author)

  5. In service inspection for Superphenix vessels development of ultrasonic techniques available at high temperature

    International Nuclear Information System (INIS)

    Gondard, C.

    1983-12-01

    The main and safety vessels of SUPERPHENIX 1 were designed to allow in-service inspections. The remote controlled inspection device MIR was developped for this purpose. The ultrasonic examination has required the development of all new transducers fitted with severe operating conditions prevailing in intervessels interval. A list of problems to be resolved and technological solutions which were found is given. Measurements of acoustical properties on actual probes are compared with theoretical values. It appears that concordance is good and that an in-service inspection using high temperature transducers is possible with a good spatial resolution and signal to noise ratio

  6. Detecting accuracy of flaws by manual and automatic ultrasonic inspections

    International Nuclear Information System (INIS)

    Iida, K.

    1988-01-01

    As the final stage work in the nine year project on proving tests of the ultrasonic inspection technique applied to the ISI of LWR plants, automatic ultrasonic inspection tests were carried out on EDM notches, surface fatigue cracks, weld defects and stress corrosion cracks, which were deliberately introduced in full size structural components simulating a 1,100 MWe BWR. Investigated items are the performance of a newly assembled automatic inspection apparatus, detection limit of flaws, detection resolution of adjacent collinear or parallel EDM notches, detection reproducibility and detection accuracy. The manual ultrasonic inspection of the same flaws as inspected by the automatic ultrasonic inspection was also carried out in order to have comparative data. This paper reports how it was confirmed that the automatic ultrasonic inspection is much superior to the manual inspection in the flaw detection rate and in the detection reproducibility

  7. A Steel Ball Surface Quality Inspection Method Based on a Circumferential Eddy Current Array Sensor

    Directory of Open Access Journals (Sweden)

    Huayu Zhang

    2017-07-01

    Full Text Available To efficiently inspect surface defects on steel ball bearings, a new method based on a circumferential eddy current array (CECA sensor was proposed here. The best probe configuration, in terms of the coil quality factor (Q-factor, magnetic field intensity, and induced eddy current density on the surface of a sample steel ball, was determined using 3-, 4-, 5-, and 6-coil probes, for analysis and comparison. The optimal lift-off from the measured steel ball, the number of probe coils, and the frequency of excitation current suitable for steel ball inspection were obtained. Using the resulting CECA sensor to inspect 46,126 steel balls showed a miss rate of ~0.02%. The sensor was inspected for surface defects as small as 0.05 mm in width and 0.1 mm in depth.

  8. Nondestructive Examination (NDE) Reliability for Inservice Inspection of Light Water Reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Diaz, A.A.; Friley, J.R.; Good, M.S.; Greenwood, M.S.; Heasler, P.G.; Hockey, R.L.; Kurtz, R.J.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Vo, T.V.

    1992-07-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWR's); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to the Regulatory and ASME Code requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other components inspected in accordance with Section XI of the ASME Code. This is a progress report covering the programmatic work from April 1991 through September 1991

  9. Nondestructive Examination (NDE) Reliability for Inservice Inspection of Light Water Reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Diaz, A.A.; Friley, J.R.; Greenwood, M.S.; Heasler, P.G.; Kurtz, R.J.; Simonen, F.A.; Spanner, J.C.; Vo, T.V.

    1993-11-01

    The Evaluation and Improvement of NDE Reliability for Inservice inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs);using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to the Regulatory and ASME Code requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel and other components inspected in accordance with Section XI of the ASME Code. This is a programs report covering the programmatic work from April 1992 through September 1992

  10. Nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Vo, T.V.

    1991-08-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) Program at the Pacific Northwest Laboratory was established by the Nuclear Regulatory Commission to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other components inspected in accordance with Section 6 of the ASME Code. This is a progress report covering the pro grammatic work from April 1989 through September 1989. 12 refs., 4 figs. 5 tabs

  11. Development of nondestructive evaluation techniques for DAM inspection. Progress report, January 1995 through August 1997

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A. E.; Thomas, G.H.

    1997-09-04

    The Lawrence Livermore National Laboratory has concluded a two and a half year study on the development of an ultrasonic inspection system to inspect post stressed steel tendons on dams and flood gates. The inspection systems were part of a program for the California Department of Water Resources. The effort included the identification of the location and amount of corrosion damage to the tendons, identification of the cause of corrosion, and the technology for inhibiting corrosion. Several NDE methods for inspecting and quantifying damage to steel reinforced concrete water pipes were investigated and presented to the DWR for their consideration. The additional methods included Ground Penetrating RADAR, Electro- Potential Measurements, Infrared Technology, Pipe Inspection Crawlers (designed to travel inside pipelines and simultaneously report on the pipe condition as viewed by ultrasonic methods and video cameras from within the pipeline.) Reference to consultants hired by LLNL for similar on-site corrosion inspections were given to the DWR. The LLNL research into industries that have products to prevent corrosion resulted in the identification of an Innsbruck, Austria, company. This company claims to have products to permanently protect post- or pre-stressed tendons. The caveat is that the tendon protection system must be installed when the tendons are installed because no retrofit is available. Corrosion mitigation on the steel reinforcements surrounding the concrete was addressed through active and passive cathodic protection schemes. The combination of corrosion and erosion were addressed during consideration for the inspection of water-pump impeller-blades that are used in the three stage, million horsepower, pumping stations at Edmunston.

  12. Magnox Electric Littlebrook reactor inspection and repair rehearsal facility

    International Nuclear Information System (INIS)

    Barnes, S.A.; Clayton, R.; Gaydon, B.G.; Ramsey, B.H.

    1996-01-01

    Magnox reactors, although designed to be maintenance free during their operational life, have nevertheless highlighted the need for test rig facilities to train operators in the methods and techniques of reactor inspection and repair. The history of the facility for reactor engineering development (FRED) is described and its present role as a repair rehearsal facility noted. Advances in computer graphics may, in future, mean that such operator training will be virtual reality rather than analog reality based; however the need for such rigs to commission techniques and equipment and to establish performance and reliability is likely to continue. (UK)

  13. Applied algorithm in the liner inspection of solid rocket motors

    Science.gov (United States)

    Hoffmann, Luiz Felipe Simões; Bizarria, Francisco Carlos Parquet; Bizarria, José Walter Parquet

    2018-03-01

    In rocket motors, the bonding between the solid propellant and thermal insulation is accomplished by a thin adhesive layer, known as liner. The liner application method involves a complex sequence of tasks, which includes in its final stage, the surface integrity inspection. Nowadays in Brazil, an expert carries out a thorough visual inspection to detect defects on the liner surface that may compromise the propellant interface bonding. Therefore, this paper proposes an algorithm that uses the photometric stereo technique and the K-nearest neighbor (KNN) classifier to assist the expert in the surface inspection. Photometric stereo allows the surface information recovery of the test images, while the KNN method enables image pixels classification into two classes: non-defect and defect. Tests performed on a computer vision based prototype validate the algorithm. The positive results suggest that the algorithm is feasible and when implemented in a real scenario, will be able to help the expert in detecting defective areas on the liner surface.

  14. Analysis of risk of nonconformities and applied quality inspection methods in the process of aluminium profiles coating based on FMEA results

    Directory of Open Access Journals (Sweden)

    Krzysztof Knop

    2017-10-01

    Full Text Available The article presents the results of risk analysis associated with nonconformities of aluminium profiles in the process of coating and quality inspection methods used to their detection. Analysis of risk was done based on results of FMEA method. Evaluated quality inspection methods were distinguished based on the term of inspection in the ISO 9000:2005 norm. Manufacturing process of aluminium profile in micro-technological approach was presented. Triple quantification of nonconformities risk based on the FMEA method by using three different approaches was conducted. Analysis of nonconformities risks associated with the use of specific quality inspection methods was done. In the last part the analysis of causes of critical nonconformities, proposals for improvement actions reducing the risk of the critical nonconformities and applied critical quality inspection method were showed.

  15. Automatic visual inspection of metallic surfaces

    International Nuclear Information System (INIS)

    Pernkopf, F.

    2002-02-01

    This thesis is concerned with the objectives of automatic visual inspection of metallic surfaces and involves two major parts. The first part covers three different imaging techniques, gray-level intensity imaging, light sectioning, and photometric stereo. These imaging principles more or less strongly rely on the reflection property of the surface. Therefore, a reflection model for machine vision is introduced. The second part concentrates on the analysis of the gathered data in regard to the detection and classification of surface defects. Additionally, the evaluation of genetic algorithms with a novel encoding scheme and a large number of published sequential feature selection algorithms for selection of the subset of features achieving the best classification rate is included. The genetic algorithms and the adaptive sequential forward floating selection method achieve similar results in performance and computational efficiency. Finally, the results of feature selection and classification of 540 flaw images are presented, whereby different classification approaches such as parametric classifiers, the k-nearest-neighbor decision rule, the naive Bayes classifier, and the tree augmented naive Bayes classifier were compared. For learning the structure of the augmented naive Bayes network a new approach similar to the sequential floating algorithm is presented which achieves a higher classification accuracy than hill climbing search. Basically, the introduced techniques are applied to two fundamentally different applications, whereby the experimental results of both, inspection of high-precision surfaces such as bearing rolls and flaw detection on partially scale-covered steel blocks, are presented. For the inspection of bearing rolls, the surface reflectance properties are modeled and verified with optical experiments. The aim is to determine the optical arrangement for illumination and observation, where the contrast between errors and intact surface is maximized

  16. Double-shell tank ultrasonic inspection plan. Revision 1

    International Nuclear Information System (INIS)

    Pfluger, D.C.

    1994-01-01

    The waste tank systems managed by the Tank Waste Remediation System Division of Westinghouse Hanford Company includes 28 large underground double-shell tanks (DST) used for storing hazardous radioactive waste. The ultrasonic (UT) inspection of these tanks is part of their required integrity assessment (WAC 1993) as described in the tank systems integrity assessment program plan (IAPP) (Pfluger 1994a) submitted to the Ecology Department of the State of Washington. Because these tanks hold radioactive waste and are located underground examinations and inspections must be done remotely from the tank annuli with specially designed equipment. This document describes the UT inspection system (DSTI system), the qualification of the equipment and procedures, field inspection readiness, DST inspections, and post-inspection activities. Although some of the equipment required development, the UT inspection technology itself is the commercially proven and available projection image scanning technique (P-scan). The final design verification of the DSTI system will be a performance test in the Hanford DST annulus mockup that includes the demonstration of detecting and sizing corrosion-induced flaws

  17. Applications of the phased array technique

    International Nuclear Information System (INIS)

    Erhard, A.; Schenk, G.; Hauser, Th.; Voelz, U.

    1999-01-01

    The application of the phased array technique was limited to heavy and thick wall components as present in the nuclear industry. With the improvement of the equipment and probes other application areas are now open for the phased array technique, e.g. the inspection of the turbine blade root, weld inspection in a wall thickness range between 12 and 40 mm, inspection of aircraft components, inspection of spot welds or inspection of concretes. The aim of the use of phased array techniques has not been changed related to the first applications, i.e. the adaptation of the sound beam to the geometry by steering the angel of incidence or the skewing angle as well as the focussing of sound fields. Due to the fact, that the new applications of the phased array techniques in some cases don't leave the laboratories for the time being, the examples of this contribution will focus applications with practical background. (orig.)

  18. Machine vision based quality inspection of flat glass products

    Science.gov (United States)

    Zauner, G.; Schagerl, M.

    2014-03-01

    This application paper presents a machine vision solution for the quality inspection of flat glass products. A contact image sensor (CIS) is used to generate digital images of the glass surfaces. The presented machine vision based quality inspection at the end of the production line aims to classify five different glass defect types. The defect images are usually characterized by very little `image structure', i.e. homogeneous regions without distinct image texture. Additionally, these defect images usually consist of only a few pixels. At the same time the appearance of certain defect classes can be very diverse (e.g. water drops). We used simple state-of-the-art image features like histogram-based features (std. deviation, curtosis, skewness), geometric features (form factor/elongation, eccentricity, Hu-moments) and texture features (grey level run length matrix, co-occurrence matrix) to extract defect information. The main contribution of this work now lies in the systematic evaluation of various machine learning algorithms to identify appropriate classification approaches for this specific class of images. In this way, the following machine learning algorithms were compared: decision tree (J48), random forest, JRip rules, naive Bayes, Support Vector Machine (multi class), neural network (multilayer perceptron) and k-Nearest Neighbour. We used a representative image database of 2300 defect images and applied cross validation for evaluation purposes.

  19. Automated PCB Inspection System

    Directory of Open Access Journals (Sweden)

    Syed Usama BUKHARI

    2017-05-01

    Full Text Available Development of an automated PCB inspection system as per the need of industry is a challenging task. In this paper a case study is presented, to exhibit, a proposed system for an immigration process of a manual PCB inspection system to an automated PCB inspection system, with a minimal intervention on the existing production flow, for a leading automotive manufacturing company. A detailed design of the system, based on computer vision followed by testing and analysis was proposed, in order to aid the manufacturer in the process of automation.

  20. Inspection of nuclear reactor welding by acoustic emission

    International Nuclear Information System (INIS)

    Prine, D.W.

    1977-01-01

    The objective of the work described is to evaluate in-process acoustic emission weld monitoring with the goal of upgrading the inspection techniques for assuring better weld quality in nuclear reactor piping and pressure vessels. To accomplish this overall objective, the following specific goals have been set within a three year program: (1) prove the feasibility of in-process AE under shop conditions and validate the AE findings by currently acceptable NDE techniques; (2) develop and build a prototype monitor for use in Nuclear Fabrication shops; and (3) provide data for NRC/ASME acceptance of the inspection practice

  1. Electrical Distribution System Functional Inspection (EDSFI) data base program

    International Nuclear Information System (INIS)

    Gautam, A.

    1993-01-01

    This document describes the organization, installation procedures, and operating instructions for the database computer program containing inspection findings from the US Nuclear Regulatory Commission's (NRC's) Electrical Distribution System Functional Inspections (EDSFIs). The program enables the user to search and sort findings, ascertain trends, and obtain printed reports of the findings. The findings include observations, unresolved issues, or possible deficiencies in the design and implementation of electrical distribution systems in nuclear plants. This database will assist those preparing for electrical inspections, searching for deficiencies in a plant, and determining the corrective actions previously taken for similar deficiencies. This database will be updated as new EDSFIs are completed

  2. Holographic inspection of nuclear plant

    International Nuclear Information System (INIS)

    Gordon, A.L.; Armour, I.A.; Glanville, R.; Malcolm, G.J.; Wright, D.G.

    1988-01-01

    The high resolution, enormous depth of field and high tolerance to radiation of holography mean that it has great potential as an inspection tool in the nuclear industry. In addition, the ability of double-pulse holography to yield detailed information on vibration over the whole field of both large and small structures provides measurements that often cannot be obtained in any other way. This paper reviews the development of equipment for the holographic inspection of nuclear fuel elements; a portable holocamera for use inside reactors; and the application of holographic techniques for vibration measurements in a nuclear power station. (author)

  3. Feature-based tolerancing for intelligent inspection process definition

    International Nuclear Information System (INIS)

    Brown, C.W.

    1993-07-01

    This paper describes a feature-based tolerancing capability that complements a geometric solid model with an explicit representation of conventional and geometric tolerances. This capability is focused on supporting an intelligent inspection process definition system. The feature-based tolerance model's benefits include advancing complete product definition initiatives (e.g., STEP -- Standard for Exchange of Product model dam), suppling computer-integrated manufacturing applications (e.g., generative process planning and automated part programming) with product definition information, and assisting in the solution of measurement performance issues. A feature-based tolerance information model was developed based upon the notion of a feature's toleranceable aspects and describes an object-oriented scheme for representing and relating tolerance features, tolerances, and datum reference frames. For easy incorporation, the tolerance feature entities are interconnected with STEP solid model entities. This schema will explicitly represent the tolerance specification for mechanical products, support advanced dimensional measurement applications, and assist in tolerance-related methods divergence issues

  4. Advances based on digital technology named TEDDY+ for inspection of eddy current

    International Nuclear Information System (INIS)

    Barcenilla, V.; Hernandez, J.; Ribes, B.

    2007-01-01

    As a result of the recent developing line of eddy current data acquisition system a new product based on digital technology named TEDDY+, comes to the market. With only 4 kg of weight constitutes a great advance with regards to other equipment's available in the market. These advances, have enabled, among others, to increase considerably the signal to noise (S/N) ratio, avoid typical saturation problems in the eddy current signal, increase the inspection speed, eliminate the reference probe, detect the air/tube signal in real time, and the possibility to integrate the tester inside a push puller thanks to its reduced size and to have integrated as part of the ET equipment the mechanical systems control board. Two product lines have been developed, one oriented to any market and application (nuclear market, industrial and aeronautical, chemical and petrochemical, etc) and other specially dedicated to the massive inspection of tubes, named TEDDY+SP (Suitcase Pusher). Both lines are prepared to use eddy current arrays which clearly constitute the future of the eddy current inspection systems. The new TEDDY+ line of products presented in this paper constitutes one of the most powerful and reliable existing in the market. These improvements and novelties place the new ET inspection system from Tecnatom as one of the most powerful and reliable in the market. (Author)

  5. Advanced Approach of Reactor Pressure Vessel In-service Inspection

    International Nuclear Information System (INIS)

    Matokovic, A.; Picek, E.; Pajnic, M.

    2006-01-01

    The most important task of every utility operating a nuclear power plant is the continuously keeping of the desired safety and reliability level. This is achieved by the performance of numerous inspections of the components, equipment and system of the nuclear power plant in operation and in particular during the scheduled maintenance periods at re-fueling time. Periodic non-destructive in-service inspections provide most relevant criteria of the integrity of primary circuit pressure components. The task is to reliably detect defects and realistically size and characterize them. One of most important and the most extensive examination is a reactor pressure vessel in-service inspection. That inspection demand high standards of technology and quality and continual innovation in the field of non-destructive testing (NDT) advanced technology as well as regarding reactor pressure vessel tool and control systems. A remote underwater contact ultrasonic technique is employed for the examination of the defined sections (reactor welds), whence eddy current method is applied for clad surface examinations. Visual inspection is used for examination of the vessel inner surface. The movement of probes and data positioning are assured by using new reactor pressure vessel tool concept that is fully integrated with NDT systems. The successful performance is attributed thorough pre-outage planning, training and successful performance demonstration qualification of chosen NDT techniques on the specimens with artificial and/or real defects. Furthermore, use of advanced approach of inspection through implementation the state of the art examination equipment significantly reduced the inspection time, radiation exposure to examination personnel, shortening nuclear power plant outage and cutting the total inspection costs. The advanced approach as presented in this paper offer more flexibility of application (non-destructive tests, local grinding action as well as taking of boat samples

  6. Automatic inspection of surface defects in die castings after machining

    Directory of Open Access Journals (Sweden)

    S. J. Świłło

    2011-07-01

    Full Text Available A new camera based machine vision system for the automatic inspection of surface defects in aluminum die casting was developed by the authors. The problem of surface defects in aluminum die casting is widespread throughout the foundry industry and their detection is of paramount importance in maintaining product quality. The casting surfaces are the most highly loaded regions of materials and components. Mechanical and thermal loads as well as corrosion or irradiation attacks are directed primarily at the surface of the castings. Depending on part design and processing techniques, castings may develop surface discontinuities such as cracks or tears, inclusions due to chemical reactions or foreign material in the molten metal, and pores that greatly influence the material ability to withstand these loads. Surface defects may act as a stress concentrator initiating a fracture point. If a pressure is applied in this area, the casting can fracture. The human visual system is well adapted to perform in areas of variety and change; the visual inspection processes, on the other hand, require observing the same type of image repeatedly to detect anomalies. Slow, expensive, erratic inspection usually is the result. Computer based visual inspection provides a viable alternative to human inspectors. Developed by authors machine vision system uses an image processing algorithm based on modified Laplacian of Gaussian edge detection method to detect defects with different sizes and shapes. The defect inspection algorithm consists of three parameters. One is a parameter of defects sensitivity, the second parameter is a threshold level and the third parameter is to identify the detected defects size and shape. The machine vision system has been successfully tested for the different types of defects on the surface of castings.

  7. Computational framework for risk-based planning of inspections, maintenance, and condition monitoring using discrete Bayesian networks

    DEFF Research Database (Denmark)

    Nielsen, Jannie Sønderkær; Sørensen, John Dalsgaard

    2018-01-01

    This paper presents a computational framework for risk-based planning of inspections and repairs for deteriorating components. Two distinct types of decision rules are used to model decisions: simple decision rules that depend on constants or observed variables (e.g. inspection outcome...... expecte d life-cycle costs. For advanced decision rules, simulations are performed to estimate the expected costs, and dBNs are used within the simulations for decision-making. Information from inspections and condition monitoring are included if available. An example in the paper demonstrates...... the framework and the implemented strategies and decision rules, including various types of condition-based maintenance. The strategies using advanced decision rules lead to reduced costs compared to the simple decision rules when condition monitoring is applied, and the value of condition monitoring...

  8. Fuel assembly inspection device

    International Nuclear Information System (INIS)

    Yaginuma, Yoshitaka

    1998-01-01

    The present invention provides a device suitable to inspect appearance of fuel assemblies by photographing the appearance of fuel assemblies. Namely, the inspection device of the present invention measures bowing of fuel assembly or each of fuel rods or both of them based on the partially photographed images of fuel assembly. In this case, there is disposed a means which flashily projects images in the form of horizontal line from a direction intersecting obliquely relative to a horizontal cross section of the fuel assembly. A first image processing means separates the projected image pictures including projected images and calculates bowing. A second image processing means replaces the projected image pictures of the projected images based on projected images just before and after the photographing. Then, images for the measurement of bowing and images for inspection can be obtained simultaneously. As a result, the time required for the photographing can be shortened, the time for inspection can be shortened and an effect of preventing deterioration of photographing means by radiation rays can be provided. (I.S.)

  9. Evaluation of robotic inspection systems at nuclear power plants

    International Nuclear Information System (INIS)

    White, J.R.; Eversole, R.E.; Farnstrom, K.A.; Harvey, H.W.; Martin, H.L.

    1984-03-01

    This report presents and demonstrates a cost-effective approach for robotics application (CARA) to surveillance and inspection work in existing nuclear power plants. The CARA was developed by the Remote Technology Corporation to systematically determine the specific surveillance/inspection tasks, worker hazards, and access or equipment placement restraints in each of the many individual rooms or areas at a power plant. Guidelines for designing inspection robotics are included and are based upon the modular arrangement of commercially-available sensors and other components. Techniques for maximizing the cost effectiveness of robotics are emphasized in the report including: selection of low-cost robotic components, minimal installation work in plant areas, portable systems for common use in different areas, and standardized robotic modules. Factors considered as benefits are reduced radiation exposure, lower man-hours, shorter power outage, less waste material, and improved worker safety concerns. A partial demonstration of the CARA methodology to the Sequoyah (PWR) and Browns Ferry (BWR) Plants is provided in the report along with specific examples of robotic installations in high potential areas

  10. Auxiliary feedwater system risk-based inspection guide for the Palo Verde Nuclear Power Plant

    International Nuclear Information System (INIS)

    Bumgardner, J.D.; Moffitt, N.E.; Gore, B.F.; Vo, T.V.; Sloan, J.A.

    1993-02-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. Palo Verde was selected as one of a series of plants for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the Palo Verde plants

  11. Auxiliary feedwater system risk-based inspection guide for the McGuire nuclear power plant

    International Nuclear Information System (INIS)

    Bumgardner, J.D.; Lloyd, R.C.; Moffitt, N.E.; Gore, B.F.; Vo, T.V.

    1994-05-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. McGuire was selected as one of a series of plants for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the McGuire plant

  12. Auxiliary feedwater system risk-based inspection guide for the Maine Yankee Nuclear Power Plant

    International Nuclear Information System (INIS)

    Gore, B.F.; Vo, T.V.; Moffitt, N.E.; Bumgardner, J.D.

    1992-10-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. The information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. Maine Yankee was selected as one of a series of plants for study. ne product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the Maine Yankee plant

  13. Auxiliary feedwater system risk-based inspection guide for the Point Beach nuclear power plant

    International Nuclear Information System (INIS)

    Lloyd, R.C.; Moffitt, N.E.; Gore, B.F.; Vo, T.V.; Vehec, T.A.

    1993-02-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. Point Beach was selected as one of a series of plants for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRS. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the Point Beach plant

  14. Inservice inspection of Halden BWR pressure vessel

    International Nuclear Information System (INIS)

    Foerli, O.; Hernes, T.

    1978-01-01

    A description is given of how the recertification inspection of the 20 years old Halden Reactor pressure vessel was carried out in accordance with the latest ASME-CODES, despite the fact that inspection accessibility was poor. As no volumetric inspection had been carried out since the preservice radiography in 1957, the ultrasonic inspection included the high flux region of all welds. In total 70% of longitudinal welds and 20% of bottom circumferential welds were inspected as well as the bottom nozzle connection. The vessel was not designed with provisions for inservice inspection, the welds are unaccessible from the outside and removal of the lid is virtually impossible. The ultrasonic probes could only be loaded through 77 mm diameter holes in the top lid and remotely positioned inside the vessel. The inspection was performed using 450C and 60OC 1 MHz angle probes and 2.25 MHz normal probes in immersion technique. In a zone around the welds, small regions with lack of bonding between the stainless steel cladding and the boiler steel were revealed. One root defect known and accepted from the preservice radiographs was examined. The defect was found to be 6x30mm as a maximum and well within acceptable limits according to the fracture mechanics analysis method recommended in ASME X1. The inspection required a period of three weeks' work in the reactor hall. (UK)

  15. Qualification of NDT techniques for in-service inspections in nuclear power plants in accordance with ENIQ - examples and lessons - learned

    International Nuclear Information System (INIS)

    Just, T.; Csapo, G.

    2006-01-01

    ENIQ (European Network for Inspection and Qualification) has developed regulations on how to qualify non-destructive testing (NDT) methods and techniques in a standardized and structured manner. Two major innovative qualifications were carried out and reviewed with regard to implementation, according to the recommended German practice of ENIQ. The conclusions were drawn after performing the ENIQ qualification procedure for in-service inspections (ISI) of real components in nuclear power plants (NPP). The first example covers the qualification of NDT methods for the detection and characterization of surface, subsurface and underclad cracks in the area of the austenitic cladded RPV surface. Open and blind tests were conducted applying UT and ET (from the ID) and UT (from the OD) on realistic flaws (artificially induced IGSCC, hot cracks and fatigue cracks) in the cladding of a full scale RPV mock-up from MPA Stuttgart. The second example covers the qualification of mechanised RT in combination with tomography (developed by the BAM) for the sizing of cracks in pipe welds. For both qualification procedures TUEV NORD SysTec experts were part of the qualification body. The proposed NDT procedures have been qualified within defined limits of application. Recommendations were made to optimise the procedures and the techniques itself further. (orig.)

  16. Inspection of austenitic welds with ultrasonic phased array technology

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, A.; Fernandez, F. [Tecnatom (Spain); Dutruc, R.; Ferriere, R. [Metalscan (France)

    2011-07-01

    This series of slides presents the use of ultrasonic phased array technology in the inspection of austenitic welds. The inspection from outside surface (the inspection is performed in contact using wedges to couple the probe to the outer surface of the component) shows that longitudinal wave is the most adequate for perpendicular scans and transversal ultrasonic wave is the most adequate for parallel scans. Detection and length sizing are performed optimally in perpendicular scans. The inspection from inside surface shows: -) Good results in the detection of defects (Sizing has met the requirements imposed by the Authority of the Russian Federation); -) The new design of the mechanical equipment and of the numerous ultrasonic beams refracted by the array probes has increased the volume inspected. The design of the mechanical equipment has also allowed new areas to be inspected (example a piping weld that was not accessible from the outer surface; -) The ultrasonic procedure and Inspection System developed have been validated by the Authority of the Russian Federation. Phase array technique supplies solutions to solve accessibility concerns and improve the ultrasonic inspections of nuclear components

  17. Do school inspections improve primary school performance?

    OpenAIRE

    Dinand Webbink; Rob Luginbuhl; I. de Wolf

    2007-01-01

    Inspectors from the Dutch Inspectorate of Education inspect primary schools, write inspection reports on each inspected school, and make recommendations as to how each school can improve. We test whether these inspections result in better school performance. Using a fixed-effects model, we find evidence that school inspections do lead to measurably better school performance. Our assessment of school performance is based on the Cito test scores of pupils in their final year of primary school. ...

  18. Design Concept of Array ECT Sensor for Steam Generator Tubing Inspection

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Chan Hee; Lee, Tae Hun; Yoo, Hyun Ju [Korea Hydro and Nuclear Power Co. Ltd. CRI, Daejeon (Korea, Republic of)

    2015-05-15

    The eddy current testing, which is one of the nondestructive examination methods, is widely used for the inspection of heat exchangers including steam generator tubing in the nuclear power plant. It uses electromagnetic induction to detect flaws in conductive materials. Two types of eddy current probes are conventionally used for the inspection of steam generator tubing according to the main purpose. One is the bobbin probe technology and the other is the rotating probe. During the inspection, they have restrictions for the flaw detection or the inspection speed. An array probe can be alternative to the bobbin and rotating probes. The design concept of array coils with high sensitivity is described in this paper. It is expected that the eddy current testing using this type of array sensors may provide high detectability and resolution for flaws in steam generator tubing. Eddy current technology has some barriers for the inspection of steam generator tubing in the nuclear power plant. Bobbin probes offer poor circumferential crack detection and rotating probes are time and money consuming due to the mechanical rotation. Array probe inspection technique can replace bobbin and rotating probe techniques due to its sensitivity for flaw detection and inspection speed. In general, circular-shaped coils are considered in an array eddy current probe.

  19. Defect inspection in hot slab surface: multi-source CCD imaging based fuzzy-rough sets method

    Science.gov (United States)

    Zhao, Liming; Zhang, Yi; Xu, Xiaodong; Xiao, Hong; Huang, Chao

    2016-09-01

    To provide an accurate surface defects inspection method and make the automation of robust image region of interests(ROI) delineation strategy a reality in production line, a multi-source CCD imaging based fuzzy-rough sets method is proposed for hot slab surface quality assessment. The applicability of the presented method and the devised system are mainly tied to the surface quality inspection for strip, billet and slab surface etcetera. In this work we take into account the complementary advantages in two common machine vision (MV) systems(line array CCD traditional scanning imaging (LS-imaging) and area array CCD laser three-dimensional (3D) scanning imaging (AL-imaging)), and through establishing the model of fuzzy-rough sets in the detection system the seeds for relative fuzzy connectedness(RFC) delineation for ROI can placed adaptively, which introduces the upper and lower approximation sets for RIO definition, and by which the boundary region can be delineated by RFC region competitive classification mechanism. For the first time, a Multi-source CCD imaging based fuzzy-rough sets strategy is attempted for CC-slab surface defects inspection that allows an automatic way of AI algorithms and powerful ROI delineation strategies to be applied to the MV inspection field.

  20. Bolt-loosening identification of bolt connections by vision image-based technique

    Science.gov (United States)

    Nguyen, Tuan-Cuong; Huynh, Thanh-Canh; Ryu, Joo-Young; Park, Jae-Hyung; Kim, Jeong-Tae

    2016-04-01

    In this study, an algorithm using image processing techniques is proposed to identify bolt-loosening in bolted connections of steel structures. Its basic concept is to identify rotation angles of nuts from a pictured image, and is mainly consisted of the following 3 steps: (1) taking a picture for a bolt joint, (2) segmenting the images for each nut by image processing techniques, and (3) identifying rotation angle of each nut and detecting bolt-loosening. By using the concept, an algorithm is designed for continuous monitoring and inspection of the bolt connections. As a key imageprocessing technique, Hough transform is used to identify rotation angles of nuts, and then bolt-loosening is detected by comparing the angles before and after bolt-loosening. Then the applicability of the proposed algorithm is evaluated by experimental tests for two lab-scaled models. A bolted joint model which consists of a splice plate and 8 sets of bolts and nuts with 2×4 array is used to simulate inspection of bridge connections, and a model which is consisted of a ring flange and 32 sets of bolt and nut is used to simulate continuous monitoring of bolted connections in wind turbine towers.

  1. Vision-Based Corrosion Detection Assisted by a Micro-Aerial Vehicle in a Vessel Inspection Application

    Directory of Open Access Journals (Sweden)

    Alberto Ortiz

    2016-12-01

    Full Text Available Vessel maintenance requires periodic visual inspection of the hull in order to detect typical defective situations of steel structures such as, among others, coating breakdown and corrosion. These inspections are typically performed by well-trained surveyors at great cost because of the need for providing access means (e.g., scaffolding and/or cherry pickers that allow the inspector to be at arm’s reach from the structure under inspection. This paper describes a defect detection approach comprising a micro-aerial vehicle which is used to collect images from the surfaces under inspection, particularly focusing on remote areas where the surveyor has no visual access, and a coating breakdown/corrosion detector based on a three-layer feed-forward artificial neural network. As it is discussed in the paper, the success of the inspection process depends not only on the defect detection software but also on a number of assistance functions provided by the control architecture of the aerial platform, whose aim is to improve picture quality. Both aspects of the work are described along the different sections of the paper, as well as the classification performance attained.

  2. INSPECT: a package of computer programs for planning and evaluating safeguards inspections

    International Nuclear Information System (INIS)

    Mullen, M.F.

    1980-01-01

    As part of the US Program of Technical Assistance to IAEA Safeguards, PNL has developed a package of computer programs, called INSPECT, that can be used in planning and evaluating safeguards inspections of various types of nuclear facilities. The programs are based on the statistical methods described in Part F of the IAEA Safeguards Technical Manual and can be used to calculate the variance components of the MUF (Material Unaccounted For) statistic, the variance components of the D (difference) statistic, attribute and variables sampling plans, and a measure of the effectiveness of the inspection plan. The paper describes the programs, reviews a number of applications, and indicates areas for future work

  3. Ferromagnetic material inspection for feedwater heater and condenser tubes

    International Nuclear Information System (INIS)

    Anon.

    1987-01-01

    In recent years, special ferritic stainless steels, such as AL29-4C/sup TM/, Sea-Cure/sup TM/, E-Brite/sup TM/, 439, and similar alloys have been introduced as tube material in condensers, feedwater heaters, moisture separator/reheaters, and other heat exchangers. In addition, carbon steel tubes are widely used in feedwater heaters and heat exchangers in chemical plants. The main problem with the in-service inspection of these ferritic alloys and carbon steel tubes lies in their highly ferromagnetic properties. These properties severely limit the application of the standard eddy current techniques. The effort was undertaken under EPRI sponsorship to develop a reliable technique for in-service inspection of ferromagnetic tubes. The new method combines the measurement of magnetic flux leakage generated around the defects with measurement of total flux in the tube wall. The heart of the inspection system is a special ID probe that magnetizes the tube and generates signals for any tube defect. A permanent record of inspection is provided with a strip-chart or magnetic tape recorder. The laboratory and field evaluation of this new system demonstrated its very good sensitivity to small defects, its reliability, and its ruggedness. Defects as small as 10% external wall loss in heavy wall carbon steel tube were detected. Tubes in the power plant were inspected at a rate of 300-500 tubes per eight-hour shift. The other advantages of this newly developed technique are its simplicity, low cost of instrumentation, easy data interpretation, and full portability

  4. Packaging supplier inspection guide

    International Nuclear Information System (INIS)

    Stromberg, H.M.; Gregg, R.E.; Kido, C.; Boyle, C.D.

    1991-05-01

    This is document is a guide for conducting quality assurance inspections of transportations packaging suppliers, where suppliers are defined as designers, fabricators, distributors, users, or owners of transportation packaging. This document can be used during an inspection to determine regulatory compliance within the requirements of 10 Code of Federal Regulations, Part 71, Subpart H (10 CFR 71.101--71.135). The guidance described in this document provides a framework for an inspection. It provides the inspector with the flexibility to adapt the methods and concepts presented here to meet the needs of the particular facility being inspected. The guide was developed to ensure a structured and consistent approach for inspections. The method treats each activity at a supplier facility as a separate entity (or functional element), and combines the activities within the framework of an ''inspection tree.'' The method separates each functional element into several areas of performance and then identifies guidelines, based on regulatory requirements, to be used to qualitatively rate each area. This document was developed to serve as a field manual to facilitate the work of inspectors. 1 ref., 1 fig., 5 tabs

  5. Eye gazing direction inspection based on image processing technique

    Science.gov (United States)

    Hao, Qun; Song, Yong

    2005-02-01

    According to the research result in neural biology, human eyes can obtain high resolution only at the center of view of field. In the research of Virtual Reality helmet, we design to detect the gazing direction of human eyes in real time and feed it back to the control system to improve the resolution of the graph at the center of field of view. In the case of current display instruments, this method can both give attention to the view field of virtual scene and resolution, and improve the immersion of virtual system greatly. Therefore, detecting the gazing direction of human eyes rapidly and exactly is the basis of realizing the design scheme of this novel VR helmet. In this paper, the conventional method of gazing direction detection that based on Purklinje spot is introduced firstly. In order to overcome the disadvantage of the method based on Purklinje spot, this paper proposed a method based on image processing to realize the detection and determination of the gazing direction. The locations of pupils and shapes of eye sockets change with the gazing directions. With the aid of these changes, analyzing the images of eyes captured by the cameras, gazing direction of human eyes can be determined finally. In this paper, experiments have been done to validate the efficiency of this method by analyzing the images. The algorithm can carry out the detection of gazing direction base on normal eye image directly, and it eliminates the need of special hardware. Experiment results show that the method is easy to implement and have high precision.

  6. High-speed railway signal trackside equipment patrol inspection system

    Science.gov (United States)

    Wu, Nan

    2018-03-01

    High-speed railway signal trackside equipment patrol inspection system comprehensively applies TDI (time delay integration), high-speed and highly responsive CMOS architecture, low illumination photosensitive technique, image data compression technique, machine vision technique and so on, installed on high-speed railway inspection train, and achieves the collection, management and analysis of the images of signal trackside equipment appearance while the train is running. The system will automatically filter out the signal trackside equipment images from a large number of the background image, and identify of the equipment changes by comparing the original image data. Combining with ledger data and train location information, the system accurately locate the trackside equipment, conscientiously guiding maintenance.

  7. Eddy-current inspection of high flux isotope reactor nuclear control rods

    International Nuclear Information System (INIS)

    Smith, J.H.; Chitwood, L.D.

    1981-07-01

    Inner control rods for the High Flux Isotope Reactor were nondestructively inspected for defects by eddy-current techniques. During these examinations aluminum cladding thickness and oxide thickness on the cladding were also measured. Special application techniques were required because of the high-radiation levels (approx. 10 5 R/h at 30 cm) present and the relatively large temperature gradients that occurred on the surface of the control rods. The techniques used to perform the eddy-current inspections and the methods used to reduce the associated data are described

  8. A preventive maintenance model with a two-level inspection policy based on a three-stage failure process

    International Nuclear Information System (INIS)

    Wang, Wenbin; Zhao, Fei; Peng, Rui

    2014-01-01

    Inspection is always an important preventive maintenance (PM) activity and can have different depths and cover all or part of plant systems. This paper introduces a two-level inspection policy model for a single component plant system based on a three-stage failure process. Such a failure process divides the system′s life into three stages: good, minor defective and severe defective stages. The first level of inspection, the minor inspection, can only identify the minor defective stage with a certain probability, but can always reveal the severe defective stage. The major inspection can however identify both defective stages perfectly. Once the system is found to be in the minor defective stage, a shortened inspection interval is adopted. If however the system is found to be in the severe defective stage, we may delay the maintenance action if the time to the next planned PM window is less than a threshold level, but otherwise, replace immediately. This corresponds to the well adopted maintenance policy in practice such as periodic inspections with planned PMs. A numerical example is presented to demonstrate the proposed model by comparing with other models. - Highlights: • The system′s deterioration goes through a three-stage process, namely, normal, minor defective and severe defective. • Two levels of inspections are proposed, e.g., minor and major inspections. • Once the minor defective stage is found, instead of taking a maintenance action, a shortened inspection interval is recommended. • When the severe defective stage is found, we delay the maintenance according to the threshold to the next PM. • The decision variables are the inspection intervals and the threshold to PM

  9. Radiographic inspection and densitometric evaluation of CP-5 reactor fuel

    International Nuclear Information System (INIS)

    Staroba, J.F.; Knoerzer, T.W.

    1978-02-01

    This report covers the radiographic and densitometric techniques used as part of a quality verification program for CP-5 reactor fuel by the Nondestructive Assay Section of the Special Materials Division. Other nondestructive tests used were ultrasonic and gamma-ray spectrometry. The main objectives were to perform a one-hundred percent radiographic inspection of the fuel tubes and to derive a quantitative relationship between fuel thickness and film density with the use of fabricated fuel step wedges. By the use of tangential x-ray techniques, measurements were made of fuel peaks or ''hot spots'' that protruded above the main fuel line. Other general problems in radiographic inspection and solutions for the upgrading of the total radiographic inspection program are also discussed

  10. Container inspection in the port container terminal by using 14 MeV neutrons

    Energy Technology Data Exchange (ETDEWEB)

    Valkovic, Vladivoj [Institute Ruder Boskovic, Zagreb (Croatia); Kvinticka 62, Zagreb (Croatia); Sudac, Davorin; Nad, Karlo; Obhodas, Jasmina [Ruder Boskovic Institute, Bijenicka c.54, Zagreb (Croatia)

    2015-07-01

    A proposal for an autonomous and flexible ship container inspection system is presented. This could be accomplished by the incorporation of inspection system on the container transportation devices (straddle carriers, yard gentry cranes automated guided vehicles, trailers). This configuration is terminal specific and it will be decided by container terminal operator. In such a way no part of port operational area will be used for inspection. The inspection scenario will include container transfer from ship to transportation device with inspection unit mounted on it, inspection during container movement to the container location. A neutron generator without associated alpha particle detection will be used. This will allow the use of higher neutron intensity (5x10{sup 9} - 10{sup 10} n/s in 4π). The inspected container will be stationary in the 'inspection position' on the transportation device while the 'inspection unit' will move along its side. Following analytical methods will be used simultaneously: neutron radiography, X-ray radiography, neutron activation analysis, (n,γ) and (n,n'γ) reactions, neutron absorption, and scattering, X-ray backscattering, Neutron techniques will take the advantage of using 'smart collimators' for neutrons and gammas, both emitted and detected. The inspected voxel will be defined by intersections/union of neutron generator and detectors solid angles. The container inspection protocol will be based on identification of discrepancies between its cargo manifest and its elemental 'fingerprint' and radiography profiles. In addition, the information on container weight will be obtained during the container transport and foreseen screening from the measurement of density of material in the container. (authors)

  11. Heterodyne polarimetry technology for inspection of critical dimensions

    Directory of Open Access Journals (Sweden)

    Protopopov V.

    2010-06-01

    Full Text Available Heterodyne polarimetry is based on the analysis of phases and polarization states of two frequency shifted cross-polarized waves, generated by Zeeman lasers and their analogs [1]. In semiconductor industry, manufacturing of memory chips depends on the width and aspect ratio of a great number of identical parallel vertical and horizontal word and bit address lines. Such a structure may be considered as a wire grid polarizer for visible optics, and it is reasonable to expect that polarimetry techniques may be efficient for detecting tiny variations in this type of structures on masks and wafers. Currently, both imaging and non-imaging modalities are considered as complementary inspection technologies. The talk will focus on instrumentation, theory, and experimental results of two different inspection tools: scanning polarimeters for mapping variations of critical dimensions over lithography masks and semiconductor wafers, and polarization-controlled dual-channel heterodyne microscope with super-resolution capabilities.

  12. 46 CFR 153.812 - Inspection for Certificate of Inspection.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Inspection for Certificate of Inspection. 153.812... CARGOES SHIPS CARRYING BULK LIQUID, LIQUEFIED GAS, OR COMPRESSED GAS HAZARDOUS MATERIALS Design and Equipment Testing and Inspection § 153.812 Inspection for Certificate of Inspection. The rules governing the...

  13. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  14. Optimal Inspection and Maintenance Strategies for Structural Systems

    DEFF Research Database (Denmark)

    Sommer, A. M.

    The aim of this thesis is to give an overview of conventional and optimal reliability-based inspection and maintenance strategies and to examine for specific structures how the cost can be reduced and/or the safety can be improved by using optimal reliability-based inspection strategies....... For structures with several almost similar components it is suggested that individual inspection strategies should be determined for each component or a group of components based on the reliability of the actual component. The benefit of this procedure is assessed in connection with the structures considered....... Furthermore, in relation to the calculations performed the intention is to modify an existing program for determination of optimal inspection strategies. The main purpose of inspection and maintenance of structural systems is to prevent or delay damage or deterioration to protect people, environment...

  15. Typical NRC inspection procedures for model plant

    International Nuclear Information System (INIS)

    Blaylock, J.

    1984-01-01

    A summary of NRC inspection procedures for a model LEU fuel fabrication plant is presented. Procedures and methods for combining inventory data, seals, measurement techniques, and statistical analysis are emphasized

  16. Health Monitoring of Composite Material Structures using a Vibrometry Technique

    Science.gov (United States)

    Schulz, Mark J.

    1997-01-01

    Large composite material structures such as aircraft and Reusable Launch Vehicles (RLVS) operate in severe environments comprised of vehicle dynamic loads, aerodynamic loads, engine vibration, foreign object impact, lightning strikes, corrosion, and moisture absorption. These structures are susceptible to damage such as delamination, fiber breaking/pullout, matrix cracking, and hygrothermal strain. To ensure human safety and load-bearing integrity, these structures must be inspected to detect and locate often invisible damage and faults before becoming catastrophic. Moreover, nearly all future structures will need some type of in-service inspection technique to increase their useful life and reduce maintenance and overall costs. Possible techniques for monitoring the health and indicating damage on composite structures include: c-scan, thermography, acoustic emissions using piezoceramic actuators or fiber-optic wires with gratings, laser ultrasound, shearography, holography, x-ray, and others. These techniques have limitations in detecting damage that is beneath the surface of the structure, far away from a sensor location, or during operation of the vehicle. The objective of this project is to develop a more global method for damage detection that is based on structural dynamics principles, and can inspect for damage when the structure is subjected to vibratory loads to expose faults that may not be evident by static inspection. A Transmittance Function Monitoring (TFM) method is being developed in this project for ground-based inspection and operational health monitoring of large composite structures as a RLV. A comparison of the features of existing health monitoring approaches and the proposed TFM method is given.

  17. Application of Synthetic Aperture Focusing Technique for inspection of plate-like structures using EMAT generated Lamb waves

    Directory of Open Access Journals (Sweden)

    Mirchev Yordan

    2018-01-01

    Full Text Available The main challenge for guided wave inspection is exact defect characterization and sizing. EMAT generated Lamb waves usually have low signal-to-noise ratio which reduces the defect detection, characterization and sizing capabilities. That's why in most cases the method is used only as a screening tool. The Synthetic Aperture Focusing Technique is a process that increases the signal-to-noise ratio by numerically focusing the acoustic fields. In this paper the application of SAFT is tested over EMAT generated Lamb waves. The improvement of lateral resolution and signal-to-noise ratio is evaluated. Results are presented as a comparison between standard B-scan and SAFT processed data.

  18. Fiber-Optic Sensing for In-Space Inspection

    Science.gov (United States)

    Pena, Francisco; Richards, W. Lance; Piazza, Anthony; Parker, Allen R.; Hudson, Larry D.

    2014-01-01

    This presentation provides examples of fiber optic sensing technology development activities performed at NASA Armstrong. Examples of current and previous work that support in-space inspection techniques and methodologies are highlighted.

  19. Analysis of risk of nonconformities and applied quality inspection methods in the process of aluminium profiles coating based on FMEA results

    OpenAIRE

    Krzysztof Knop

    2017-01-01

    The article presents the results of risk analysis associated with nonconformities of aluminium profiles in the process of coating and quality inspection methods used to their detection. Analysis of risk was done based on results of FMEA method. Evaluated quality inspection methods were distinguished based on the term of inspection in the ISO 9000:2005 norm. Manufacturing process of aluminium profile in micro-technological approach was presented. Triple quantification of nonconformities risk b...

  20. In service inspection of SUPERPHENIX 1 vessels: MIR

    International Nuclear Information System (INIS)

    Asty, M.; Viard, J.; Lerat, B.; Saglio, R.

    1985-01-01

    Although no in-service inspection constraints were imposed on the Phenix vessels, the Safety Authorities asked that the design of SUPERPHENIX 1 makes it possible to monitor throughout the lifetime of the reactor, surface and internal defects on the main vessel. A pool design and the presence of heat baffles inside the main vessel make access from the inside of the vessel impossible. Thus, an inspection can only be performed from the outside of the main vessel: the distance between the walls of the main and safety vessels is such that an inspection device can be introduced into the corresponding space. As the design of the reactor precludes radiographic inspection, the method which was selected for monitoring internal defects in the main vessel is ultrasonics. However, the anisotropic structure of austenitic stainless steel welds limits the performance of this technique. The authors present the in-service inspection device, MIR, which has been specially developed for the visual and ultrasonic examination of SUPERPHENIX 1 vessels

  1. Vibrational Based Inspection of Civil Engineering Structures

    DEFF Research Database (Denmark)

    Rytter, Anders

    at the University of Aalborg from 1988 to 1991. Secondly, a research project, In-Field Vibration Based Inspection of Civil Engineering Structures, which has been performed as a pilot project by the Consulting Engineers Rambøll, Hannemann and Højlund in cooperation with the department of Building Technology......The thesis has been written in relation to two different research projects. Firstly, an offshore test programme, Integrated Experimental/Numerical Analysis of the Dynamic behavior of offshore structures, which was performed at the department of Building Technology and Structural Engineering...... and Structural Engineering at the University of Aalborg since the beginning of 1992. Both projects have been supported by the Danish Technical Research Council. Further, the first mentioned project was supported by the Danish Energy Agency. Their financial support is gratefully acknowledged....

  2. Ultrasound and Eddy-Current inspection of longitudinal shroud welds in the Santa Maria de Garona Nuclear Power Plant

    International Nuclear Information System (INIS)

    Elorza, J.; Fernandez, F.

    1998-01-01

    This is the first time that this kind of inspection has been performed in the world with the Ultrasound (UT) and Eddy-Current (EC) techniques. The inspection, performed during the 19th refueling outage in March 1997, using the MIDAS acquisition and analysis system, which allows joint UT and EC inspection. MIDAS is the new inspection system developed by Tecnatom for vessel internals that integrates acquisition and evaluation of the data obtained from the use of Ultrasound and Eddy-Current inspection techniques. The inspection was completed in less than the scheduled time, and covered the programmed volumes without any noteworthy incidents. (Author)

  3. A Study on Nondestructive Technique Using Laser Technique for Evaluation of Carbon fiber Reinforced Plastic

    International Nuclear Information System (INIS)

    Choi, Sang Woo; Lee, Joon Hyun; Seo, Kyeong Cheol; Byun, Joon Hyung

    2005-01-01

    Fiber reinforced plastic material should be inspected in fabrication process in order to enhance quality by prevent defects such as delamination and void. Generally, ultrasonic technique is widely used to evaluate FRP. In conventional ultrasonic techniques, transducer should be contacted on FRP. However, conventional contacting method could not be applied in fabrication process and novel non-contact evaluating technique was required. Laser-based ultrasonic technique was tried to evaluate CFRP plate. Laser-based ultrasonic waves propagated on CFRP were received with various transducers such as accelerometer and AE sensor in order to evaluate the properties of waves due to the variation of frequency. Velocities of laser-based ultrasonic waves were evaluated for various fiber orientation. In addition, laser interferometry was used to receive ultrasonic wave in CFRP and frequency was analysed

  4. Development and validation of real-time SAFT-UT system for inservice inspection of LWRs

    International Nuclear Information System (INIS)

    Doctor, S.R.; Hall, T.E.; Reid, L.D.; Mart, G.A.

    1988-01-01

    The Pacific Northwest Laboratory is working to design, fabricate, and evaluate a real-time flaw detection and characterization system based on the synthetic aperture focusing technique for ultrasonic testing (SAFT-UT). The system is designed to perform inservice inspection of light-water reactor components. Included objectives of this program for the Nuclear Regulatory Commission are to develop procedures for system calibration and field operation, to validate the system through laboratory and field inspections, and to generate an engineering database to support ASME Code acceptance of the technology. This progress report covers the programmatic work from October 1986 through September 1987. (author)

  5. Auxiliary feedwater system risk-based inspection guide for the South Texas Project nuclear power plant

    International Nuclear Information System (INIS)

    Bumgardner, J.D.; Nickolaus, J.R.; Moffitt, N.E.; Gore, B.F.; Vo, T.V.

    1993-12-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. South Texas Project was selected as a plant for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by the NRC inspectors in preparation of inspection plans addressing AFW risk important components at the South Texas Project plant

  6. Auxiliary feedwater system risk-based inspection guide for the Byron and Braidwood nuclear power plants

    International Nuclear Information System (INIS)

    Moffitt, N.E.; Gore, B.F.; Vo, T.V.

    1991-07-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. Byron and Braidwood were selected for the fourth study in this program. The produce of this effort is a prioritized listing of AFW failures which have occurred at the plants and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the Byron/Braidwood plants. 23 refs., 1 fig., 1 tab

  7. Auxiliary feedwater system risk-based inspection guide for the H. B. Robinson nuclear power plant

    International Nuclear Information System (INIS)

    Moffitt, N.E.; Lloyd, R.C.; Gore, B.F.; Vo, T.V.; Garner, L.W.

    1993-08-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment (PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance information recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. H. B. Robinson was selected as one of a series of plants for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important components at the H. B. Robinson plant

  8. Auxiliary feedwater system risk-based inspection guide for the J.M. Farley Nuclear Power Plant

    International Nuclear Information System (INIS)

    Vo, T.V.; Pugh, R.; Gore, B.F.; Harrison, D.G.

    1990-10-01

    In a study sponsored by the US Nuclear Regulatory Commission (NRC), Pacific Northwest Laboratory has developed and applied a methodology for deriving plant-specific risk-based inspection guidance for the auxiliary feedwater (AFW) system at pressurized water reactors that have not undergone probabilistic risk assessment(PRA). This methodology uses existing PRA results and plant operating experience information. Existing PRA-based inspection guidance recently developed for the NRC for various plants was used to identify generic component failure modes. This information was then combined with plant-specific and industry-wide component information and failure data to identify failure modes and failure mechanisms for the AFW system at the selected plants. J. M. Farley was selected as the second plant for study. The product of this effort is a prioritized listing of AFW failures which have occurred at the plant and at other PWRs. This listing is intended for use by NRC inspectors in the preparation of inspection plans addressing AFW risk-important at the J. M. Farley plant. 23 refs., 1 fig., 1 tab

  9. Modelling inspection policies for building maintenance.

    Science.gov (United States)

    Christer, A H

    1982-08-01

    A method of assessing the potential of an inspection maintenance policy as opposed to an existing breakdown maintenance policy for a building complex is developed. The method is based upon information likely to be available and specific subjective assessments which could be made available. Estimates of the expected number of defects identified at an inspection and the consequential cost saving are presented as functions of the inspection frequency.

  10. Non-contact ultrasound techniques

    International Nuclear Information System (INIS)

    Khazali Mohd Zin

    2001-01-01

    Non-contact ultrasound plays significant role in material characterisation and inspection. Unlike conventional ultrasonic techniques, non-contact ultrasonic is mostly applicable to areas where the former has its weaknesses and limitations. It is interesting to note that the non-contact ultrasonic technique has an important significant application in industry. The technique is signified by the fact that the object to be inspected is further away from the ultrasonic source, no couplant is needed and inconsistent pressure between the transducer and the specimen can be eliminated. The paper discusses some of the non-contact ultrasound technique and its applications. (Author)

  11. Mobile robot teleoperation system for plant inspection based on collecting and utilizing environment data

    International Nuclear Information System (INIS)

    Kawabata, Kuniaki; Watanabe, Nobuyasu; Asama, Hajime; Kita, Nobuyuki; Yang, Hai-quan

    2004-01-01

    This paper describes about development of a mobile robot teleoperation system for plant inspection. In our system, the robot is an agent for collecting the environment data and is also teleoperated by the operator utilizing such accumulated environment data which is displayed on the operation interface. The robot equips many sensors for detecting the state of the robot and the environment. Such redundant sensory system can be also utilized to collect the working environment data on-site while the robot is patrolling. Here, proposed system introduces the framework of collecting and utilizing environment data for adaptive plant inspection using the teleoperated robot. A view simulator is primarily aiming to facilitate evaluation of the visual sensors and algorithms and is also extended as the Environment Server, which is the core technology of the digital maintenance field for the plant inspection. In order to construct detailed seamless digital maintenance field mobile robotic technology is utilized to supply environment data to the server. The sensory system on the robot collect the environment data on-site and such collected data is uploaded to the Environment Server for compiling accurate digital environment data base. The robot operator also can utilize accumulated environment data by referring to the Environment Server. In this paper, we explain the concept of our teleoperation system based on collecting and utilizing environment data. Using developed system, inspection patrol experiments were attempted in the plant mock-up. Experimental results are shown by using an omnidirectional mobile robot with sensory system and the Environment Server. (author)

  12. Modeling and optimizing periodically inspected software rejuvenation policy based on geometric sequences

    International Nuclear Information System (INIS)

    Meng, Haining; Liu, Jianjun; Hei, Xinhong

    2015-01-01

    Software aging is characterized by an increasing failure rate, progressive performance degradation and even a sudden crash in a long-running software system. Software rejuvenation is an effective method to counteract software aging. A periodically inspected rejuvenation policy for software systems is studied. The consecutive inspection intervals are assumed to be a decreasing geometric sequence, and upon the inspection times of software system and its failure features, software rejuvenation or system recovery is performed. The system availability function and cost rate function are obtained, and the optimal inspection time and rejuvenation interval are both derived to maximize system availability and minimize cost rate. Then, boundary conditions of the optimal rejuvenation policy are deduced. Finally, the numeric experiment result shows the effectiveness of the proposed policy. Further compared with the existing software rejuvenation policy, the new policy has higher system availability. - Highlights: • A periodically inspected rejuvenation policy for software systems is studied. • A decreasing geometric sequence is used to denote the consecutive inspection intervals. • The optimal inspection times and rejuvenation interval are found. • The new policy is capable of reducing average cost and improving system availability

  13. Development of nuclear fuel rod inspection technique using ultrasonic resonance phenomenon

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Myung Sun; Lee, Jong Po; Ju, Young Sang [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1995-11-01

    Acoustic resonance scattering from a nuclear fuel rod in water is analyzed. A new model for the background which is attributed to the interference of reflected wave and diffracted wave is found and here named {sup t}he inherent background{sup .} The resonance spectrum of a fuel rod is obtained by subtracting the inherent background from the scattered pressure. And also analyzed are the effect of material damping of cladding tube and pellet on the resonance spectrum of a fuel rod. The propagation characteristics of circumferential waves which cause the resonances of cladding tube is produced and the appropriate resonance modes for the application to the inspection of assembled fuel rods are selected. The resonance modes are experimentally measured for pre- and post-irradiated fuel rods and the validation of the fuel rod inspection using ultrasonic resonance phenomenon is examined. And thin ultrasonic sensors accessible into the narrow interval (about 2-3mm) between assembled fuel rods are designed and manufactured. 14 refs. (Author).

  14. Noncontact sheet resistance measurement technique for wafer inspection

    Science.gov (United States)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  15. CASTOR - Advanced System for VVER Steam Generator Inspection

    International Nuclear Information System (INIS)

    Mateljak, Petar

    2014-01-01

    From the safety point of view, steam generator is a very important component of a nuclear power plant. Only a thin tube wall prevents leakage of radioactive material from the primary side into the environment. Therefore, it is very important to perform inspections in order to detect pipe damage and apply appropriate corrective actions during outage. Application of the nondestructive examination (NDE) technique, that can locate degradation and measure its size and orientation, is an integral part of nuclear power plant maintenance. The steam generator inspection system is consisted of remotely controlled manipulator, testing instrument and software for data acquisition and analysis. Recently, the inspection systems have evolved to a much higher level of automation, efficiency and reliability resulting in a lower cost and shorter outage time. Electronic components have become smaller and deal with more complex algorithms. These systems are very fast, precise, reliable and easy to handle. The whole inspection, from the planning, examination, data analysis and final report, is now a highly automated process, which makes inspection much easier and more reliable. This paper presents the new generation of INETEC's VVER steam generator inspection system as ultimate solution for steam generator inspection and repair. (author)

  16. The Status and Inspection of Bottom Mounted Instrumentation Nozzle in Korea

    International Nuclear Information System (INIS)

    Doh, Euisoon; Kim, Yoonwon; Kim, Jaeyoon; Lee, Tacksu; Lee, Changhun

    2012-01-01

    The PWSCC Cracking of Alloy 600 material has been issued since CRDM Penetration cracking of Bugey in France in 1990's. And J-groove weld cracking of CRDM at Oconee and PCR Nozzle cracking at Wolf Creek in USA were raising concern of the integrity for Dissimilar Metal Weld of Alloy 600. BMI(Bottom Mounted Instrumentation) Nozzle cracks were found at Takahama unit 1 in Japan and South Texas Project unit 1 in USA in 2003. And recent cracks of Reactor Head Vent line at Yonggwang unit 3 in Korea are enough to cause worry about the integrity for BMI Nozzles in Korea. BMI inspections of Westinghouse type plant were performed by KPS for Kori unit 1 in 2006, Ulchin unit 2 in 2007, and Kori unit 3 in 2008. The first inspection of OCR-1000 plant was carried out on May 2011 at Yonggwang unit 3. KPS developed the inspection technique of OCR-1000 plant for End Effector Module and controller, a quarterly actual sized Bottom head Mock up, Inspection probes meeting the regulatory guide lines and typical configuration of OCR-1000 plant. Two specimens with actual PWSCC cracks were used to demonstrate the Inspection technique of Detection and Sizing. and the quarterly actual sized Bottom head Mock up was very meaningful to check the Interference during the inspection by narrow gap between newly developments led to a successful inspection of the BMI Inspection. And the inspection was concurrently performed with 10 year Reactor Vessel ICI without hurting any critical path of the outage. This BMI inspection is contributing to keep Operational Safety of plants by prevention of Leakage at BMI nozzle and weld. And performing 10 Year ISI for BMI nozzle is very effective to prevent BMI nozzle Break by detecting PWSCC Initiation per PFM Sensitivity study

  17. Exercise manual for the Augmented Computer Exercise for Inspection Training (ACE-IT) software

    Energy Technology Data Exchange (ETDEWEB)

    Dobranich, P.R.; Widney, T.W.; Goolsby, P.T. [Sandia National Labs., Albuquerque, NM (United States). Cooperative Monitoring Center and Regional Security; Nelson, J.D.; Evanko, D.A. [Ogden Environmental and Energy Services, Inc., Albuquerque, NM (United States)

    1997-09-01

    The on-site inspection provisions in many current and proposed arms control agreements require extensive preparation and training on the part of both the Inspected Party and the Inspection Team. Current training techniques include table-top inspections and practice inspections. The Augmented Computer Exercise for Inspection Training (ACE-IT), an interactive computer training tool, increases the utility of table-top inspections. ACE-IT has been designed to provide training for a hypothetical challenge inspection under the Chemical Weapons Convention (CWC); however, this training tool can be modified for other inspection regimes. Although ACE-IT provides training from notification of an inspection through post-inspection activities, the primary emphasis of ACE-IT is in the inspection itself--particularly with the concept of managed access. ACE-IT also demonstrates how inspection provisions impact compliance determination and the protection of sensitive information. The Exercise Manual supplements the ACE-IT software by providing general information on on-site inspections and detailed information for the CWC challenge inspection exercise. The detailed information includes the pre-inspection briefing, maps, list of sensitive items, medical records, and shipping records.

  18. Development of a remote inspection system for NSSS components

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Kim, Jae Hee; Lee, Jae Cheol

    2004-03-01

    Different operating systems of computerized inspection equipment cause serious problems in graphic user interface between control computers of inspection equipment and remote user computers. Management cost of interface version is very expensive even if the system has been developed. A solution for the interface problems in accessing the remote inspection system is web-based technology. But time-delay problem of web and java, a compiled type S/W that cooperates with web in control computers of inspection equipment is necessary to solve it. This report describes solutions for developing a remote inspection system based on web and java technology

  19. Inspection of licensee - Maintenance programme and activities

    International Nuclear Information System (INIS)

    2013-01-01

    An effective maintenance programme is critical to sustained safe and reliable operation of nuclear power plants. The Working Group on Inspection Practices (WGIP) concluded that when a licensee has an effective maintenance programme, the overall operating safety of the plant is improved and the protection of public health and safety enhanced. All Regulatory Bodies (RB) consider maintenance to be an important area for oversight. Although a variety of inspection practices are being used; RB are actively monitoring licensee performance. Specifically the following conclusions were reached and commendable practices identified: - Maintenance oversight by regulators appears to be in a stable continuous improvement state. Most regulators are executing inspection oversight based on an existing regulatory framework. - The performance of a licensee's maintenance programme is recognized as important part of maintaining nuclear safety. The result of the maintenance program assessment is included in the overall performance assessment of a license. - Maintenance inspection activities are recognized as an important part of the regulatory oversight process. Inspection activities are based on the safety significance and nature of work being performed by the licensee. - The effectiveness of the maintenance inspection activities is recognized to rely on properly qualified inspectors; who are adequately supported by specialists. Training and qualification of inspectors should be based on how the RB reviews and inspects licensee maintenance programmes. - Reporting requirements are identified to provide information on the licensees maintenance programme, and to help guide inspection activities. - Performance Indicators are recognized as a useful tool for helping focus regulatory activities. Basic PI are identified and tracked by the RB, and use of PI by the licensee is monitored. - Inspections are designed to confirm that the licensee is planning and scheduling maintenance with due

  20. Evaluation of inspection and enforcement programs of other regulatory, safety, and professional organizations: Phase I

    International Nuclear Information System (INIS)

    Thompson, S.R.; Speaker, D.M.; Cohen, S.

    1977-09-01

    This volume presents findings in five topical areas from a survey of the inspection and enforcement programs of 20 agencies and an in-depth study of six of those agencies. It was found that agencies using resident inspectors generally assign them in groups to prevent capture and improve supervision, use some sort of performance appraisal system, and place enforcement power in the field. Enforcement powers vary: many have ''shutdown'' power, and the majority combine inspection and enforcement in the field. Civil and administrative remedies appear easier to use than criminal remedies. Inspector management techniques include training, career planning, and evaluation. Inspection methods usually include hands-on verification, and inspection frequency is often based on past performance. Most programs assess their effectiveness in some way, and about half rate licensee performance. Information is seldom formally transmitted from I and E to other agency elements. After examining these areas, a variety of topics were recommended for further study, including assigning residents in pairs, developing a performance appraisal system, placing more enforcement power in the field, and providing more ''hands-on'' inspection activities

  1. The applications of risk-informed in-service-inspection

    International Nuclear Information System (INIS)

    Ting, K.; Ko, T.-H.; Li, Y.-C.; Wu, W.-F.; Lu, Y.-L.; Chien, F.-T.

    2005-01-01

    The US NRC and nuclear industry encouraged the applications of risk-informed In-Service Inspection (RI-ISI) which can be an alternative program to the ASME Section XI In-service Inspection requirements. The Implementation of RI-ISI can improve the substantial cost as well as does reductions. From the aspect of defense in depth for nuclear safety, the achievements of these procedures can identify the inspection rank to promote the integrity of the current inspection program. Thus, this study utilizes this techniques to implement risk assessment on safety class 1 recirculation piping welds where sensitized to IGSCC of Taibei BWR-6 nuclear power plant. In the evaluation process, WinPraise code is used to calculate the failure probabilities of all welds. The result of risk evaluations can be referred to the further regulatory and plant operation. (authors)

  2. Qualification of the Improved rotating probe process for steam generator tubes inspection

    International Nuclear Information System (INIS)

    Caston, D.

    2002-01-01

    In 1997, EDF called for bids to Eddy Current (EC) probes manufacturers to supply rotating probes in order to improve the inspection of the Roll Transition Zone of Steam Generator tubes. Several probes met EDF requirements, and after full assessment, EDF chose one between several EC rotating probe prototypes. For the state of its technical study, EDF chose CEGELEC NDTs services among French ISI SG NDT providers, to inspect a limited number of tubes on two French NPP in 2000 with this prototype. Improved Rotating Probe process technical requirements were provided by EDF with the SG contract specifications in June 2000. They dictate technique performances level and acquisition rate of this new process using two techniques at the same time: - STL classic technique applied for detection and sizing of axial cracks; - STT technique, applied for detection and Sizing of circumferential cracks and wear. It has to be used, instead of classic STL process, without increasing inspection duration and SG occupancy. In competition for the qualification, CEGELEC NDT decided to design a new probe with its providers, including the two EC sensors and meeting EDF's requirements. Two another new equipment, designed in CEGELEC NDT laboratories, have been integrated into Improved Rotating Probe Process: - 'STL Lift', new rotating probe push-puller for Roll Transition Zone inspection; - 'ANASTL', on-line STL and STT data quality check, on-line data processing and analysis software. Without talking about performances of the technique and results obtained on site, this paper presents the new equipment, the different phases of the qualification conducted according to RSE-M rules, the first field experiences in August 2001 and the feedback experience of following site inspections. (author)

  3. Toward a drone-based EL and PL inspection tool for PV power plants

    DEFF Research Database (Denmark)

    Riedel, Nicholas; Benatto, Gisele Alves dos Reis; Thorsteinsson, Sune

    2017-01-01

    of image detail and qualitative insight compared to IR thermography. Furthermore, detection and identification of incipient or severe faults in PV panels is more straightforward.This project proposes for the first time a fast and accurate automatic drone-based inspection method for large PV plants...

  4. Innovative phased array ultrasonic inspection solution for large rotor shafts

    Energy Technology Data Exchange (ETDEWEB)

    Maes, G.; Devos, D.; Tremblay, P., E-mail: gmaes@zetec.com [Zetec, Ville de Quebec, Quebec (Canada)

    2016-05-15

    The increasing needs of energy production led to new rotor shaft designs with larger dimensions. A new generation of nuclear power plants is already being deployed worldwide with such heavy components. Their implementation requires new inspection tools in order to guarantee the public safety and to ensure the quality of these critical parts. Due to the long sound path, conventional ultrasonic (UT) techniques cannot provide adequate detectability of the reference reflectors required by the existing codes. Also, some standards require multiple angle beams to be applied in addition to the straight beam inspection, and this leads to long inspection times. This paper will address the implementation and validation of phased array (PA) UT techniques, using a semi-flexible 2D array probe, for the inspection of large mono-block rotor shaft forgings. It will show how the beam focusing and steering capabilities of phased array UT probes can be used to overcome the issues occurring with conventional UT probes. Results of acoustic beam simulation, as well as detectability measurements and data acquisitions on representative test specimens will be presented and compared with conventional UT performance. Various aspects of the hardware and software specification will be addressed, as well as the potential reduction of the total inspection time. (author)

  5. Automated ultrasonic shop inspection of reactor pressure vessel forgings

    International Nuclear Information System (INIS)

    Farley, J.M.; Dikstra, B.J.; Hanstock, D.J.; Pople, C.H.

    1986-01-01

    Automated ultrasonic shop inspection utilizing a computer-controlled system is being applied to each of the forgings for the reactor pressure vessel of the proposed Sizewell B PWR power station. Procedures which utilize a combination of high sensitivity shear wave pulse echo, 0 degrees and 70 degrees angled longitudinal waves, tandem and through-thickness arrays have been developed to provide comprehensive coverage and an overall reliability of inspection comparable to the best achieved in UKAEA defect detection trials and in PISC II. This paper describes the ultrasonic techniques, the automated system (its design, commissioning and testing), validation and the progress of the inspections

  6. Innovative automation solutions applied to nuclear fuel production and inspection processes

    International Nuclear Information System (INIS)

    Vas, Ananth

    2012-01-01

    The nuclear industry in India is slated for fast paced growth in the coming years, with a great focus on increasing the capacity for producing, inspecting and finally reprocessing of nuclear fuel. Modern techniques of industrial automation such as robotics, machine vision and laser based systems have been deployed extensively to improve the productivity and output of existing and future installations, particularly for the fuel handling stages mentioned

  7. Eddy current inspection of stationary blade rings

    International Nuclear Information System (INIS)

    Krzywosz, K.J.; Hastings, S.N.

    1994-01-01

    Stationary turbine blade rings in a US power plant have experienced chloride-induced cracking. Failure analysis determined two types of cracking mechanisms: corrosion fatigue cracking confined to the leading edge of the outer shroud; and stress corrosion cracking present all over the blade surface. Fluorescent dye penetrant is typically used to detect and size cracks. However, it requires cleaning the blade rings by sandblasting to obtain reliable inspection results. Sand blasting in turn requires sealing the lower half of the turbine housing to prevent sand from contaminating the rest of the power plant components. Furthermore, both the penetrant examination and the removal of the sand are time consuming and costly. An alternative NDE technique is desirable which requires no pre-cleaning of the blade and a quick go/no-go inspection with the capability of estimating the crack length. This paper presents an innovative eddy current technique which meets the desired objectives by incorporating the use of specially designed contoured scanners equipped with an array of pancake coils. A set of eddy current pancake coils housed in three different scanners is used to manually scan and inspect the convex side of the stationary blade rings. The pancake coils are operated in a transmit/receive mode using two separate eddy current instruments. This paper presents the inspection concept, including scanner and probe designs, and test results from the various stages of multiple blade rings

  8. The application of PLC in 60Co container inspection system

    International Nuclear Information System (INIS)

    Huang Yibin; Xiang Xincheng

    2001-01-01

    The author discusses the interlock technique of 60 Co container inspection system, and introduces the hardware structure and program of interlock control system using PLC. Due to adopting PLC distributed control, the system works stably and reliably. The successful application of PLC in 60 Co container inspection system has some use for reference in nuclear technology field

  9. Remote inspection system for nuclear power plants

    International Nuclear Information System (INIS)

    Inagaki, K.; Fujii, M.; Doi, A.; Harima, T.

    1977-01-01

    A remote inspection system for nuclear power plants was constructed based on an analysis of inspections performed by an operator on patrol. This system consists of an operator's console and a remote station. The remote station, equipped with five kinds of sensors, is steered along the inspection route by a photoelectric guiding system or may be manually controlled from an operator's console in a main control room. Signals for control and inspection data are multiplexed and transmitted through a coaxial cable

  10. Ultrasonic inspection of the strength member weld of transit and pioneer heat sources

    International Nuclear Information System (INIS)

    Dudley, W.A.

    1975-01-01

    A nondestructive technique was developed which allows ultrasonic inspection of the closure weld for the strength member component in plutonium-238 radioisotopic heat sources. The advantage of the ultrasonic approach, over that of the more commonly used radiographic one, is the recognized superiority of ultrasonic testing for identifying lack-of-weld penetration (LOP) when accompanied by incomplete diffusion bonding. The ultrasonic technique, a transverse mode scan of the weld for detection of LOP, is primarily accomplished by use of a holding fixture which permits the vented heat source to be immersed into an inspection tank. The mechanical portion of the scanning system is a lathe modified with an inspection tank and a manipulator. This scanning system has been used in the past to inspect SNAP-27 heat sources. The analyzer-transducer combination used in the inspection is capable of detecting a channel type flaw with a side wall depth of 0.076 mm (0.003 in.) in a weld standard. (U.S.)

  11. PWR reactor vessel in-service-inspection according to RSEM

    International Nuclear Information System (INIS)

    Algarotti, Marc; Dubois, Philippe; Hernandez, Luc; Landez, Jean Paul

    2006-01-01

    Nuclear services experience Framatome ANP (an AREVA and Siemens company) has designed and constructed 86 Pressurized Water Reactors (PWR) around the world including the three units lately commissioned at Ling Ao in the People's Republic of China and ANGRA 2 in Brazil; the company provided general and specialized outage services supporting numerous outages. Along with the American and German subsidiaries, Framatome ANP Inc. and Framatome ANP GmbH, Framatome ANP is among the world leading nuclear services providers, having experience of over 500 PWR outages on 4 continents, with current involvement in more than 50 PWR outages per year. Framatome ANP's experience in the examinations of reactor components began in the 1970's. Since then, each unit (American, French and German companies) developed automated NDT inspection systems and carried out pre-service and ISI (In-Service Inspections) using a large range of NDT techniques to comply with each utility expectations. These techniques have been validated by the utilities and the safety authorities of the countries where they were implemented. Notably Framatome ANP is fully qualified to provide full scope ISI services to satisfy ASME Section XI requirements, through automated NDE tasks including nozzle inspections, reactor vessel head inspections, steam generator inspections, pressurizer inspections and RPV (Reactor Pressure Vessel) inspections. Intercontrole (Framatome ANP subsidiary dedicated in supporting ISI) is one of the leading NDT companies in the world. Its main activity is devoted to the inspection of the reactor primary circuit in French and foreign PWR Nuclear Power Plants: the reactor vessel, the steam generators, the pressurizer, the reactor internals and reactor coolant system piping. NDT methods mastered by Intercontrole range from ultrasonic testing to eddy current and gamma ray examinations, as well as dye penetrant testing, acoustic monitoring and leak testing. To comply with the high requirements of

  12. Realistic modeling of radiation transmission inspection systems

    International Nuclear Information System (INIS)

    Sale, K.E.

    1993-01-01

    We have applied Monte Carlo particle transport methods to assess a proposed neutron transmission inspection system for checked luggage. The geometry of the system and the time, energy and angle dependence of the source have been modeled in detail. A pulsed deuteron beam incident on a thick Be target generates a neutron pulse with a very broad energy spectrum which is detected after passage through the luggage item by a plastic scintillator detector operating in current mode (as opposed to pulse counting mode). The neutron transmission as a function of time information is used to infer the densities of hydrogen, carbon, oxygen and nitrogen in the volume sampled. The measured elemental densities can be compared to signatures for explosives or other contraband. By using such computational modeling it is possible to optimize many aspects of the design of an inspection system without costly and time consuming prototyping experiments or to determine that a proposed scheme will not work. The methods applied here can be used to evaluate neutron or photon schemes based on transmission, scattering or reaction techniques

  13. Televisual inspection of cleanliness in secondary side of steam generator

    International Nuclear Information System (INIS)

    Zhou Shoukang; Ding Xunshen

    1995-01-01

    For U-type tube steam generator (UTSG), the deposit will be piled on the low velocity area of tubesheet, tube bundle and support plates during operation. The deposit is the hidden region of pollutant, and the concentration effect will create the corrosion situation in secondary side of steam generator. So, it is very necessary to inspect the cleanliness of SG. The paper introduces the technique of cleanliness inspection with the VP3 1TV apparatus. The steam generator cleanliness inspection of GNP unit 1 and 2, after installation, major transients and commercial operation, shows that the 1TV technique not only provides the cleanliness condition of tubesheet, and removes the loose foreign object, but also provides the basis for the determination of lancing procedure, confirms the result of lancing, and gets the cleanliness conclusion according to the appropriate qualified standard

  14. Influence Of Inspection Intervals On Mechanical System Reliability

    International Nuclear Information System (INIS)

    Zilberman, B.

    1998-01-01

    In this paper a methodology of reliability analysis of mechanical systems with latent failures is described. Reliability analysis of such systems must include appropriate usage of check intervals for latent failure detection. The methodology suggests, that based on system logic the analyst decides at the beginning if a system can fail actively or latently and propagates this approach through all system levels. All inspections are assumed to be perfect (all failures are detected and repaired and no new failures are introduced as a result of the maintenance). Additional assumptions are that mission time is much smaller, than check intervals and all components have constant failure rates. Analytical expressions for reliability calculates are provided, based on fault tree and Markov modeling techniques (for two and three redundant systems with inspection intervals). The proposed methodology yields more accurate results than are obtained by not using check intervals or using half check interval times. The conventional analysis assuming that at the beginning of each mission system is as new, give an optimistic prediction of system reliability. Some examples of reliability calculations of mechanical systems with latent failures and establishing optimum check intervals are provided

  15. Evaluation and improvement in nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Deffenbaugh, J.D.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.

    1988-01-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactors (NDE Reliability) program at the Pacific Northwest Laboratory was established by the NRC to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements, based on material properties, service conditions and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other inspected components. This is a progress report covering the programmatic work from October 1986 through September 1987. (author)

  16. Evaluation and improvement in nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Deffenbaugh, J.D.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.

    1988-01-01

    The Evaluation and Improvement of NDE Reliability for Inservice Inspection of Light Water Reactor (NDE Reliability) program at the Pacific Northwest Laboratory was established by the NRC to determine the reliability of current inservice inspection (ISI) techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this program include determining the reliability of ISI performed on the primary systems of commercial light-water reactors (LWRs); using probabilistic fracture mechanics analysis to determine the impact of NDE unreliability on system safety; and evaluating reliability improvements that can be achieved with improved and advanced technology. A final objective is to formulate recommended revisions to ASME Code and Regulatory requirements, based on material properties, service conditions, and NDE uncertainties. The program scope is limited to ISI of the primary systems including the piping, vessel, and other inspected components. This is a progress report covering the programmatic work from October 1986 through September 1987

  17. Improvements in televisual and photographic inspections of AGRs

    International Nuclear Information System (INIS)

    Hayter, R.; Wadsworth, A.

    1988-01-01

    The visual inspection techniques and equipment used at AGR Power Stations have been improved and updated in the light of need and technological advance, new equipment being developed and introduced where necessary. Specifically this report covers the development and use of: a short TRIUMPH compatible photographic camera 600 mm long x 75 mm dia taking 50 shots on a 35 mm film; a 240 mm dia photographic pod taking high quality 70 mm format photographs of large in-reactor volumes; a photographic camera of cross section 37 x 17 mm for the inspection of helically wound AGR boilers and the subsequent development of this latter device into a state of the art TV inspection camera. (author)

  18. Predictive maintenance and inspection through airborne ultrasound technology

    Energy Technology Data Exchange (ETDEWEB)

    Bandes, A [UE Systems, Inc., Elmsford, NY (United States)

    1998-12-31

    Airborne ultrasound can be considered an ideal integrating technology in that these instruments can stand alone to detect a variety of potential problems or they can be used to support vibration and infrared inspection programs. Usually portable, these instruments detect leaks in both pressurized gas systems or vacuum systems and related equipment such as tanks, pipes, heat exchangers, valves and steam traps. Additional applications include inspection of high voltage apparatus for corona, arcing and tracking. They are used to trend bearing failure as well as to detect conditions such as lack of lubrication and rubbing. A brief overview of the technology, its applications and suggested inspection techniques are explained. (orig.) 2 refs.

  19. Predictive maintenance and inspection through airborne ultrasound technology

    Energy Technology Data Exchange (ETDEWEB)

    Bandes, A. [UE Systems, Inc., Elmsford, NY (United States)

    1997-12-31

    Airborne ultrasound can be considered an ideal integrating technology in that these instruments can stand alone to detect a variety of potential problems or they can be used to support vibration and infrared inspection programs. Usually portable, these instruments detect leaks in both pressurized gas systems or vacuum systems and related equipment such as tanks, pipes, heat exchangers, valves and steam traps. Additional applications include inspection of high voltage apparatus for corona, arcing and tracking. They are used to trend bearing failure as well as to detect conditions such as lack of lubrication and rubbing. A brief overview of the technology, its applications and suggested inspection techniques are explained. (orig.) 2 refs.

  20. User`s guide for the Augmented Computer Exercise for Inspection Training (ACE-IT), Version 2.0 software

    Energy Technology Data Exchange (ETDEWEB)

    Dobranich, P.R. [Sandia National Labs., Albuquerque, NM (United States); Horak, K.E.; Evanko, D.A. [Excel Tactical Staffing, Albuquerque, NM (United States)] [and others

    1998-04-01

    The on-site inspection provisions in many current and proposed arms control agreements require extensive preparation and training on the part of both the Inspection Teams (inspectors) and Inspected Parties (hosts). Traditional training techniques include lectures, table-top inspections, and practice inspections. The Augmented Computer Exercise for Inspection Training (ACE-IT), an interactive computer training tool, increases the utility of table-top inspections. ACE-IT is used for training both inspectors and hosts to conduct a hypothetical challenge inspection under the Chemical Weapons Convention (CWC). The training covers the entire sequence of events in the challenge inspection regime, from initial notification of an inspection through post-inspection activities. The primary emphasis of the training tool is on conducting the inspection itself, and in particular, implementing the concept of managed access. (Managed access is a technique used to assure the inspectors that the facility is in compliance with the CWC, while at the same time protecting sensitive information unrelated to the CWC.) Information for all of the activities is located in the electronic {open_quotes}Exercise Manual.{close_quotes} In addition, interactive menus are used to negotiate access to each room and to alternate information during the simulated inspection. ACE-IT also demonstrates how various inspection provisions impact compliance determination and the protection of sensitive information.

  1. Evaluating Heuristics for Planning Effective and Efficient Inspections

    Science.gov (United States)

    Shull, Forrest J.; Seaman, Carolyn B.; Diep, Madeline M.; Feldmann, Raimund L.; Godfrey, Sara H.; Regardie, Myrna

    2010-01-01

    A significant body of knowledge concerning software inspection practice indicates that the value of inspections varies widely both within and across organizations. Inspection effectiveness and efficiency can be measured in numerous ways, and may be affected by a variety of factors such as Inspection planning, the type of software, the developing organization, and many others. In the early 1990's, NASA formulated heuristics for inspection planning based on best practices and early NASA inspection data. Over the intervening years, the body of data from NASA inspections has grown. This paper describes a multi-faceted exploratory analysis performed on this · data to elicit lessons learned in general about conducting inspections and to recommend improvements to the existing heuristics. The contributions of our results include support for modifying some of the original inspection heuristics (e.g. Increasing the recommended page rate), evidence that Inspection planners must choose between efficiency and effectiveness, as a good tradeoff between them may not exist, and Identification of small subsets of inspections for which new inspection heuristics are needed. Most Importantly, this work illustrates the value of collecting rich data on software Inspections, and using it to gain insight into, and Improve, inspection practice.

  2. An analysis of OSHA inspections assessing contaminant exposures in general medical and surgical hospitals.

    Science.gov (United States)

    Knight, Jordan L; Sleeth, Darrah K; Larson, Rodney R; Pahler, Leon F

    2013-04-01

    This study analyzed data from the Occupational Safety and Health Administration's (OSHA) Chemical Exposure Health Database to assess contaminant exposures in general medical and surgical hospitals. Seventy-five inspections conducted in these hospitals from 2005 through 2009 were identified. Five categories of inspections were conducted, the three most common being complaint-based, planned, and referral-based inspections. Complaint-based inspections comprised the majority of inspections-55 (73%) of the 75 conducted. The overall violation rate for all inspection types was 68%. This finding was compared to the violation rates of planned inspections (100%), referral-based inspections (83%), and complaint-based inspections (62%). Asbestos was the hazardous substance most commonly sampled and cited by OSHA in hospitals, with 127 samples collected during 24 inspections; 31% of the total 75 inspections resulting in one or more violations were due to asbestos. Copyright 2013, SLACK Incorporated.

  3. Review of activities relevant to in-service inspection

    International Nuclear Information System (INIS)

    Imanaka, N.

    1980-01-01

    Nuclear power plants are requested to provide continuing safety that cannot compare with other industries, as plant safety is a matter of much concern. To provide continuous assurance for plant safety there is increasing tendency to demand much of inspection of components during the lifetime. This inservice inspection of LMFBRs should be investigated from a view point of different systems and characteristics from LWRs. In this paper a review for inservice inspection of LMFBRs is described. To provide a continuous assurance of safety to the LMFBR, it is essential to develop how to construct the components to maintain the integrity throughout the service lifetime. Especially how to design is urged for this object. In-service inspection should be located only to compensate some uncertainty remained at the design stage, as it is too much complex in practice. As for inspection techniques, leak monitoring is assumed to be a best way to assure the plant safety continuously with the minimum plant outage time and minimum radioactive hazard to the inspectors

  4. Inspection method of cable-stayed bridge using magnetic flux leakage detection: principle, sensor design, and signal processing

    International Nuclear Information System (INIS)

    Xu, Fengyu; Wang, Xingsong; Wu, Hongtao

    2012-01-01

    A nondestructive testing technique based on magnetic flux leakage is presented to inspect automatically the stay cables with large diameters of a cable-stayed bridge. Using the proposed inspection method, an online nondestructive testing (NDT) modular sensor is developed. The wreath-like sensor is composed of several sensor units that embrace the cable at equal angles. Each sensor unit consists of two permanent magnets and a hall sensor to detect the magnetic flux density. The modular sensor can be installed conveniently on cables with various diameters by increasing the number of sensor units and adjusting the relative distances between adjacent sensor units. Results of the experiments performed on a man-made cable with faults prove that the proposed sensor can inspect the status signals of the inner wires of the cables. To filter the interfering signals, three processing algorithms are discussed, including the moving average method, improved detrending algorithm, and signal processing based on a digital filter. Results show that the developed NDT sensor carried by a cable inspection robot can move along the cable and monitor the state of the stay cables

  5. Qualification of Manual Phased Array Ultrasonic Techniques for Pipe Weld Inspection in Nuclear Power Plants

    Energy Technology Data Exchange (ETDEWEB)

    Poirier, J.; Hayes, P.; Vicat, F. [GE Inspection Technologies (United States)

    2011-07-01

    Phasor XS can be used for piping weld inspection in any facilities that use EPRI procedures (example: nuclear power plant in Usa, Japan, ...). Whole pipe range is inspected with 5 probes and 6 wedges: 4 1-dimensional probe for sound wave scanning (different frequency, different apertures); 1 dual matrix probe for LW scanning; there are 3 types of wedges optimized for weld inspection. Weld is scanned in 'Raster Scan', maximum range from 35 up to 80 degrees. Probe selection is defined in the procedure according to pipe diameter, pipe thickness and type of access (single or dual side). We have to note that datasets for dual matrix probe are provided with the procedure because this kind of probe cannot be programmed inside Phasor XS

  6. Short notice inspections

    International Nuclear Information System (INIS)

    Pouchkarev, V.

    1998-01-01

    For 30 years the IAEA safeguards system have evolved and have been strengthened by the regular introduction of new methods and techniques, improving both its effectiveness and efficiency. The member States of the IAEA have indicated their willingness to accept new obligations and associated technical measure that greatly strengthen the nuclear safeguards system. One element of this is the extent to which the IAEA inspectors have physical access to relevant locations for the purpose of providing independent verification of the exclusively peaceful intent of a State nuclear program. The Protocol to Safeguards granted new legal authority with respect to information on, and short notice inspector access to, all buildings on a nuclear site and administrative agreements that improve the process of designating inspectors and IAEA access to modern means of communication. This report is a short description of unannounced or short notice inspections as measures on which the new strengthened and cost efficient system will be based

  7. Evaluation and improvement in nondestructive examination (NDE) reliability for in-service inspection of light water reactors

    International Nuclear Information System (INIS)

    Deffenbaugh, J.D.; Good, M.S.; Green, E.R.; Heasler, P.G.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.

    1988-01-01

    The evaluation and improvement of NDE Reliability for In-service Inspection (ISI) of Light Water Reactors (NDE Reliability) Program at Pacific Northwest Laboratory (PNL) was established to determine the reliability of current ISI techniques and to develop recommendations that will ensure a suitably high inspection reliability. The objectives of this NRC program are to: determine the reliability of ultrasonic ISI performed on commercial light-water reactor (LWR) primary systems; determine the impact of NDE unreliability on system safety and determine the level of inspection reliability required to ensure a suitably low failure probability using probabilistic fracture mechanics analysis; evaluate the degree of reliability improvement that could be achieved using improved and advanced NDE technique; and recommend revisions to ASME Code, Section XI, and Regulatory Requirements, based on material properties, service conditions, and NDE uncertainties, that will ensure suitably low failure probabilities. The program consists of three basic tasks: a Piping task, a Pressure Vessel task, and an Evaluation and Improvement in NDE Reliability task. The major efforts were concentrated in the Piping task and the Evaluation and Improvement in NDE Reliability task

  8. Real-time distortion correction for visual inspection systems based on FPGA

    Science.gov (United States)

    Liang, Danhua; Zhang, Zhaoxia; Chen, Xiaodong; Yu, Daoyin

    2008-03-01

    Visual inspection is a kind of new technology based on the research of computer vision, which focuses on the measurement of the object's geometry and location. It can be widely used in online measurement, and other real-time measurement process. Because of the defects of the traditional visual inspection, a new visual detection mode -all-digital intelligent acquisition and transmission is presented. The image processing, including filtering, image compression, binarization, edge detection and distortion correction, can be completed in the programmable devices -FPGA. As the wide-field angle lens is adopted in the system, the output images have serious distortion. Limited by the calculating speed of computer, software can only correct the distortion of static images but not the distortion of dynamic images. To reach the real-time need, we design a distortion correction system based on FPGA. The method of hardware distortion correction is that the spatial correction data are calculated first under software circumstance, then converted into the address of hardware storage and stored in the hardware look-up table, through which data can be read out to correct gray level. The major benefit using FPGA is that the same circuit can be used for other circularly symmetric wide-angle lenses without being modified.

  9. Compressed Sensing Techniques Applied to Ultrasonic Imaging of Cargo Containers

    Directory of Open Access Journals (Sweden)

    Yuri Álvarez López

    2017-01-01

    Full Text Available One of the key issues in the fight against the smuggling of goods has been the development of scanners for cargo inspection. X-ray-based radiographic system scanners are the most developed sensing modality. However, they are costly and use bulky sources that emit hazardous, ionizing radiation. Aiming to improve the probability of threat detection, an ultrasonic-based technique, capable of detecting the footprint of metallic containers or compartments concealed within the metallic structure of the inspected cargo, has been proposed. The system consists of an array of acoustic transceivers that is attached to the metallic structure-under-inspection, creating a guided acoustic Lamb wave. Reflections due to discontinuities are detected in the images, provided by an imaging algorithm. Taking into consideration that the majority of those images are sparse, this contribution analyzes the application of Compressed Sensing (CS techniques in order to reduce the amount of measurements needed, thus achieving faster scanning, without compromising the detection capabilities of the system. A parametric study of the image quality, as a function of the samples needed in spatial and frequency domains, is presented, as well as the dependence on the sampling pattern. For this purpose, realistic cargo inspection scenarios have been simulated.

  10. Quality assurance inspections in the transportation packaging supplier industry

    International Nuclear Information System (INIS)

    Jankovich, J.P.

    1991-01-01

    In this paper the quality assurance inspections of the transportation packaging supplier industry, conducted by the U.S. Nuclear Regulatory Commission (NRC) on a routine basis since 1989 are discussed. The term supplier is used to include designers, fabricators, and distributors that hold NRC approved Quality Assurance Programs and Certificates of Compliance for packagings to transport radioactive materials. The objective of the inspections is to provide assurance that transportation packagings are fabricated and procured in accordance with 10 CFR Parts 21 and 71 requirements. The inspections are conducted in a systematic and comprehensive manner, utilizing uniform inspection techniques in order to assure uniformity and comparability. During the April 1989 and May 1991 period approximately 21 inspections were conducted by the Transportation Branch, Office of Nuclear Material Safety and Safeguards of the NRC. The majority of the findings were identified in the areas of quality assurance procedures, control of special processes (e.g. welding, radiography), and maintenance of QA records

  11. Recent developments of non-destructive inspection techniques in Takasago R/D center

    International Nuclear Information System (INIS)

    Kurokawa, Masaaki; Enami, Koji; Asada, Yoshiro

    2000-01-01

    Eddy current testing (ECT) has been widely used in in-service-inspection of generator tubes in nuclear power plants. A bobbin coil probe is mainly and routinely used for fully length inspection of all SG tubes in Japan. From the view point of delectability, a mechanically rotating pancake probe is superior among various ECT probes. Therefore, it is highly desirable to develop a new ECT probe which has similar performance to them rotating pancake probe and has similar capability in actual inspection speed to the bobbin coil probe. In this paper, we will explain the new ECT probe. Main results are as follows. (1) It was shown that the new probe with 4 detecting coils and one slant exciting coil was superior in flaw delectability compared with various kinds of conventional probes. (2) It was shown that electric circuits of amplifiers, multiplexer and so on in the probe itself was very useful to reduce the number of signal cables from detecting coils and to increase signal to noise ratio. (3) we designed and made the ECT probe with thin film coils for detecting coils and with miniaturized electric circuits. In experiments, the prototype probe could detect successfully both axial and circumferential EDM notch of 20% tube thickness. It is confirmed that thin film coils have potential to be used for the new ECT probes and basic functions of electric circuits work very well. (Authors)

  12. Inspection of Defect Detection Trials Plate 3 by the Materials Physics Department, RNL

    International Nuclear Information System (INIS)

    Rogerson, A.; Poulter, L.N.J.; Dyke, A.V.; Tickle, H.

    1983-11-01

    In January 1982, Risley Nuclear Laboratories (RNL) performed an inspection of Plate 3 of the UKAEA sponsored Defect Detection Trials. A detailed description is given of the ultrasonic techniques and procedures adopted by RNL for this inspection. 0 0 and 70 0 longitudinal twin crystal probes and 70 0 shear probes were used for flaw detection and lateral dimensioning of defects. The time of flight technique was used for through thickness flaw sizing. Comparison is made of the reported inspection results and flaw sizes and locations obtained from destructive examination. All flaws were detected and the reported through thickness sizes were within +- 2 mm of the intended values. (author)

  13. Point-based POMDP Risk Based Inspection of Offshore Wind Substructures

    DEFF Research Database (Denmark)

    Morato, Pablo G.; Mai, Quang A.; Rigo, Philippe

    2018-01-01

    This article presents a novel methodology to select the optimal maintenance strategy of an offshore wind structural component, providing a flexible and reliable support to decision-making and balancing inspection, repair and failure costs. The procedure to create a “Point-Based” Partially...

  14. Targeted In-service Inspections Using Risk Insights

    International Nuclear Information System (INIS)

    Kulat, S.; Montgomery, B.; Robin Graybeal, M.

    2012-01-01

    This paper includes a discussion of the historical background and rationale for development of a targeted In-service Inspection (ISI) program using risk insights, known as Risk-Informed In-service Inspection (RI-ISI). RI-ISI programs are optimized inspection programs which target specific welds for inspection based upon potential degradation mechanisms and consequences of failure. Inspections are performed on those welds that are the highest contributors to plant risk. Additionally the inspections are tailored to detect the specific postulated degradation mechanisms. As a result, the numbers of inspections are reduced along with the associated cost and radiation exposure, while maintaining or improving the level of quality and safety. Provided in this paper are the basic principles of RI-ISI program development, and a summary of the impact of the implementation of such programs. For example, implementation of a Risk-Informed In-service Inspection program results in a reduction of both cost and radiation exposure. Cost savings are estimated at between USD 1,000,000 and USD 2,300,000 per unit per ten year interval for a Class 1 and2 RI-ISI application. Cost savings are estimated based on average cost per weld of USD 7600 for examination, including the following activities: erection and removal of scaffolding, removal and replacement of insulation, removal and replacement of interferences, weld preparation, examination, documentation, craft support. Reduction in radiation exposure is estimated at 75% to 90% for a Class 1 and2 RI-ISI application. Reduction in radiation exposure is due to the following factors: number of welds selected for examination decreases by 60% to 75%, surface examinations essentially eliminated, within a given risk category, welds can be selected for examination based on additional factors such as the minimization of radiation exposure.(author).

  15. Inspection methods for safeguards systems at nuclear facilities

    International Nuclear Information System (INIS)

    Minichino, C.; Richard, E.W.

    1981-01-01

    A project team at Lawrence Livermore National Laboratory has been developing inspection procedures and training materials for the NRC inspectors of safeguards systems at licensed nuclear facilities. This paper describes (1) procedures developed for inspecting for compliance with the Code of Federal Regulations, (2) training materials for safeguards inspectors on technical topics related to safeguards systems, such as computer surety, alarm systems, sampling techniques, and power supplies, and (3) an inspector-oriented methodology for evaluating the overall effectiveness of safeguards systems

  16. Waste Calcining Facility remote inspection report

    International Nuclear Information System (INIS)

    Patterson, M.W.; Ison, W.M.

    1994-08-01

    The purpose of the Waste Calcining Facility (WCF) remote inspections was to evaluate areas in the facility which are difficult to access due to high radiation fields. The areas inspected were the ventilation exhaust duct, waste hold cell, adsorber manifold cell, off-gas cell, calciner cell and calciner vessel. The WCF solidified acidic, high-level mixed waste generated during nuclear fuel reprocessing. Solidification was accomplished through high temperature oxidation and evaporation. Since its shutdown in 1981, the WCFs vessels, piping systems, pumps, off-gas blowers and process cells have remained contaminated. Access to the below-grade areas is limited due to contamination and high radiation fields. Each inspection technique was tested with a mock-up in a radiologically clean area before the equipment was taken to the WCF for the actual inspection. During the inspections, essential information was obtained regarding the cleanliness, structural integrity, in-leakage of ground water, indications of process leaks, indications of corrosion, radiation levels and the general condition of the cells and equipment. In general, the cells contain a great deal of dust and debris, as well as hand tools, piping and miscellaneous equipment. Although the building appears to be structurally sound, the paint is peeling to some degree in all of the cells. Cracking and spalling of the concrete walls is evident in every cell, although the east wall of the off-gas cell is the worst. The results of the completed inspections and lessons learned will be used to plan future activities for stabilization and deactivation of the facility. Remote clean-up of loose piping, hand tools, and miscellaneous debris can start immediately while information from the inspections is factored into the conceptual design for deactivating the facility

  17. Development of ultrasonic testing technique with the large transducer to inspect the containment vessel plates of nuclear power plant embedded in concrete

    International Nuclear Information System (INIS)

    Ishida, Hitoshi; Kurozumi, Yasuo; Kaneshima, Yoshiari

    2004-01-01

    The containment vessel plates embedded in concrete on Pressurized Water Reactors are inaccessible to inspect directly. Therefore, it is advisable to prepare inspection technology to detect existence and a location of corrosion on the embedded plates indirectly. In order to establish ultrasonic testing technique to be able to inspect the containment vessel plates embedded in concrete widely at the accessible point, experiments to detect artificial hollows simulating corrosion on a surface of a carbon steel plate mock-up covered with concrete simulating the embedded containment vessel plates were carried out with newly made ultrasonic transducers. We made newly low frequency (0.3 MHz and 0.5 MHz) surface shear horizontal (SH) wave transducers combined with three large active elements, which were equivalent to a 120mm width element. As a result of the experiments, the surface SH transducers could detect clearly the echo from the hollows with a depth of 9.5 mm and 19 mm at a distance of 1500mm from the transducers on the surface of the mock-up covered with concrete. Therefore, we evaluate that it is possible to detect the defects such as corrosion on the plates embedded in concrete with the newly made low frequency surface SH transducers with large elements. (author)

  18. Research on nondestructive examination methods for CANDU fuel channel inspection

    International Nuclear Information System (INIS)

    Soare, M.; Petriu, F.; Toma, V.; Revenco, V.; Calinescu, A.; Ciocan, R.; Iordache, C.; Popescu, L.; Mihalache, M.; Murgescu, C.

    1995-01-01

    The requirements of the 1994 edition of CAN/CSA-N285.4 Periodic Inspection Standard, which address all known and postulated degradation mechanisms and introduce material surveillance demands, involve a growing need for improved nondestructive examination (NDE) methods and technologies. In order to have a proper technical support in its decisions concerning fuel channel inspections at Cernavoda NPP, the Romanian Power Authority (RENEL) initiated a Research Program regarding the nondestructive characterization of the fuel channels structural integrity. The paper presents the most significant results obtained on this Research Program: the ENDUS experimental system for Laboratory simulation of the fuel channel inspection, ultrasonic Rayleigh-Lamb waves technique for pressure tubes examination, phase analysis technique for near-surface flaws, influence of the metallurgical state of the pressure tube material on the eddy current defectoscopic signals, characterization of plastic deformation and fracture of zirconium alloys by acoustic emission. (author)

  19. Evaluating the Usefulness and the Ease of Use of a Web-based Inspection Data Collection Tool

    OpenAIRE

    Dreyer, H.M.; Laitenberger, O.

    1998-01-01

    This paper contributes a valid and reliable measurement instrument in the form of a questionnaire to determine, from a userüs perspective, the usefulness and ease of use of a Web-based Inspection Process Support tool (WIPS) that we developed for inspection data collection. The questionnaire is built upon the work of Fred Davis on perceived usefulness, ease of use, and usage of information technology. To validate the questionnaire and its underlying model as well as to evaluate WIPS, we perfor...

  20. Ultrasonic Inspection of Cracks in Stud Bolts of Reactor Vessels in Nuclear Power Plants by Signal Processing of Differential Operation

    International Nuclear Information System (INIS)

    Choi, Sang Woo; Lee, Joon Hyun; Oh, Won Deok

    2005-01-01

    The stud bolt is one of crucial parts for safe operation of reactor vessels in nuclear power plants, Crack initiation and propagation were reported in stud bolts that arc used for closure of reactor vessel and head, Stud bolts are inspected by ultrasonic technique during overhaul periodically for the prevention of stud bolt failure which could induce radioactive leakage from nuclear reactor, In conventional ultrasonic testing for inspection of stud bolts, cracks are detected by using shadow effect It takes too much time to inspect stud bolts by using conventional ultrasonic technique. In addition, there were numerous spurious signals reflected from every oblique surfaces of thread, In this study, the signal processing technique for enhancing conventional ultrasonic technique was introduced for inspecting stud bolts. The signal processing technique provides removing spurious signal reflected from every oblique surfaces of thread and enhances detectability of defects. Detectability for small crack was enhanced by using this signal processing in ultrasonic inspection of stud bolts in Nuclear Power Plants

  1. High throughput web inspection system using time-stretch real-time imaging

    Science.gov (United States)

    Kim, Chanju

    Photonic time-stretch is a novel technology that enables capturing of fast, rare and non-repetitive events. Therefore, it operates in real-time with ability to record over long period of time while having fine temporal resolution. The powerful property of photonic time-stretch has already been employed in various fields of application such as analog-to-digital conversion, spectroscopy, laser scanner and microscopy. Further expanding the scope, we fully exploit the time-stretch technology to demonstrate a high throughput web inspection system. Web inspection, namely surface inspection is a nondestructive evaluation method which is crucial for semiconductor wafer and thin film production. We successfully report a dark-field web inspection system with line scan speed of 90.9 MHz which is up to 1000 times faster than conventional inspection instruments. The manufacturing of high quality semiconductor wafer and thin film may directly benefit from this technology as it can easily locate defects with area of less than 10 microm x 10 microm where it allows maximum web flow speed of 1.8 km/s. The thesis provides an overview of our web inspection technique, followed by description of the photonic time-stretch technique which is the keystone in our system. A detailed explanation of each component is covered to provide quantitative understanding of the system. Finally, imaging results from a hard-disk sample and flexible films are presented along with performance analysis of the system. This project was the first application of time-stretch to industrial inspection, and was conducted under financial support and with close involvement by Hitachi, Ltd.

  2. A condition-based maintenance policy with non-periodic inspections for a two-unit series system

    International Nuclear Information System (INIS)

    Castanier, B.; Grall, A.; Berenguer, C.

    2005-01-01

    This paper considers a condition-based maintenance policy for a two-unit deteriorating system. Each unit is subject to gradual deterioration and is monitored by sequential non-periodic inspections. It can be maintained by good as new preventive or corrective replacements. Every inspection or replacement entails a set-up cost and a component-specific unit cost but if actions on the two components are combined, the set-up cost is charged only once. A parametric maintenance decision framework is proposed to coordinate inspection/replacement of the two components and minimize the long-run maintenance cost of the system. A stochastic model is developed on the basis of the semi-regenerative properties of the maintained system state and the associated cost model is used to assess and optimize the performance of the maintenance model. Numerical experiments emphasize the interest of a control of the operation groupings

  3. Ultrasonic guided wave inspection of Inconel 625 brazed lap joints

    Science.gov (United States)

    Comot, Pierre; Bocher, Philippe; Belanger, Pierre

    2016-04-01

    The aerospace industry has been investigating the use of brazing for structural joints, as a mean of reducing cost and weight. There therefore is a need for a rapid, robust, and cost-effective non-destructive testing method for evaluating the structural integrity of the joints. The mechanical strength of brazed joints depends mainly on the amount of brittle phases in their microstructure. Ultrasonic guided waves offer the possibility of detecting brittle phases in joints using spatio-temporal measurements. Moreover, they offer the opportunity to inspect complex shape joints. This study focused on the development of a technique based on ultrasonic guided waves for the inspection of Inconel 625 lap joints brazed with BNi-2 filler metal. A finite element model of a lap joint was used to optimize the inspection parameters and assess the feasibility of detecting the amount of brittle phases in the joint. A finite element parametric study simulating the input signal shape, the center frequency, and the excitation direction was performed. The simulations showed that the ultrasonic guided wave energy transmitted through, and reflected from, the joints was proportional to the amount of brittle phases in the joint.

  4. Optical Inspection In Hostile Industrial Environments: Single-Sensor VS. Imaging Methods

    Science.gov (United States)

    Cielo, P.; Dufour, M.; Sokalski, A.

    1988-11-01

    On-line and unsupervised industrial inspection for quality control and process monitoring is increasingly required in the modern automated factory. Optical techniques are particularly well suited to industrial inspection in hostile environments because of their noncontact nature, fast response time and imaging capabilities. Optical sensors can be used for remote inspection of high temperature products or otherwise inaccessible parts, provided they are in a line-of-sight relation with the sensor. Moreover, optical sensors are much easier to adapt to a variety of part shapes, position or orientation and conveyor speeds as compared to contact-based sensors. This is an important requirement in a flexible automation environment. A number of choices are possible in the design of optical inspection systems. General-purpose two-dimensional (2-D) or three-dimensional (3-D) imaging techniques have advanced very rapidly in the last years thanks to a substantial research effort as well as to the availability of increasingly powerful and affordable hardware and software. Imaging can be realized using 2-D arrays or simpler one-dimensional (1-D) line-array detectors. Alternatively, dedicated single-spot sensors require a smaller amount of data processing and often lead to robust sensors which are particularly appropriate to on-line operation in hostile industrial environments. Many specialists now feel that dedicated sensors or clusters of sensors are often more effective for specific industrial automation and control tasks, at least in the short run. This paper will discuss optomechanical and electro-optical choices with reference to the design of a number of on-line inspection sensors which have been recently developed at our institute. Case studies will include real-time surface roughness evaluation on polymer cables extruded at high speed, surface characterization of hot-rolled or galvanized-steel sheets, temperature evaluation and pinhole detection in aluminum foil, multi

  5. Inspection of Nuclear Power Plant Containment Structures

    Energy Technology Data Exchange (ETDEWEB)

    Graves, H.L.; Naus, D.J.; Norris, W.E.

    1998-12-01

    Safety-related nuclear power plant (NPP) structures are designed to withstand loadings from a number of low-probability external and interval events, such as earthquakes, tornadoes, and loss-of-coolant accidents. Loadings incurred during normal plant operation therefore generally are not significant enough to cause appreciable degradation. However, these structures are susceptible to aging by various processes depending on the operating environment and service conditions. The effects of these processes may accumulate within these structures over time to cause failure under design conditions, or lead to costly repair. In the late 1980s and early 1990s several occurrences of degradation of NPP structures were discovered at various facilities (e.g., corrosion of pressure boundary components, freeze- thaw damage of concrete, and larger than anticipated loss of prestressing force). Despite these degradation occurrences and a trend for an increasing rate of occurrence, in-service inspection of the safety-related structures continued to be performed in a somewhat cursory manner. Starting in 1991, the U.S. Nuclear Regulatory Commission (USNRC) published the first of several new requirements to help ensure that adequate in-service inspection of these structures is performed. Current regulatory in-service inspection requirements are reviewed and a summary of degradation experience presented. Nondestructive examination techniques commonly used to inspect the NPP steel and concrete structures to identify and quantify the amount of damage present are reviewed. Finally, areas where nondestructive evaluation techniques require development (i.e., inaccessible portions of the containment pressure boundary, and thick heavily reinforced concrete sections are discussed.

  6. An optimal inspection strategy for randomly failing equipment

    International Nuclear Information System (INIS)

    Chelbi, Anis; Ait-Kadi, Daoud

    1999-01-01

    This paper addresses the problem of generating optimal inspection strategies for randomly failing equipment where imminent failure is not obvious and can only be detected through inspection. Inspections are carried out following a condition-based procedure. The equipment is replaced if it has failed or if it shows imminent signs of failure. The latter state is indicated by measuring certain predetermined control parameters during inspection. Costs are associated with inspection, idle time and preventive or corrective actions. An optimal inspection strategy is defined as the inspection sequence minimizing the expected total cost per time unit over an infinite span. A mathematical model and a numerical algorithm are developed to generate an optimal inspection sequence. As a practical example, the model is applied to provide a machine tool operator with a time sequence for inspecting the cutting tool. The tool life time distribution and the trend of one control parameter defining its actual condition are supposed to be known

  7. A stereoscopic television system for reactor inspection

    International Nuclear Information System (INIS)

    Friend, D.B.; Jones, A.

    1980-03-01

    A stereoscopic television system suitable for reactor inspection has been developed. Right and left eye views, obtained from two conventional black and white cameras, are displayed by the anaglyph technique and observers wear appropriately coloured viewing spectacles. All camera functions, such as zoom, focus and toe-in are remotely controlled. A laboratory experiment is described which demonstrates the increase in spatial awareness afforded by the use of stereo television and illustrates its potential in the supervision of remote handling tasks. Typical depth resolutions of 3mm at 1m and 10mm at 2m have been achieved with the reactor instrument. Trials undertaken during routine inspection at Oldbury Power Station in June 1978 are described. They demonstrate that stereoscopic television can indeed improve the convenience of remote handling and that the added display realism is beneficial in visual inspection. (author)

  8. Pathology Residents Comprise Inspection Team for a CAP Self-Inspection

    Directory of Open Access Journals (Sweden)

    Stacy G. Beal MD

    2017-03-01

    Full Text Available We report our experience at the University of Florida in which residents and fellows served as the inspection team for a College of American Pathologists (CAP self-inspection. We aimed to determine whether the CAP self-inspection could serve as a learning opportunity for pathology residents and fellows. To prepare for the inspection, we provided a series of 4 lunchtime seminars covering numerous laboratory management topics relating to inspections and laboratory quality. Preparation for the inspection began approximately 4 months prior to the date of the inspection. The intent was to simulate a CAP peer inspection, with the exception that the date was announced. The associate residency program director served as the team leader. All residents and fellows completed inspector training provided by CAP, and the team leader completed the team leader training. A 20 question pre- and posttest was administered; additionally, an anonymous survey was given after the inspection. The residents’ and fellows’ posttest scores were an average of 15% higher than on the pretest ( P < .01. The surveys as well as subjective comments were overwhelmingly positive. In conclusion, the resident’s and fellow’s experience as an inspector during a CAP self-inspection was a useful tool to learn accreditation and laboratory management.

  9. Machine Vision based Micro-crack Inspection in Thin-film Solar Cell Panel

    Directory of Open Access Journals (Sweden)

    Zhang Yinong

    2014-09-01

    Full Text Available Thin film solar cell consists of various layers so the surface of solar cell shows heterogeneous textures. Because of this property the visual inspection of micro-crack is very difficult. In this paper, we propose the machine vision-based micro-crack detection scheme for thin film solar cell panel. In the proposed method, the crack edge detection is based on the application of diagonal-kernel and cross-kernel in parallel. Experimental results show that the proposed method has better performance of micro-crack detection than conventional anisotropic model based methods on a cross- kernel.

  10. Remote tooling for inspection and repair in Pickering NGS-A calandria vault

    International Nuclear Information System (INIS)

    Hadji-Mirzai, M.; Tokarz, A.; Vandenberg, J.P.

    1993-01-01

    In recent years it has been necessary to develop capabilities for the inspection and repair of carbon steel components located within calandria vaults at Ontario Hydro's Pickering Nuclear Generating Station 'A'. Concerns about corrosion of piping and some of the structural components have made necessary the development of remote manipulators to inspect and repair carbon steel components within the vaults to ensure continued reliable operation of the units. Remote manipulators for this program have been designed to perform a number of inspection and repair tasks, and several versions have been developed to specialise in detailed inspection techniques and precision tooling module manipulation. (author)

  11. Surface inspection technique with an eddy current testing array probe

    International Nuclear Information System (INIS)

    Nishimizu, Akira; Endo, Hisashi; Tooma, Masahiro; Otani, Kenichi; Ouchi, Hirofumi; Yoshida, Isao; Nonaka, Yoshio

    2010-01-01

    An eddy current testing (ECT) system has been developed for inspecting weld surfaces of components in the reactor pressure vessel of nuclear plants. The system can be applied to curved surfaces with an ECT array probe, it can discriminate flaws from other signal factors by using a combination of arrayed coils signal-phase. The system is applied to a mock-up of core internal components and the signal discrimination using the signal-phase clearly separated flaw and noise signals. (author)

  12. Decision Analysis Technique

    Directory of Open Access Journals (Sweden)

    Hammad Dabo Baba

    2014-01-01

    Full Text Available One of the most significant step in building structure maintenance decision is the physical inspection of the facility to be maintained. The physical inspection involved cursory assessment of the structure and ratings of the identified defects based on expert evaluation. The objective of this paper is to describe present a novel approach to prioritizing the criticality of physical defects in a residential building system using multi criteria decision analysis approach. A residential building constructed in 1985 was considered in this study. Four criteria which includes; Physical Condition of the building system (PC, Effect on Asset (EA, effect on Occupants (EO and Maintenance Cost (MC are considered in the inspection. The building was divided in to nine systems regarded as alternatives. Expert's choice software was used in comparing the importance of the criteria against the main objective, whereas structured Proforma was used in quantifying the defects observed on all building systems against each criteria. The defects severity score of each building system was identified and later multiplied by the weight of the criteria and final hierarchy was derived. The final ranking indicates that, electrical system was considered the most critical system with a risk value of 0.134 while ceiling system scored the lowest risk value of 0.066. The technique is often used in prioritizing mechanical equipment for maintenance planning. However, result of this study indicates that the technique could be used in prioritizing building systems for maintenance planning

  13. Information managing in 60Co container inspection system

    International Nuclear Information System (INIS)

    Wu Zhifang; Gu Bohua; Zhou Liye; An Jigang; Liu Yisi

    1998-01-01

    The design, maintenance and realization of information managing database in 60 Co container inspection system made by INET of Tsinghua University is introduced. The technique of Open Database Connectivity (ODBC) is adopted to develop a general format database including text and graphic information. The database application is developed with Visual C ++ 5.0 programming language to run in 32-bit Windows operation system. It conforms to Client/Server model and supports network communication. It works very well in the laboratory emulator of 60 Co container inspection system

  14. Future plans for performance analysis and maintenance/inspection optimization of shutoff rods based on the case study of Bruce Power Unit-3 Shutoff Rod 5 inspection

    International Nuclear Information System (INIS)

    Nasimi, E.; Gabbar, H.A.

    2011-01-01

    Shutdown System 1 (SDS1) is a preferred method for a quick shutdown of nuclear fission process in CANDU (CANada Deuterium Uranium) reactor units. Failure of a routine SDS1 safety test during Fall 2009 outage resulted in the need to develop and execute a new methodology for Shutoff Rod inspection and re-evaluate the known degradation mechanisms and failure modes. This paper describes the development of this methodology and the obtained results. It also proposes several alternative solutions for the future performance analysis and maintenance/inspection optimization for SDS1 Shutoff Rods based on the Bruce Power Unit-3 Shutoff Rod 5 case study. (author)

  15. Overview of improvements in work practices and instrumentation for CANDU primary heat transport feeders in-service inspections

    Energy Technology Data Exchange (ETDEWEB)

    Marcotte, O., E-mail: olivier@nucleom.ca [Nucleom Inc., Quebec, Quebec (Canada); Rousseau, G., E-mail: rousseau.gilles.a@hydro.qc.ca [Hydro Quebec, Becancour, Quebec (Canada); Rochefort, E., E-mail: erochfort@zetec.com [Zetec Canada, Quebec, Quebec (Canada)

    2013-01-15

    The Canadian nuclear industry has developed many advanced non-destructive inspection techniques to be applied safely in hazardous environments in recent years. Automated systems, manual tooling and specialized software modules have been designed since early 2000s to provide complete and very efficient fitness for service inspection of primary heat transport system carbon steel feeder pipes. These techniques deal with complex geometries, difficult access and, radioactive environment. Complementary NDE techniques, namely Ultrasounds, eddy current, phased-array UT and automated scanners are used. This presentation describes the improvements in inspection practices and the advanced data analysis features. (author)

  16. Development of Pipe Inspection Gauge (PIG) for leak detection in buried pipelines using radiotracer technique

    International Nuclear Information System (INIS)

    Pendharkar, A.S.; Sharma, V.K.; Pant, H.J.; Singh, Gursharan

    2004-01-01

    This paper discusses the development of a portable battery operated radioisotope based pipeline inspection gauge( PIG) for detection and location of leaks as well as to examine the condition of the underground pipelines. The system consists of a scintillation detector, power supply for detector and other electronic circuits. Pulse processing amplifier data acquisition system, readout unit and software to transfer data to computer for further processing. The microcontroller based pig is very useful in a field in an underground pipeline of 6 inch diameter and above. The battery operated pig system developed indigenously is rugged and portable with data storage capacity up to 20 hours. The system was tested under simulated leak conditions and field trials are being planned. (author)

  17. Mechanized inspection of steam generator components during manufacture

    International Nuclear Information System (INIS)

    Otte, H.-J.; Leupoldt, K.; Meister, W.

    2009-01-01

    Steam Generator (SG) parts are intensively inspected by UT in the course of the manufacturing process. These inspections - mostly performed manually using different codes - are time consuming and call for a sophisticated documentation, figuring part of the life time documentation package. In order to reduce time and costs mechanized inspection equipment is introduced, combining short inspection times, avoiding influence of the human factor and providing proper electronic storage of all inspection results prepared for comparison with data generated during in-service inspection. Since 2001 Cegelec delivered various UT systems for gas turbine disks and rotor ends called SIRO-MAN. Within only a few years the majority of important providers of such components successfully switched from manual inspection to mechanized inspection following the requirements of manufacturers like ALSTOM, GE and Siemens. The SIRO-MAN is now adapted to the needs of mechanized inspection of SG components. The inspection is performed on the products during rotation around the vertical axis. The multi - probe assemblies are manoeuvred on the products by a manipulator system backed by a NC control unit. Acoustic coupling of UT probes to the product surface is performed with oil or water in a closed circuit. UT and - if requested ET - data along with position information of the probe assembly provided by the control unit are acquired, processed and evaluated by an UT / ET electronic system delivered by either Olympus or ZETEC. As performed already on rotor ends a sequence of inspections using different parameter settings can be programmed with simple means (Teach In) so that such inspection sequence can be executed without operating personnel. Probe assemblies allow for individual operation of probes out of the probe assembly according to the individual needs. Conventional UT and phased array applications or combination of both techniques can be provided. The UT / ET electronic equipment offers

  18. Structural inspection and wind analysis of redwood cooling towers at the Portsmouth Gaseous Diffusion Plant

    International Nuclear Information System (INIS)

    Chung, T.; Solack, T.; Hortel, J.

    1991-01-01

    As part of the plant upgrade program, structural analyses and field inspections were performed on four redwood cooling towers at the DOE Portsmouth gaseous diffusion plant located in Piketon, Ohio. The cooling towers are categorized as important hazard facilities. The loadings are derived from UCRL-15910 according to the pertinent hazard category. In addition to the seismic and wind loadings, the wood cooling towers are constantly subject to adverse environmental effects such as elevated temperature, chemical attack, icing and snow load, and motor vibrations. A thorough structural evaluation for all load combinations was performed for each of the cooling towers based on the structural code requirements of the Cooling Tower Institute and National Forest Products Association. Most stress criteria are unique for the redwood material. This evaluation was performed using finite element techniques on the global structural integrity and supplemented by hand calculations on the individual connection joints. Overloaded wood structural members and joints are identified by the analysis. The rectangular tower structure sits on a concrete basin that span across 60 ft by 200 ft. A major part of the cooling towers upgrading program involved field inspections of the individual cells of each tower. The primary purpose of these inspections was to identify any existing structural damage or deficiencies such as failed members, degraded wood, and deficiencies resulting from poor construction practice. Inspection of 40 cells identified some generic deficiencies that mostly are consistent with the analytical finding. Based on the analysis, some effective but inexpensive upgrading techniques were developed and recommended to bring the cooling towers into compliance with current DOE requirements

  19. Subaquatic, pressure vessels and LPG storage spheres internal inspection; Inspecao interna de esfera utilizando mergulho como acesso

    Energy Technology Data Exchange (ETDEWEB)

    Filgueira Filho, Rafael; Monteiro, Ayres [PETROBRAS, Rio de Janeiro, RJ (Brazil)

    2005-07-01

    Minimizing shut-down costs is a widespread target in the oil and gas industry. The use of new inspection techniques is one of the ways for that. This work presents a new procedure for internal inspections in pressure vessels by the non destructive testing - NDT, ACFM, using industrial diving techniques. As a pioneer experience, this method was applied in the inspection of the internal parts of the LPG sphere tank 5101 at PETROBRAS Transporte S.A. - TRANSPETRO, in Jequie's Terminal, in the state of Bahia, in december, 2003. This new method allows the reduction of indirect costs related to operational unavailability of the equipment, by the reduction of the shut-down time in approximately 50%, when compared to the demanded shut down time, when using scaffolds for accessing the internal parts. Despite of direct costs are still higher with the new methodology, this paper demonstrates the economical feasibility of this new method, based on the savings obtained with the fastest return of the equipment to operation. (author)

  20. Robotic inspection technology-process an toolbox

    Energy Technology Data Exchange (ETDEWEB)

    Hermes, Markus [ROSEN Group (United States). R and D Dept.

    2005-07-01

    Pipeline deterioration grows progressively with ultimate aging of pipeline systems (on-plot and cross country). This includes both, very localized corrosion as well as increasing failure probability due to fatigue cracking. Limiting regular inspecting activities to the 'scrapable' part of the pipelines only, will ultimately result into a pipeline system with questionable integrity. The confidence level in the integrity of these systems will drop below acceptance levels. Inspection of presently un-inspectable sections of the pipeline system becomes a must. This paper provides information on ROSEN's progress on the 'robotic inspection technology' project. The robotic inspection concept developed by ROSEN is based on a modular toolbox principle. This is mandatory. A universal 'all purpose' robot would not be reliable and efficient in resolving the postulated inspection task. A preparatory Quality Function Deployment (QFD) analysis is performed prior to the decision about the adequate robotic solution. This enhances the serviceability and efficiency of the provided technology. The word 'robotic' can be understood in its full meaning of Recognition - Strategy - Motion - Control. Cooperation of different individual systems with an established communication, e.g. utilizing Bluetooth technology, support the robustness of the ROSEN robotic inspection approach. Beside the navigation strategy, the inspection strategy is also part of the QFD process. Multiple inspection technologies combined on a single carrier or distributed across interacting container must be selected with a clear vision of the particular goal. (author)