WorldWideScience

Sample records for atomic layer epitaxy

  1. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  2. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  3. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  4. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  5. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  6. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  7. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  8. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  9. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  10. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  11. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  12. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  13. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  14. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  15. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  16. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  17. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  18. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  19. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  20. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  1. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  2. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  3. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  4. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  5. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  6. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  7. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  8. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  9. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  10. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  11. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  12. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO3)n films by means of metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.; Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G.

    2014-01-01

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO 3 ) n (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO 3 (001) substrates by means of a sequential deposition of Sr-O/Ti-O 2 atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO 3 ) 4 block at the level of 2.4%. This identifies the SrTiO 3 substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy

  13. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  14. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    OpenAIRE

    María Eugenia Dávila; Guy Le Lay

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established o...

  17. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V. [Erstes Physikalisches Institut, Universität Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G. [EMAT, University of Antwerp, Groenenborgerlaan 171, 2020 Antwerp (Belgium)

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.

  18. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  19. Influence of layer thickness on the structure and the magnetic properties of Co/Pd epitaxial multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2012-03-15

    Co/Pd epitaxial multilayer films were prepared on Pd(111){sub fcc} underlayers hetero-epitaxially grown on MgO(111){sub B1} single-crystal substrates at room temperature by ultra-high vacuum RF magnetron sputtering. In-situ reflection high energy electron diffraction shows that the in-plane lattice spacing of Co on Pd layer gradually decreases with increasing the Co layer thickness, whereas that of Pd on Co layer remains unchanged during the Pd layer formation. The CoPd alloy phase formation is observed around the Co/Pd interface. The atomic mixing is enhanced for thinner Co and Pd layers in multilayer structure. With decreasing the Co and the Pd layer thicknesses and increasing the repetition number of Co/Pd multilayer film, stronger perpendicular magnetic anisotropy is observed. The relationships between the film structure and the magnetic properties are discussed. - Highlights: Black-Right-Pointing-Pointer Epitaxial Co/Pd multilayer films are prepared on Pd(111){sub fcc} underlayers. Black-Right-Pointing-Pointer Lattice strain in Co layer and CoPd-alloy formation are noted around the interface. Black-Right-Pointing-Pointer Magnetic property dependence on layer thickness is reported.

  20. Epitaxial c-axis oriented BaTiO{sub 3} thin films on SrTiO{sub 3}-buffered Si(001) by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham B.; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Yu, Edward T. [Department of Electrical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Bruley, John [IBM Research Division, Yorktown Heights, New York 10593 (United States)

    2014-02-24

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO{sub 3} (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO{sub 3} (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure.

  1. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  2. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  3. Optical characterization of epitaxial semiconductor layers

    CERN Document Server

    Richter, Wolfgang

    1996-01-01

    The last decade has witnessed an explosive development in the growth of expitaxial layers and structures with atomic-scale dimensions. This progress has created new demands for the characterization of those stuctures. Various methods have been refined and new ones developed with the main emphasis on non-destructive in-situ characterization. Among those, methods which rely on the interaction of electromagnetic radiation with matter are particularly valuable. In this book standard methods such as far-infrared spectroscopy, ellipsometry, Raman scattering, and high-resolution X-ray diffraction are presented, as well as new advanced techniques which provide the potential for better in-situ characterization of epitaxial structures (such as reflection anistropy spectroscopy, infrared reflection-absorption spectroscopy, second-harmonic generation, and others). This volume is intended for researchers working at universities or in industry, as well as for graduate students who are interested in the characterization of ...

  4. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  5. Characterization of InGaGdN layers prepared by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tawil, Siti Nooraya Mohd [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan); Faculty of Electrical and Electronic Engineering, Tun Hussein Onn University of Malaysia, 86400 Batu Pahat Johor (Malaysia); Kakimi, Rina; Krishnamurthy, Daivasigamani; Emura, Shuichi; Tambo, Hiroyuki; Hasegawa, Shigehiko; Asahi, Hajime [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan)

    2010-11-15

    Gd-doped InGaN layers were prepared by plasma-assisted molecular-beam epitaxy in search of new functional diluted magnetic semiconductors for their potential use in spintronics. The local structure around the Gd atoms was examined by the Gd L{sub III}-edge of X-ray absorption fine structure. It was found that the majority of Gd atoms substitutionally occupied the cation sites in the InGaGdN layers. Clear hysteresis and saturation magnetization were observed from the magnetization versus field curves examined by means of a superconducting quantum interference device magnetometer at low and room temperatures. In addition, the incorporation of extra shallow donors by co-doping InGaN with both Gd and Si showed higher magnetization than the undoped InGaGdN. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer

    International Nuclear Information System (INIS)

    Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G

    2006-01-01

    Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed

  7. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  8. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  9. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  10. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  11. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  12. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo{sub 2}O{sub 5.5+δ} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin, E-mail: cl.chen@utsa.edu [Department of Physics and Astronomy, University of Texas, San Antonio, Texas 78249 (United States); Zhang, Yamei [Department of Physics, Jiangsu University of Science and Technology, Zhenjiang, Jiangsu 212003 (China); Whangbo, Myung-Hwan [North Carolina State University, Raleigh, North Carolina 27695-8204 (United States); Dong, Chuang; Zhang, Qinyu [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China)

    2015-12-14

    Single-crystalline epitaxial thin films of PrBaCo{sub 2}O{sub 5.5+δ} (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200–800 °C. During the oxidation cycle under O{sub 2}, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co{sup 2+}/Co{sup 3+} → Co{sup 3+} and Co{sup 3+} → Co{sup 3+}/Co{sup 4+}, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO{sub 2})(PrO)(CoO{sub 2}) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  13. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  14. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  15. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  16. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  17. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  18. Ln{sup 3+}:KLu(WO{sub 4}){sub 2}/KLu(WO{sub 4}){sub 2} epitaxial layers: Crystal growth and physical characterisation

    Energy Technology Data Exchange (ETDEWEB)

    Silvestre, O.; Pujol, M.C.; Sole, R.; Bolanos, W.; Carvajal, J.J.; Massons, J.; Aguilo, M. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain); Diaz, F. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain)], E-mail: f.diaz@urv.cat

    2008-01-15

    Monoclinic epitaxial layers of single doped KLu{sub 1-x}Ln{sub x}(WO{sub 4}){sub 2} (Ln{sup 3+} = Yb{sup 3+} and Tm{sup 3+}) have been grown on optically passive KLuW substrates by liquid phase epitaxy (LPE) technique using K{sub 2}W{sub 2}O{sub 7} as solvent. The ytterbium content in the layer is in the range of 0.05 < x < 0.75 atomic substitution and the studied thulium concentrations are 0.05 < x < 0.10. The grown epitaxies are free of macroscopic defects and only in highly ytterbium-doped epilayers do some cracks or inclusions appear. The refractive indices of the epilayers were determined. The absorption and emission cross sections of ytterbium and thulium in KLuW are characterised and laser generation results are presented and discussed.

  19. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  20. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  1. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  2. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  3. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  4. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  5. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  6. Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} Thin Films on Tilted-axes NdGaO{sub 3} Substrates with CeO{sub 2} Seeding Layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P B [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Mozhaeva, J E [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Jacobsen, C S [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Hansen, J Bindslev [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Bdikin, I K [CICECO, University of Aveiro, Aveiro, 3810-193 (Portugal); Luzanov, V A [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Kotelyanskii, I M [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Zybtsev, S G [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation)

    2006-06-01

    Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27{sup 0} were manufactured using pulsed laser deposition on NdGaO{sub 3} tilted-axes substrates with CeO{sub 2} seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed.

  7. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  8. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  9. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  10. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  11. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  12. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  13. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  14. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  15. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  16. Selenium implantation in epitaxial gallium arsenide layers

    International Nuclear Information System (INIS)

    Inada, T.; Tokunaga, K.; Taka, S.; Yuge, Y.; Kohzu, H.

    1981-01-01

    Selenium implantation at room temperature in S-doped epitaxial GaAs layers as a means of the formation of n + layers has been investigated. Doping profiles for Se-implanted layers have been examined by a C-V technique and/or a differential Hall effect method. It has been shown that n + layers with a maximum carrier concentration of approx. equal to1.5 x 10 18 cm -3 can be formed by implantation followed by a 15 min annealing at 950 0 C. Contact resistance of ohmic electrodes is reduced by use of the Se-implanted n + layers, resulting in the improvement on GaAs FET performance. Measured minimum noise figure of the Se-implanted GaAs FETs is 0.74 dB at 4 GHz. (orig.)

  17. Synthesis of Epitaxial Single-Layer MoS2 on Au(111).

    Science.gov (United States)

    Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A

    2015-09-08

    We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.

  18. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  19. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  20. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  1. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  2. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  3. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers

    International Nuclear Information System (INIS)

    Jana, Dipankar; Porwal, S.; Sharma, T. K.; Oak, S. M.; Kumar, Shailendra

    2014-01-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates

  4. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.

  5. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  6. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  7. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  8. Giant inelastic tunneling in epitaxial graphene mediated by localized states

    NARCIS (Netherlands)

    Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.

    2010-01-01

    Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have

  9. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  10. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  11. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Itoi, Takaomi [Graduate School of Engineering, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015 (Japan)

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  12. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  13. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  14. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  15. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  16. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  17. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  18. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  19. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  20. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    Science.gov (United States)

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  1. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  2. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  3. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    Science.gov (United States)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  4. Atomic size effect on the formation of ionized cluster beam epitaxy in Lennard-Jones systems

    International Nuclear Information System (INIS)

    Hsieh Horngming; Averback, R.S.

    1991-01-01

    Ionized cluster beam deposition is studied by molecular dynamics simulations in which the atomic size of incident cluster atoms is different from the size of substrate atoms. Lennard-Jones interatomic potentials are used for the two-component system. The results provide the morphologies of the overlayers for various atomic sizes and are compared to simulation results of molecular beam epitaxy. (orig.)

  5. Improving surface smoothness and photoluminescence of CdTe(1 1 1)A on Si(1 1 1) substrates grown by molecular beam epitaxy using Mn atoms

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Chen, Chang-Wei; Dai, Zi-Yuan; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Yuan, Chi-Tsu; Shen, Ji-Lin

    2014-01-01

    Highlights: • CdTe(1 1 1)A epilayers were grown on Si(1 1 1) substrates by molecular beam epitaxy. • We report an enhanced growth using Mn atoms. • The significant improvements in surface quality and optical properties were found. - Abstract: This work demonstrates an improvement of the molecular beam epitaxial growth of CdTe(1 1 1)A epilayer on Si(1 1 1) substrates using Mn atoms. The reflection high-energy electron diffraction patterns show that the involvement of some Mn atoms in the growth of CdTe(1 1 1)A is even more effective than the use of a buffer layer with a smooth surface for forming good CdTe(1 1 1)A epilayers. 10 K Photoluminescence spectra show that the incorporation of only 2% Mn significantly reduced the intensity of defect-related emissions and considerably increased the integral intensity of exciton-related emissions by a large factor of about 400

  6. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  7. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  8. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    Energy Technology Data Exchange (ETDEWEB)

    Dorokhov, A., E-mail: Andrei.Dorokhov@IReS.in2p3.f [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Deveaux, M. [Goethe-Universitaet Frankfurt am Main, Senckenberganlage 31, 60325 Frankfurt am Main (Germany); Doziere, G.; Dulinski, W. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Fontaine, J.-C. [Groupe de Recherche en Physique des Hautes Energies (GRPHE), Universite de Haute Alsace, 61, rue Albert Camus, 68093 Mulhouse (France); Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France)

    2010-12-11

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10{mu}m pitch device was found to be {approx}10{sup 13}n{sub eq}/cm{sup 2}, while it was only 2x10{sup 12}n{sub eq}/cm{sup 2} for a 20{mu}m pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10{sup 14}) n{sub eq}/cm{sup 2}. This goal relies on a fabrication process featuring a 15{mu}m thin, high resistivity ({approx}1k{Omega}cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages (<5V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of {approx}50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered (3x10{sup 13}n{sub eq}/cm{sup 2}), making evidence of a significant extension of the radiation tolerance limits of MAPS.

  9. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  10. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  11. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  12. Equilibrium stability of strained epitaxial layers on a rigid substrate

    International Nuclear Information System (INIS)

    Granato, E.; Kosterlitz, J.M.; Ying, S.C.

    1987-07-01

    A simple theory of the equilibrium stability of an strained epitaxial layer on a rigid substrate is presented. We generalise the Frankvan der Merwe model of a single layer and consider N layers of adsorbate on a substrate. Continuum elasticity theory is used to describe each layer, but the coupling between layers is treated ina discrete fashion. Our method interpolates between a few layers and the thick film limit of standard dislocation theory, and in this limit the standard results are obtained. In addition, we developed a variational approach which agrees well with our exact calculations. The advantage of our method over previous ores is that it allows to perform stability analyses of arbitrary superlattice configurations. (author) [pt

  13. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O{sub 2} molecules

    Energy Technology Data Exchange (ETDEWEB)

    Friedlein, R.; Yamada-Takamura, Y. [Japan Advanced Institute of Science and Technology, School of Materials Science, Nomi, Ishikawa 923-1292 (Japan); Van Bui, H.; Wiggers, F. B.; Kovalgin, A. Y.; Jong, M. P. de, E-mail: M.P.deJong@utwente.nl [MESA Institute for Nanotechnology, University of Twente, 7500 AE Enschede (Netherlands)

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O{sub 2} molecules, as well as the combination of both, with epitaxial silicene on thin ZrB{sub 2}(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O{sub 2} gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O{sub 2} molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  14. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  15. Conductivity of epitaxial and CVD graphene with correlated line defects

    DEFF Research Database (Denmark)

    Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.

    2014-01-01

    Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...

  16. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  17. Efficient Exciton Diffusion and Resonance-Energy Transfer in Multi-Layered Organic Epitaxial Nanofibers

    DEFF Research Database (Denmark)

    Tavares, Luciana; Cadelano, Michele; Quochi, Francesco

    2015-01-01

    Multi-layered epitaxial nanofibers are exemplary model systems for the study of exciton dynamics and lasing in organic materials due to their well-defined morphology, high luminescence efficiencies, and color tunability. We resort to temperature-dependent cw and picosecond photoluminescence (PL......) spectroscopy to quantify exciton diffusion and resonance-energy transfer (RET) processes in multi-layered nanofibers consisting of alternating layers of para-hexaphenyl (p6P) and α-sexithiophene (6T), serving as exciton donor and acceptor material, respectively. The high probability for RET processes...... is confirmed by Quantum Chemical calculations. The activation energy for exciton diffusion in p6P is determined to be as low as 19 meV, proving p6P epitaxial layers also as a very suitable donor material system. The small activation energy for exciton diffusion of the p6P donor material, the inferred high p6P...

  18. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  19. Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers

    Science.gov (United States)

    Chang, Y. Austin; Yang, Jianhua Joshua

    2008-11-11

    This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.

  20. Design of Strain-Compensated Epitaxial Layers Using an Electrical Circuit Model

    Science.gov (United States)

    Kujofsa, Tedi; Ayers, John E.

    2017-12-01

    The design of heterostructures that exhibit desired strain characteristics is critical for the realization of semiconductor devices with improved performance and reliability. The control of strain and dislocation dynamics requires an understanding of the relaxation processes associated with mismatched epitaxy, and the starting point for this analysis is the equilibrium strain profile, because the difference between the actual strain and the equilibrium value determines the driving force for dislocation glide and relaxation. Previously, we developed an electrical circuit model approach for the equilibrium analysis of semiconductor heterostructures, in which an epitaxial layer may be represented by a stack of subcircuits, each of which involves an independent current source, a resistor, an independent voltage source, and an ideal diode. In this work, we have applied the electrical circuit model to study the strain compensation mechanism and show that, for a given compositionally uniform device layer with fixed mismatch and layer thickness, a buffer layer may be designed (in terms of thickness and mismatch) to tailor the strain in the device layer. A special case is that in which the device layer will exhibit zero residual strain in equilibrium (complete strain compensation). In addition, the application of the electrical circuit analogy enables the determination of exact expressions for the residual strain characteristics of both the buffer and device layers in the general case where the device layer may exhibit partial strain compensation. On the basis of this framework, it is possible to develop design equations for the tailoring of the strain in a device layer grown on a uniform composition buffer.

  1. Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.

  2. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  3. Substrate-induced magnetism in epitaxial graphene buffer layers.

    Science.gov (United States)

    Ramasubramaniam, A; Medhekar, N V; Shenoy, V B

    2009-07-08

    Magnetism in graphene is of fundamental as well as technological interest, with potential applications in molecular magnets and spintronic devices. While defects and/or adsorbates in freestanding graphene nanoribbons and graphene sheets have been shown to cause itinerant magnetism, controlling the density and distribution of defects and adsorbates is in general difficult. We show from first principles calculations that graphene buffer layers on SiC(0001) can also show intrinsic magnetism. The formation of graphene-substrate chemical bonds disrupts the graphene pi-bonds and causes localization of graphene states near the Fermi level. Exchange interactions between these states lead to itinerant magnetism in the graphene buffer layer. We demonstrate the occurrence of magnetism in graphene buffer layers on both bulk-terminated as well as more realistic adatom-terminated SiC(0001) surfaces. Our calculations show that adatom density has a profound effect on the spin distribution in the graphene buffer layer, thereby providing a means of engineering magnetism in epitaxial graphene.

  4. DOE-EPSCoR. Exchange interactions in epitaxial intermetallic layered systems

    Energy Technology Data Exchange (ETDEWEB)

    LeClair, Patrick R. [Univ. of Alabama, Tuscaloosa, AL (United States); Gary, Mankey J. [Univ. of Alabama, Tuscaloosa, AL (United States)

    2015-05-25

    The goal of this research is to develop a fundamental understanding of the exchange interactions in epitaxial intermetallic alloy thin films and multilayers, including films and multilayers of Fe-Pt, Co-Pt and Fe-P-Rh alloys deposited on MgO and Al2O3 substrates. Our prior results have revealed that these materials have a rich variety of ferromagnetic, paramagnetic and antiferromagnetic phases which are sensitive functions of composition, substrate symmetry and layer thickness. Epitaxial antiferromagnetic films of FePt alloys exhibit a different phase diagram than bulk alloys. The antiferromagnetism of these materials has both spin ordering transitions and spin orienting transitions. The objectives include the study of exchange-inversion materials and the interface of these materials with ferromagnets. Our aim is to formulate a complete understanding of the magnetic ordering in these materials, as well as developing an understanding of how the spin structure is modified through contact with a ferromagnetic material at the interface. The ultimate goal is to develop the ability to tune the phase diagram of the materials to produce layered structures with tunable magnetic properties. The alloy systems that we will study have a degree of complexity and richness of magnetic phases that requires the use of the advanced tools offered by the DOE-operated national laboratory facilities, such as neutron and x-ray scattering to measure spin ordering, spin orientations, and element-specific magnetic moments. We plan to contribute to DOE’s mission of producing “Materials by Design” with properties determined by alloy composition and crystal structure. We have developed the methods for fabricating and have performed neutron diffraction experiments on some of the most interesting phases, and our work will serve to answer questions raised about the element-specific magnetizations using the magnetic x-ray dichroism techniques and interface magnetism in layered structures

  5. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  6. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  7. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  8. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  9. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  10. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  11. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  12. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  13. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    International Nuclear Information System (INIS)

    Dorokhov, A.; Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R.; Deveaux, M.; Doziere, G.; Dulinski, W.; Fontaine, J.-C.; Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I.

    2010-01-01

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10μm pitch device was found to be ∼10 13 n eq /cm 2 , while it was only 2x10 12 n eq /cm 2 for a 20μm pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10 14 ) n eq /cm 2 . This goal relies on a fabrication process featuring a 15μm thin, high resistivity (∼1kΩcm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages ( 13 n eq /cm 2 ), making evidence of a significant extension of the radiation tolerance limits of MAPS.

  14. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  15. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  16. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  17. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  18. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  19. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  20. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  1. Role of experimental resolution in measurements of critical layer thickness for strained-layer epitaxy

    International Nuclear Information System (INIS)

    Fritz, I.J.

    1987-01-01

    Experimental measurements of critical layer thicknesses (CLT's) in strained-layer epitaxy are considered. Finite experimental resolution can have a major effect on measured CLT's and can easily lead to spurious results. The theoretical approach to critical layer thicknesses of J. W. Matthews [J. Vac. Sci. Technol. 12, 126 (1975)] has been modified in a straightforward way to predict the apparent critical thickness for an experiment with finite resolution in lattice parameter. The theory has also been modified to account for the general empirical result that fewer misfit dislocations are generated than predicted by equilibrium calculation. The resulting expression is fit to recent x-ray diffraction data on InGaAs/GaAs and SiGe/Si. The results suggest that CLT's in these systems may not be significantly larger than predicted by equilibrium theory, in agreement with high-resolution measurements

  2. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  3. Molecular beam epitaxy of single crystal colossal magnetoresistive material

    International Nuclear Information System (INIS)

    Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.

    1996-01-01

    The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1

  4. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  5. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  6. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  7. Self-corrected sensors based on atomic absorption spectroscopy for atom flux measurements in molecular beam epitaxy

    International Nuclear Information System (INIS)

    Du, Y.; Liyu, A. V.; Droubay, T. C.; Chambers, S. A.; Li, G.

    2014-01-01

    A high sensitivity atom flux sensor based on atomic absorption spectroscopy has been designed and implemented to control electron beam evaporators and effusion cells in a molecular beam epitaxy system. Using a high-resolution spectrometer and a two-dimensional charge coupled device detector in a double-beam configuration, we employ either a non-resonant line or a resonant line with low cross section from the same hollow cathode lamp as the reference for nearly perfect background correction and baseline drift removal. This setup also significantly shortens the warm-up time needed compared to other sensor technologies and drastically reduces the noise coming from the surrounding environment. In addition, the high-resolution spectrometer allows the most sensitive resonant line to be isolated and used to provide excellent signal-to-noise ratio

  8. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  9. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  10. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  11. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  12. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.

  13. The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs

    Science.gov (United States)

    Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.

    1999-01-01

    In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.

  14. Epitaxial growth of Co(0 0 0 1)hcp/Fe(1 1 0)bcc magnetic bi-layer films on SrTiO3(1 1 1) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2008-01-01

    Co(0 0 0 1) hcp /Fe(1 1 0) bcc epitaxial magnetic bi-layer films were successfully prepared on SrTiO 3 (1 1 1) substrates. The crystallographic properties of Co/Fe epitaxial magnetic bi-layer films were investigated. Fe(1 1 0) bcc soft magnetic layer grew epitaxially on SrTiO 3 (1 1 1) substrate with two type variants, Nishiyama-Wasserman and Kurdjumov-Sachs relationships. An hcp-Co single-crystal layer is obtained on Ru(0 0 0 1) hcp interlayer, while hcp-Co layer formed on Au(1 1 1) fcc or Ag(1 1 1) fcc interlayer is strained and may involve fcc-Co phase. It has been shown possible to prepare Co/Fe epitaxial magnetic bi-layer films which can be usable for patterned media application

  15. Effect of the energy of bombarding electrons on the conductivity of n-4H-SiC (CVD) epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovski, V. V., E-mail: kozlovski@physics.spbstu.ru [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Lebedev, A. A.; Strel’chuk, A. M.; Davidovskaya, K. S. [Ioffe Physical–Technical Institute (Russian Federation); Vasil’ev, A. E. [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Makarenko, L. F. [Belarusian State University (Belarus)

    2017-03-15

    The electrical characteristics of epitaxial layers of n-4H-SiC (CVD) irradiated with 0.9 and 3.5MeV electrons are studied. It is shown that the donor removal rate becomes nearly four times higher as the energy of impinging electrons increases by a factor of 4, although the formation cross section of primary radiation defects (Frenkel pairs in the carbon sublattice) responsible for conductivity compensation of the material is almost energy independent in this range. It is assumed that the reason for the observed differences is the influence exerted by primary knocked-out atoms. First, cascade processes start to manifest themselves with increasing energy of primary knocked-out atoms. Second, the average distance between genetically related Frenkel pairs grows, and, as a consequence, the fraction of defects that do not recombine under irradiation becomes larger. The recombination radius of Frenkel pairs in the carbon sublattice is estimated and the possible charge state of the recombining components is assessed.

  16. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  17. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  18. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  19. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Directory of Open Access Journals (Sweden)

    Rui Sun

    2016-06-01

    Full Text Available We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100 substrates with a TiN buffer layer. A 50-nm-thick (200-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large IcRN product of 3.8 mV, a sharp quasiparticle current rise with a ΔVg of 0.4 mV, and a small subgap leakage current. The junction quality factor Rsg/RN was about 23 for the junction with a Jc of 47 A/cm2 and was about 6 for the junction with a Jc of 3.0 kA/cm2. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200-orientated TiN buffer layer and had a highly crystalline structure with the (200 orientation.

  20. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Rui [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Makise, Kazumasa; Terai, Hirotaka [Advanced ICT Research Institute, National Institute of Information and Communications Technology (Japan); Zhang, Lu [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); Wang, Zhen, E-mail: zwang@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Shanghai Tech University, Shanghai 201210 (China)

    2016-06-15

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{sup 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.

  1. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  2. Vibrational properties of epitaxial silicene layers on (1 1 1) Ag

    International Nuclear Information System (INIS)

    Scalise, E.; Cinquanta, E.; Houssa, M.; Broek, B. van den; Chiappe, D.; Grazianetti, C.; Pourtois, G.; Ealet, B.; Molle, A.; Fanciulli, M.; Afanas’ev, V.V.; Stesmans, A.

    2014-01-01

    The electronic and vibrational properties of three different reconstructions of silicene on Ag(1 1 1) are calculated and compared to experimental results. The 2D epitaxial silicon layers, namely the (4 × 4), (√13 × √13) and (2√3 × 2√3) phases, exhibit different electronic and vibrational properties. Few peaks in the experimental Raman spectrum are identified and attributed to the vibrational modes of the silicene layers. The position and behavior of the Raman peaks with respect to the excitation energy are shown to be a fundamental tool to investigate and discern different phases of silicene on Ag(1 1 1).

  3. Vibrational properties of epitaxial silicene layers on (1 1 1) Ag

    Energy Technology Data Exchange (ETDEWEB)

    Scalise, E., E-mail: emilio.scalise@fys.kuleuven.be [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); Cinquanta, E. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Houssa, M.; Broek, B. van den [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); Chiappe, D. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Grazianetti, C. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Aix-Marseille University, CNRS-CINaM, Campus de Luminy, Case 913, 13288 Marseille Cedex 09 (France); Pourtois, G. [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Department of Chemistry, Plasmant Research Group, University of Antwerp, B-2610 Wilrijk-Antwerp (Belgium); Ealet, B. [Aix-Marseille University, CNRS-CINaM, Campus de Luminy, Case 913, 13288 Marseille Cedex 09 (France); Molle, A. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via R. Cozzi 53, I-20126 Milano (MI) (Italy); Afanas’ev, V.V.; Stesmans, A. [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium)

    2014-02-01

    The electronic and vibrational properties of three different reconstructions of silicene on Ag(1 1 1) are calculated and compared to experimental results. The 2D epitaxial silicon layers, namely the (4 × 4), (√13 × √13) and (2√3 × 2√3) phases, exhibit different electronic and vibrational properties. Few peaks in the experimental Raman spectrum are identified and attributed to the vibrational modes of the silicene layers. The position and behavior of the Raman peaks with respect to the excitation energy are shown to be a fundamental tool to investigate and discern different phases of silicene on Ag(1 1 1).

  4. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    International Nuclear Information System (INIS)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.

    1993-01-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane

  5. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  6. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  7. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  8. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  9. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  10. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  11. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  12. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  13. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1999-01-01

    Ion implantation is the principal method used to introduce dopants into silicon for fabrication of semiconductor devices. During ion implantation, damage accumulates in the crystalline silicon lattice and amorphisation may occur over the depth range of the ions if the implant dose is sufficiently high. As device dimensions shrink, the need to produce shallower and shallower highly-doped layers increases and the probability of amorphisation also increases. To achieve dopant-activation, the amorphous or damaged material must be returned to the crystalline state by thermal annealing. Amorphous silicon layers can be crystallised by the solid-state process of solid phase epitaxy (SPE) in which the amorphous layer transforms to crystalline silicon (c-Si) layer by layer using the underlying c-Si as a seed. The atomic mechanism that is responsible for the crystallisation is thought to involve highly-localised bond-breaking and rearrangement processes at the amorphous/crystalline (a/c) interface but the defect responsible for these bond rearrangements has not yet been identified. Since the bond breaking process necessarily generates dangling bonds, it has been suggested that the crystallisation process may solely involve the formation and migration of dangling bonds at the interface. One of the key factors which may shed further light on the nature of the SPE defect is the observed dopant-dependence of the rate of crystallisation. It has been found that moderate concentrations of dopants enhance the SPE crystallisation rate while the presence of equal concentrations of an n-type and a p-type dopant (impurity compensation) returns the SPE rate to the intrinsic value. This provides crucial evidence that the SPE mechanism is sensitive to the position of the Fermi level in the bandgap of the crystalline and/or the amorphous silicon phases and may lead to identification of an energy level within the bandgap that can be associated with the defect. This paper gives details of SPE

  14. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  15. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  16. Direct Current Sputter Epitaxy of Heavily Doped p+ Layer for Monocrystalline Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Wenchang Yeh

    2017-01-01

    Full Text Available Sputter epitaxy of p+ layer for fabrication of Si solar cells (SCs was demonstrated. Hall carrier concentration of p+ layer was 2.6 × 1020 cm−3 owing to cosputtering of B with Si at low temperature, which had enabled heavy and shallow p+ dope layer. p+nn+ SCs were fabricated and influence of p+ and n+ layers was investigated. Internal quantum efficiency (IQE of p+nn+ SCs was 95% at visible light and was larger than 60% at ultraviolet (UV light when the p+ layer was thinner than 30 nm. At near infrared (NIR, extra increment on IQE was achieved by rear n+ back surface field (BSF layer with a thickness thinner than 100 nm.

  17. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  18. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  20. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  1. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    Science.gov (United States)

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  2. Lattice defects in LPE InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates

    International Nuclear Information System (INIS)

    Ishida, K.; Matsumoto, Y.; Taguchi, K.

    1982-01-01

    Lattice defects generated during LPE growth of InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates are studied. Two different kinds of dislocations are observed at the two interfaces of the epitaxial layers; at the InP-InGaAsP interface, misfit dislocations are generated in the InP layer by carry over of InGaAsP melt into the InP one and at the InGaAs-InP interface, V-shaped dislocations are generated in the InGaAs layer. It is shown that the critical amount of lattice mismatch to suppress generation of misfit dislocations in InP is about two times smaller than that of other III-V compound semiconductors. Conditions to suppress the generation of these dislocations are clarified. (author)

  3. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  4. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  5. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  7. Tunneling Spectroscopy Studies of Epitaxial Graphene on Silicon Carbide(0001) and Its Interfaces

    Science.gov (United States)

    Sandin, Andreas Axel Tomas

    A two dimensional network of sp2 bonded carbon atoms is defined as graphene. This novel material possesses remarkable electronic properties due to its unique band structure at the vicinity of the Fermi energy. The toughest challenge to bring use of graphene electronic properties in device geometries is that graphene is exceptionally sensitive to its electrical environment for integration into macroscopic system of electrical contacts and substrates. One of the most promising substrates for graphene is the polar surfaces of SiC for the reason it can be grown epitaxially by sublimating Si from the top-most SiC atomic layers. In this work, the interfaces of graphene grown on the Si-terminated polar surface SiC(0001) is studied in UHV using scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), low energy electron diffraction (LEED) and auger electron Spectroscopy (AES). STM is used image the graphene surface and interfaces with the capability of atomic resolution. LEED is used to study surface atomic reciprocal ordering and AES is used to determine surface atomic composition during the graphene formation. Interfacial layer (Buffer layer), Single layer graphene and bilayer graphene are identified electronically by means of probing the first member of the image potential derived state. This state is found by dZ/dV spectroscopy in the high energy unoccupied states and is exceptionally sensitive to electrostatic changes to the surface which is detected by energy shifts of image potential states (IPS). This sensitivity is utilized to probe the graphene screening of external electric fields by varying the electric field in the tunneling junction and addresses the fact that charged impurity scattering is likely to be crucial for epitaxial graphene on SiC(0001) when it comes to transport parameters. Shifts of IPS energy position has also been used verify work function changes for identification of several Sodium Intercalation structures of epitaxial

  8. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  9. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  10. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    Science.gov (United States)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  11. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  12. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  13. Defect analysis of NiMnSb epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Nowicki, L. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland)]. E-mail: lech.nowicki@fuw.edu.pl; Turos, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw (Poland); Stonert, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Garrido, F. [Centre de Spectrometrie Nucleaire et Spectrometrie de Masse, CNRS-IN2P3-Universite Paris-Sud, 91405 Orsay (France); Molenkamp, L.W. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Bach, P. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Schmidt, G. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Karczewski, G. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, POB 510119, 01314 Dresden (Germany)

    2005-10-15

    NiMnSb layers grown on InP substrates with InGaAs buffer were studied by the backscattering/channeling spectrometry (RBS/C) with He beams. The nature of predominant defects observed in the layers was studied by determination of incident-energy dependence of the relative channeling yield. The defects are described as a combination of large amount of interstitial atoms and of stacking faults or grain boundaries. The presence of grains was confirmed by transmission electron microscopy.

  14. Incorporating isolated molybdenum (Mo) atoms into Bilayer Epitaxial Graphene on 4H-SiC(0001)

    Science.gov (United States)

    Huang, Han; Wan, Wen; Li, Hui; Wong, Swee Liang; Lv, Lu; Gao, Yongli; Wee, Andrew T. S.

    2014-03-01

    The atomic structures and electronic properties of isolated Mo atoms in bilayer epitaxial graphene (BLEG) on 4H-SiC(0001) are investigated by low temperature scanning tunneling microscopy (LT-STM). LT-STM results reveal that isolated Mo dopants prefer to substitute C atoms at α-sites, and preferentially locate between the graphene bilayers. First-principles calculations confirm that the embedding of single Mo dopants within BLEG is energetically favorable as compared to monolayer graphene. The calculated bandstructures show that Mo-doped BLEG is n-doped, and each Mo atom introduces a local magnetic moment of 1.81 μB. Our findings demonstrate a simple and stable method to incorporate single transition metal dopants into the graphene lattice to tune its electronic and magnetic properties for possible use in graphene spin devices. NRF-CRP (Singapore) grants R-143-000-360-281and R-144-000-295-281. ``Shenghua Professorship'' startup funding from CSU and the support from the NSF of China (Grant No.11304398).

  15. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  16. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  17. Correlation between (in)commensurate domains of multilayer epitaxial graphene grown on SiC(0 0 0 1-bar ) and single layer electronic behavior

    International Nuclear Information System (INIS)

    Mendes-de-Sa, T G; Goncalves, A M B; Matos, M J S; Coelho, P M; Magalhaes-Paniago, R; Lacerda, R G

    2012-01-01

    A systematic study of the evolution of the electronic behavior and atomic structure of multilayer epitaxial graphene (MEG) as a function of growth time was performed. MEG was obtained by sublimation of a 4H-SiC(0 0 0 1-bar ) substrate in an argon atmosphere. Raman spectroscopy and x-ray diffraction were carried out in samples grown for different times. For 30 min of growth the sample Raman signal is similar to that of graphite, while for 60 min the spectrum becomes equivalent to that of exfoliated graphene. Conventional x-ray diffraction reveals that all the samples have two different (0001) lattice spacings. Grazing incidence x-ray diffraction shows that thin films are composed of rotated (commensurate) structures formed by adjacent graphene layers. Thick films are almost completely disordered. This result can be directly correlated to the single layer electronic behavior of the films as observed by Raman spectroscopy. Finally, to understand the change in lattice spacings as a result of layer rotation, we have carried out first principles calculations (using density functional theory) of the observed commensurate structures. (paper)

  18. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  19. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  20. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  1. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    Energy Technology Data Exchange (ETDEWEB)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.; Drozdov, Yu. N., E-mail: drozdyu@ipmras.ru; Kraev, S. A.; Surovegina, E. A.; Shashkin, V. I.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electron mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.

  2. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  3. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  4. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  5. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  6. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  7. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  8. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  9. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  10. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1998-01-01

    The kinetics of dopant-enhanced solid phase epitaxy (SPE) have been measured in buried a-Si layers doped with arsenic. SPE rates were measured over the temperature range 480 - 660 deg C for buried a-Si layers containing ten different As concentrations. In the absence of H-retardation effects, the dopant-enhanced SPE rate is observed to depend linearly on the As concentration over the entire range of concentrations, 1-16 x 10 19 cm -3 covered in the study. The Fermi level energy was calculated as a function of doping and find an equation that can provide good fits to the data. The implications of these results for models of the SPE process is discussed

  11. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  12. About influence of buffer porous layers between epitaxial layers of heterostructure on distributions of concentrations of dopants in heterobipolar transistors

    Directory of Open Access Journals (Sweden)

    E Pankratov

    2016-10-01

    Full Text Available In this paper we introduce an approach to manufacture a heterobipolar transistors. Framework this approach we consider doping by diffusion or by ion implantation of required parts of a heterostructure with special configuration and optimization of annealing of dopant and/or radiation defects. In this case one have possibility to manufacture bipolar transistors, which include into itself p-n-junctions with higher sharpness and smaller dimensions. We also consider influence of presents of buffer porous layers between epitaxial layers of heterostructure on distributions of concentrations of dopants in the considered transistors. An approach to decrease value of mismatch-induced stress has been considered.

  13. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  14. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  15. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  16. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  17. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  18. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  19. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  20. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  1. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  2. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  3. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  5. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  6. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  7. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  8. Epitaxial TiN(001) wetting layer for growth of thin single-crystal Cu(001)

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, J. S.; Zhang, X. Y.; Gall, D. [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2011-08-15

    Single-crystal Cu(001) layers, 4-1400 nm thick, were deposited on MgO(001) with and without a 2.5-nm-thick TiN(001) buffer layer. X-ray diffraction and reflection indicate that the TiN(001) surface suppresses Cu-dewetting, yielding a 4 x lower defect density and a 9 x smaller surface roughness than if grown on MgO(001) at 25 deg. C. In situ and low temperature electron transport measurements indicate that ultra-thin (4 nm) Cu(001) remains continuous and exhibits partial specular scattering at the Cu-vacuum boundary with a Fuchs-Sondheimer specularity parameter p = 0.6 {+-} 0.2, suggesting that the use of epitaxial wetting layers is a promising approach to create low-resistivity single-crystal Cu nanoelectronic interconnects.

  9. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  10. Surface and Interface Properties of 10–12 Unit Cells Thick Sputter Deposited Epitaxial CeO2 Films

    Directory of Open Access Journals (Sweden)

    L. V. Saraf

    2008-01-01

    Full Text Available Ultrathin and continuous epitaxial films with relaxed lattice strain can potentially maintain more of its bulk physical and chemical properties and are useful as buffer layers. We study surface, interface, and microstructural properties of ultrathin (∼10–12 unit cells thick epitaxial ceria films grown on single crystal YSZ substrates. The out-of -plane and in-plane lattice parameters indicate relaxation in the continuous film due to misfit dislocations seen by high-resolution transmission electron microscopy (HRTEM and substrate roughness of ∼1-2 unit cells, confirmed by atomic force microscopy and HRTEM. A combination of secondary sputtering, lattice mismatch, substrate roughness, and surface reduction creating secondary phase was likely the cause of surface roughness which should be reduced to a minimum level for effective use of it as buffer layers.

  11. Interface manipulation in GaxIn1-xAs/InP multiple layer structures grown by chemical beam epitaxy

    NARCIS (Netherlands)

    Rongen, R.T.H.; van Rijswijk, A.J.C.; Leijs, M.R.; Es, van C.M.; Vonk, H.; Wolter, J.H.

    1997-01-01

    In this study the control of interfacial layers in nanometre thin heterostructures is demonstrated by variation of the growth interruption sequence (GIS) at the binary - ternary interfaces. All samples have been prepared by chemical beam epitaxy simultaneously growing the structures on exact (100)

  12. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  13. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  14. Atomic-scale epitaxial aluminum film on GaAs substrate

    Directory of Open Access Journals (Sweden)

    Yen-Ting Fan

    2017-07-01

    Full Text Available Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  15. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  16. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  17. Structural and electronic properties of epitaxial graphene on SiC(0 0 0 1): a review of growth, characterization, transfer doping and hydrogen intercalation

    International Nuclear Information System (INIS)

    Riedl, C; Coletti, C; Starke, U

    2010-01-01

    Graphene, a monoatomic layer of graphite, hosts a two-dimensional electron gas system with large electron mobilities which makes it a prospective candidate for future carbon nanodevices. Grown epitaxially on silicon carbide (SiC) wafers, large area graphene samples appear feasible and integration in existing device technology can be envisioned. This paper reviews the controlled growth of epitaxial graphene layers on SiC(0 0 0 1) and the manipulation of their electronic structure. We show that epitaxial graphene on SiC grows on top of a carbon interface layer that-although it has a graphite-like atomic structure-does not display the linear π-bands typical for graphene due to a strong covalent bonding to the substrate. Only the second carbon layer on top of this interface acts like monolayer graphene. With a further carbon layer, a graphene bilayer system develops. During the growth of epitaxial graphene on SiC(0 0 0 1) the number of graphene layers can be precisely controlled by monitoring the π-band structure. Experimental fingerprints for in situ growth control could be established. However, due to the influence of the interface layer, epitaxial graphene on SiC(0 0 0 1) is intrinsically n-doped and the layers have a long-range corrugation in their density of states. As a result, the Dirac point energy where the π-bands cross is shifted away from the Fermi energy, so that the ambipolar properties of graphene cannot be exploited. We demonstrate methods to compensate and eliminate this structural and electronic influence of the interface. We show that the band structure of epitaxial graphene on SiC(0 0 0 1) can be precisely tailored by functionalizing the graphene surface with tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) molecules. Charge neutrality can be achieved for mono- and bilayer graphene. On epitaxial bilayer graphene, where a band gap opens due to the asymmetric electric field across the layers imposed by the interface, the magnitude of this band gap

  18. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  19. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)

    2017-05-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and

  20. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    International Nuclear Information System (INIS)

    Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza

    2017-01-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic

  1. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  2. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Braic, M.; Zoita, N.C.; Danila, M.; Grigorescu, C.E.A.; Logofatu, C.

    2015-01-01

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH 4 . The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC 0.84 thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH 4 . • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed

  3. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Braic, M. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Zoita, N.C., E-mail: cnzoita@inoe.ro [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Danila, M. [National Institute for Research and Development in Microtechnology, 126A Erou Iancu Nicolae Blvd., 077190 Bucharest (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Logofatu, C. [National Institute of Materials Physics, 105 bis Atomistilor St., 077125 Magurele (Romania)

    2015-08-31

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH{sub 4}. The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC{sub 0.84} thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH{sub 4}. • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed.

  4. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  5. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  7. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  8. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  9. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  10. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  11. Epitaxial growth and electronic structure of a layered zinc pnictide semiconductor, β-BaZn2As2

    International Nuclear Information System (INIS)

    Xiao, Zewen; Ran, Fan-Yong; Hiramatsu, Hidenori; Matsuishi, Satoru; Hosono, Hideo; Kamiya, Toshio

    2014-01-01

    BaZn 2 As 2 is expected for a good p-type semiconductor and has two crystalline phases of an orthorhombic α phase and a higher-symmetry tetragonal β phase. Here, we report that high-quality epitaxial films of the tetragonal β-BaZn 2 As 2 were grown on single-crystal MgO (001) substrates by a reactive solid-phase epitaxy technique. Out-of-plane and in-plane epitaxial relationships between the film and the substrate were BaZn 2 As 2 (00 l)//MgO (001) and BaZn 2 As 2 [200]//MgO [200], respectively. The full-widths at half maximum were 0.082° for a 008 out-of-plane rocking curve and 0.342° for a 200 in-plane rocking curve. A step-and-terrace structure was observed by atomic force microscopy. The band gap of β-BaZn 2 As 2 was evaluated to be around 0.2 eV, which is much smaller than that of a family compound LaZnOAs (1.5 eV). Density functional theory calculation using the Heyd–Scuseria–Ernzerhof hybrid functionals supports the small band gap. - Highlights: • High-quality epitaxial β-BaZn 2 As 2 films were obtained. • The band gap of β-BaZn 2 As 2 was evaluated to around 0.2 eV. • Hybrid Heyd–Scuseria–Ernzerhof calculation supports the small band gap

  12. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  13. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  14. Determination of the nitrogen concentration in epitaxial layers of GaAs /SUB 1-x/ p /SUB x/ by the optical method

    International Nuclear Information System (INIS)

    Lupal, M.V.; Klot, B; Nikhter, K.; Pikhtin, A.N.; Trapp, M.

    1986-01-01

    This paper determines the dependence of the cross section for absorption in the A /SUB N/ line of a bound exciton on the nitrogen content in the solid solution GaAs /SUB 1-x/ P /SUB x/ by comparing the results of optical measurements with the data from secondary ionic mass spectrometry, and these results are used to study the effect of technological factors on the nitrogen concentration epitaxial layers obtained by the gas-transport method. Doping was carried out with nitrogen by injecting ammonia into the reactor zone; the partial pressure of the ammonia was varied from 1 to 25 kPa. Aside from nitrogen, the authors doped the layers with shallow donor Te. It is established that the solubility of nitrogen in the solid solution decreases as the arsenic content increases when the convenient optical method for determining the nitrogen concentration in epitaxial GaAs /SUB 1-x/ P /SUB x/ layers is used

  15. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  16. Enhanced performance of solution-processed broadband photodiodes by epitaxially blending MAPbBr3 quantum dots and ternary PbSxSe1-x quantum dots as the active layer

    Science.gov (United States)

    Sulaman, Muhammad; Yang, Shengyi; Jiang, Yurong; Tang, Yi; Zou, Bingsuo

    2017-12-01

    Organic-inorganic hybrid photodetectors attract more and more interest, since they can combine the advantages of both organic and inorganic materials into one device, and broadband photodetectors are widely used in many scientific and industrial fields. In this work, we demonstrate the enhanced-performance solution-processed broadband photodiodes by epitaxially blending organo-lead halide perovskite (MAPbBr3) colloidal quantum dots (CQDs) with ternary PbSxSe1-x CQDs as the active layer. As a result, the interfacial features of the hetero-epitaxial nanocomposite MAPbBr3:PbSxSe1-x enables the design and perception of functionalities that are not available for the single-phase constituents or layered devices. By combining the high electrical transport properties of MAPbBr3 QDs with the highly radiative efficiency of PbS0.4Se0.6 QDs, the photodiodes ITO/ZnO/PbS0.4Se0.6:MAPbBr3/Au exhibit a maximum photoresponsivity and specific detectivity of 21.48 A W-1 and 3.59 × 1013 Jones, 22.16 A W-1 and 3.70 × 1013 Jones at room temperature under 49.8 μW cm-2 532 nm laser and 62 μW cm-2 980 nm laser, respectively. This is higher than that of the layered photodiodes ITO/ZnO/PbS0.4Se0.6/MAPbBr3/Au, pure perovskite (MAPbBr3) (or PbS0.4Se0.6) QD-based photodiodes reported previously, and it is also better than the traditional inorganic semiconductor-based photodetectors. Our experimental results indicate that epitaxially-aligned nanocomposites (MAPbBr3:PbSxSe1-x) exhibit remarkable optoelectronic properties that are traceable to their atomic-scale crystalline coherence, and one can utilize the excellent photocarrier diffusion from PbSxSe1-x into the perovskite to enhance the device performance from the UV-visible to infrared region.

  17. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  18. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  19. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  20. The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System

    Science.gov (United States)

    Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan

    2018-03-01

    We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.

  1. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  2. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  3. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  4. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  5. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  6. Two-Dimensional Electron Gas at SrTiO3-Based Oxide Heterostructures via Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Sang Woon Lee

    2016-01-01

    Full Text Available Two-dimensional electron gas (2DEG at an oxide interface has been attracting considerable attention for physics research and nanoelectronic applications. Early studies reported the formation of 2DEG at semiconductor interfaces (e.g., AlGaAs/GaAs heterostructures with interesting electrical properties such as high electron mobility. Besides 2DEG formation at semiconductor junctions, 2DEG was realized at the interface of an oxide heterostructure such as the LaAlO3/SrTiO3 (LAO/STO heterojunction. The origin of 2DEG was attributed to the well-known “polar catastrophe” mechanism in oxide heterostructures, which consist of an epitaxial LAO layer on a single crystalline STO substrate among proposed mechanisms. Recently, it was reported that the creation of 2DEG was achieved using the atomic layer deposition (ALD technique, which opens new functionality of ALD in emerging nanoelectronics. This review is focused on the origin of 2DEG at oxide heterostructures using the ALD process. In particular, it addresses the origin of 2DEG at oxide interfaces based on an alternative mechanism (i.e., oxygen vacancies.

  7. Terahertz-radiation generation in low-temperature InGaAs epitaxial films on (100) and (411) InP substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B., E-mail: galiev-galib@mail.ru [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Kitaeva, G. Kh. [Moscow State University, Faculty of Physics (Russian Federation); Klimov, E. A.; Klochkov, A. N. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Kolentsova, O. S. [National Research Nuclear University “MEPhI” (Russian Federation); Kornienko, V. V.; Kuznetsov, K. A. [Moscow State University, Faculty of Physics (Russian Federation); Maltsev, P. P.; Pushkarev, S. S. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation)

    2017-03-15

    The spectrum and waveforms of broadband terahertz-radiation pulses generated by low-temperature In{sub 0.53}Ga{sub 0.47}As epitaxial films under femtosecond laser pumping are investigated by terahertz time-resolved spectroscopy. The In{sub 0.53}Ga{sub 0.47}As films are fabricated by molecular-beam epitaxy at a temperature of 200°C under different arsenic pressures on (100)-oriented InP substrates and, for the first time, on (411)A InP substrates. The surface morphology of the samples is studied by atomic-force microscopy and the structural quality is established by high-resolution X-ray diffraction analysis. It is found that the amplitude of terahertz radiation from the LT-InGaAs layers on the (411)A InP substrates exceeds that from similar layers formed on the (100) InP substrates by a factor of 3–5.

  8. He atom-surface scattering: Surface dynamics of insulators, overlayers and crystal growth

    International Nuclear Information System (INIS)

    1992-01-01

    Investigations in this laboratory have focused on the surface structure and dynamics of ionic insulators and on epitaxial growth onto alkali halide crystals. In the later the homoepitaxial growth of NaCl/NaCl(001) and the heteroepitaxial growth of KBr/NaCl(001), NaCl/KBr(001) and KBr/RbCl(001) have been studied by monitoring the specular He scattering as a function of the coverage and by measuring the angular and energy distributions of the scattered He atoms. These data provide information on the surface structure, defect densities, island sizes and surface strain during the layer-by-layer growth. The temperature dependence of these measurements also provides information on the mobilities of the admolecules. He atom scattering is unique among surface probes because the low-energy, inert atoms are sensitive only to the electronic structure of the topmost surface layer and are equally applicable to all crystalline materials. It is proposed for the next year to exploit further the variety of combinations possible with the alkali halides in order to carry out a definitive study of epitaxial growth in the ionic insulators. The work completed so far, including measurements of the Bragg diffraction and surface dispersion at various stages of growth, appears to be exceptionally rich in detail, which is particularly promising for theoretical modeling. In addition, because epitaxial growth conditions over a wide range of lattice mismatches is possible with these materials, size effects in growth processes can be explored in great depth. Further, as some of the alkali halides have the CsCl structure instead of the NaCl structure, we can investigate the effects of the heteroepitaxy with materials having different lattice preferences. Finally, by using co-deposition of different alkali halides, one can investigate the formation and stability of alloys and even alkali halide superlattices

  9. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  10. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  11. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  12. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  13. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  14. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  15. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  16. A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2015-12-01

    Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.

  17. Photovoltaic device comprising compositionally graded intrinsic photoactive layer

    Science.gov (United States)

    Hoffbauer, Mark A; Williamson, Todd L

    2013-04-30

    Photovoltaic devices and methods of making photovoltaic devices comprising at least one compositionally graded photoactive layer, said method comprising providing a substrate; growing onto the substrate a uniform intrinsic photoactive layer having one surface disposed upon the substrate and an opposing second surface, said intrinsic photoactive layer consisting essentially of In.sub.1-xA.sub.xN,; wherein: i. 0.ltoreq.x.ltoreq.1; ii. A is gallium, aluminum, or combinations thereof; and iii. x is at least 0 on one surface of the intrinsic photoactive layer and is compositionally graded throughout the layer to reach a value of 1 or less on the opposing second surface of the layer; wherein said intrinsic photoactive layer is isothermally grown by means of energetic neutral atom beam lithography and epitaxy at a temperature of 600.degree. C. or less using neutral nitrogen atoms having a kinetic energy of from about 1.0 eV to about 5.0 eV, and wherein the intrinsic photoactive layer is grown at a rate of from about 5 nm/min to about 100 nm/min.

  18. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  19. Low temperature formation of higher-k cubic phase HfO{sub 2} by atomic layer deposition on GeO{sub x}/Ge structures fabricated by in-situ thermal oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, R., E-mail: zhang@mosfet.t.u-tokyo.ac.jp [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan); Department of Information Science and Electronic Engineering, Zhejiang University, 38 Zheda Road, Hangzhou 310027 (China); Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S. [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2016-02-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO{sub 2} using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO{sub x} interfacial layer. It is found that the cubic phase is dominant in the HfO{sub 2} film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO{sub 2} film on a 1-nm-thick GeO{sub x} form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO{sub 2} can be induced by the formation of six-fold crystalline GeO{sub x} structures in the underlying GeO{sub x} interfacial layer.

  20. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  1. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  2. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  3. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  4. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  5. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  6. Enhancement of L10 ordering with the c-axis perpendicular to the substrate in FePt alloy film by using an epitaxial cap-layer

    Directory of Open Access Journals (Sweden)

    Mitsuru Ohtake

    2017-05-01

    Full Text Available FePt alloy thin films with cap-layers of MgO or C are prepared on MgO(001 single-crystal substrates by using a two-step method consisting of low-temperature deposition at 200 °C followed by high-temperature annealing at 600 °C. The FePt film thickness is fixed at 10 nm, whereas the cap-layer thickness is varied from 1 to 10 nm. The influences of cap-layer material and cap-layer thickness on the variant structure and the L10 ordering are investigated. Single-crystal FePt(001 films with disordered fcc structure (A1 grow epitaxially on the substrates at 200 °C. Single-crystal MgO(001 cap-layers grow epitaxially on the FePt films, whereas the structure of C cap-layers is amorphous. The phase transformation from A1 to L10 occurs when the films are annealed at 600 °C. The FePt films with MgO cap-layers thicker than 2 nm consist of L10(001 variant with the c-axis perpendicular to the substrate surface, whereas those with C cap-layers involve small volumes of L10(100 and (010 variants with the c-axis lying in the film plane. The in-plane and the out-of-plane lattices are respectively more expanded and contracted in the continuous-lattice MgO/FePt/MgO structure due to accommodations of misfits of FePt film with respect to not only the MgO substrate but also the MgO cap-layer. The lattice deformation promotes phase transformation along the perpendicular direction and L10 ordering. The FePt films consisting of only L10(001 variant show strong perpendicular magnetic anisotropies and low in-plane coercivities. The present study shows that an introduction of epitaxial cap-layer is effective in controlling the c-axis perpendicular to the substrate surface.

  7. Epitaxial growth of tungsten layers on MgO(001)

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Pengyuan; Ozsdolay, Brian D.; Gall, Daniel, E-mail: galld@rpi.edu [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-11-15

    Smooth single crystal W(001) layers were grown on MgO(001) substrates by magnetron sputtering at 900 °C. X-ray diffraction ω–2θ scans, ω-rocking curves, pole figures, and reciprocal space maps indicate a 45°-rotated epitaxial relationship: (001){sub W}‖(001){sub MgO} and [010]{sub W}‖[110]{sub MgO}, and a relaxed lattice constant of 3.167 ± 0.001 nm. A residual in-plane biaxial compressive strain is primarily attributed to differential thermal contraction after growth and decreases from −0.012 ± 0.001 to −0.001 ± 0.001 with increasing layer thickness d = 4.8–390 nm, suggesting relaxation during cooling by misfit dislocation growth through threading dislocation glide. The in-plane x-ray coherence length increases from 3.4 to 33.6 nm for d = 4.8–390 nm, while the out-of-plane x-ray coherence length is identical to the layer thickness for d ≤ 20 nm, but is smaller than d for d ≥ 49.7 nm, indicating local strain variations along the film growth direction. X-ray reflectivity analyses indicate that the root-mean-square surface roughness increases from 0.50 ± 0.05 to 0.95 ± 0.05 nm for d = 4.8–19.9 nm, suggesting a roughness exponent of 0.38, but remains relatively constant for d > 20 nm with a roughness of 1.00 ± 0.05 nm at d = 47.9 nm.

  8. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  9. Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures

    Science.gov (United States)

    Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.

    2016-04-01

    Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low

  10. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  11. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  12. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  13. Low temperature photoluminescence and photoacoustic characterization of Zn-doped InxGa1-xAsySb1-y epitaxial layers for photovoltaic applications

    International Nuclear Information System (INIS)

    Gomez-Herrera, M.L.; Herrera-Perez, J.L.; Rodriguez-Fragoso, P.; Riech, I.; Mendoza-Alvarez, J.G.

    2008-01-01

    In this paper we present results on the characterization of Zn-doped InGaAsSb epitaxial layers to be used in the development of stacked solar cells. Using the liquid phase epitaxy technique we have grown p-type InGaAsSb layers, using Zn as the dopant, and n-type Te-doped GaSb wafers as substrates. A series of Zn-doped InGaAsSb samples were prepared by changing the amount of Zn in the melt in the range: 0.1-0.9 mg to obtain different p-type doping levels, and consequently, different p-n region characteristics. Low temperature photoluminescence spectra (PL) were measured at 15 K using at various excitation powers in the range 80-160 mW. PL spectra show the presence of an exciton-related band emission around 0.642 eV and a band at 0.633 eV which we have related to radiative emission involving Zn-acceptors. Using the photoacoustic technique we measured the interface recombination velocities related to the interface crystalline quality, showing that the layer-substrate interface quality degrades as the Zn concentration in the layers increases

  14. Conductive and robust nitride buffer layers on biaxially textured substrates

    Science.gov (United States)

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  15. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  16. Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes

    Energy Technology Data Exchange (ETDEWEB)

    Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2003-05-07

    Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.

  17. Low-temperature liquid-phase epitaxy and optical waveguiding of rare-earth-ion-doped KY(WO4)2 thin layers

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.

    2004-01-01

    Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a

  18. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  19. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  20. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  1. Real-time observation of epitaxial crystal growth in gaseous environment using x-ray diffraction and x-ray reflectometry

    International Nuclear Information System (INIS)

    Kawamura, Tomoaki; Bhunia, Satyaban; Watanabe, Yoshio; Fujikawa, Seiji

    2008-01-01

    We made the x-ray diffractometer combined with the MOCVD growth system for the real-time observation of epitaxial growth in gaseous environment, and investigated the growth mechanism of InP crystals. Changes of the (-5/2 O) Bragg diffraction during the growth revealed that the growth starts immediately after the In source has been supplied and gradually stopped, owing to the migrating In atoms on the surface. Additionally, one can easily determine the growth modes, including 3-dimensional mode, layer-by-layer mode, and step-flow mode, by observing the change of x-ray reflectivity with various growth conditions. (author)

  2. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  3. Charge ordering in reactive sputtered (1 0 0) and (1 1 1) oriented epitaxial Fe3O4 films

    KAUST Repository

    Mi, Wenbo

    2013-06-01

    Epitaxial Fe3O4 films with (1 0 0) and (1 1 1) orientations fabricated by reactive sputtering present simultaneous magnetic and electrical transitions at 120 and 124 K, respectively. The symmetry decreases from face-centered cubic to monoclinic structure across the Verwey transition. Extra spots with different brightness at different positions appear in selected-area diffraction patterns at 95 K. The extra spots come from the charge ordering of outer-layer electrons of Fe atoms, and should be related to the charge ordering of octahedral B-site Fe atoms. © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Charge ordering in reactive sputtered (1 0 0) and (1 1 1) oriented epitaxial Fe3O4 films

    KAUST Repository

    Mi, Wenbo; Guo, Zaibing; Wang, Qingxiao; Yang, Yang; Bai, Haili

    2013-01-01

    Epitaxial Fe3O4 films with (1 0 0) and (1 1 1) orientations fabricated by reactive sputtering present simultaneous magnetic and electrical transitions at 120 and 124 K, respectively. The symmetry decreases from face-centered cubic to monoclinic structure across the Verwey transition. Extra spots with different brightness at different positions appear in selected-area diffraction patterns at 95 K. The extra spots come from the charge ordering of outer-layer electrons of Fe atoms, and should be related to the charge ordering of octahedral B-site Fe atoms. © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  5. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  6. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  7. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  8. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  9. Electrical properties of ZnO nanorods and layers

    Energy Technology Data Exchange (ETDEWEB)

    Schlenker, Eva; Bakin, Andrey; Peters, Ole; Mofor, Augustine C.; Postels, Bianca; El-Shaer, Hamid; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Weimann, Thomas; Hinze, Peter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)

    2007-07-01

    ZnO has attracted a lot of interest in the scientific community due to its outstanding properties. With a band gap of 3.37 eV and an exciton binding energy of 60 meV it is a promising candidate for micro- and optoelectronic applications. The growth of ZnO nanostructures and epitaxial layers is well under control and their optical and structural properties are already thoroughly characterized. However, due to contacting difficulties, less reports exist on the electrical properties of single ZnO nanostructures. In this contribution we present various contacting methods in order to explore the electrical properties of individual nanorods either grown by aqueous chemical growth or vapor phase transport. Current-Voltage characteristics were obtained by using an atomic force microscope with a conductive tip or by patterning contacts with e-beam lithography. The results are compared to the ones obtained from measurements on epitaxially grown ZnO layers and first applications are presented.

  10. Structural atomic-scale analysis of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A substrate

    NARCIS (Netherlands)

    Keizer, J.G.; Jo, M.; Mano, T.; Noda, T.; Sakoda, K.; Koenraad, P.M.

    2011-01-01

    We report the structural analysis at the atomic scale of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A-oriented substrate. The shape, interfaces, and composition of these nanostructures and their surrounding matrix are investigated. We show that quantum wires can be

  11. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  12. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  13. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  14. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  15. Phase inversion and frequency doubling of reflection high-energy electron diffraction intensity oscillations in the layer-by-layer growth of complex oxides

    Science.gov (United States)

    Mao, Zhangwen; Guo, Wei; Ji, Dianxiang; Zhang, Tianwei; Gu, Chenyi; Tang, Chao; Gu, Zhengbin; Nie*, Yuefeng; Pan, Xiaoqing

    In situ reflection high-energy electron diffraction (RHEED) and its intensity oscillations are extremely important for the growth of epitaxial thin films with atomic precision. The RHEED intensity oscillations of complex oxides are, however, rather complicated and a general model is still lacking. Here, we report the unusual phase inversion and frequency doubling of RHEED intensity oscillations observed in the layer-by-layer growth of SrTiO3 using oxide molecular beam epitaxy. In contacts to the common understanding that the maximum(minimum) intensity occurs at SrO(TiO2) termination, respectively, we found that both maximum or minimum intensities can occur at SrO, TiO2, or even incomplete terminations depending on the incident angle of the electron beam, which raises a fundamental question if one can rely on the RHEED intensity oscillations to precisely control the growth of thin films. A general model including surface roughness and termination dependent mean inner potential qualitatively explains the observed phenomena, and provides the answer to the question how to prepare atomically and chemically precise surface/interfaces using RHEED oscillations for complex oxides. We thank National Basic Research Program of China (No. 11574135, 2015CB654901) and the National Thousand-Young-Talents Program.

  16. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  17. Atomic oxygen effect on the in situ growth of stoichiometric YBa2Cu3O7 - delta epitaxial films by facing targets 90° off-axis radiofrequency magnetron sputtering

    Science.gov (United States)

    Oya, Gin-ichiro; Diao, Chien Chen; Imai, Syozo; Uzawa, Takaaki; Sawada, Yasuji; Sugai, Tokuko; Nakajima, Kensuke; Yamashita, Tsutomu

    1995-06-01

    (110)- and (103)-oriented almost stoichiometric YBa2Cu3O7-δ (YBCO) films have been grown epitaxially on hot SrTiO3 (110) substrates using a 90° off-axis rf magnetron sputtering technique, for fabrication of vertical sandwich-type YBCO/insulator/YBCO or YBCO/normal metal/YBCO Josephson junctions utilizing the high-quality YBCO films. The YBCO epitaxial films with high transition temperatures Tc of ˜90 K have been deposited in situ only under the conditions of substrate temperatures Ts of ˜650-˜700 °C and oxygen partial pressure PO2 of ˜5×10-3-˜10×10-3 Torr, which are in close proximity to the critical stability/decomposition line for YBa2Cu3O6 in the ordinary Y-Ba-Cu-O phase diagram. Using a quadrupole mass spectrometer, a high density of atomic oxygen has directly been observed to be efficiently produced in the sputter glow discharge under the above optimum conditions of PO2. This atomic oxygen has played a key role in promoting the formation of the perovskite structure and the epitaxial growth of the YBCO films. Furthermore, Shapiro steps have successfully been observed for a Nb-YBCO point-contact junction, which is made by pressing a Nb needle on a surface-etched YBCO epitaxial film, under 525.4 GHz submillimeter-wave irradiation.

  18. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  19. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  20. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  1. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  2. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  3. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  4. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  5. Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge{sub 1−x}Sn{sub x} layer on Ge(0 0 1) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Zhou, Qian [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Pan, Jisheng; Zhang, Zheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)

    2014-12-01

    Highlights: • Ge{sub 0.915}Sn{sub 0.085} was grown on Ge (0 0 1) by molecular beam epitaxy (MBE). • The impact of annealing on surface morphology and Sn composition was studied. • Sn is found to preferentially segregate towards the surface at 200 °C. • A Sn-rich layer would form on the Ge{sub 1−x}Sn{sub x} surface after annealing at 300 °C. • Sn desorption and formation of Sn-rich islands were found when T > 300 °C. - Abstract: Annealing of strained Ge{sub 1−x}Sn{sub x} epitaxial layers grown on Ge(0 0 1) substrate results in two distinctive regimes marked by changes in composition and morphology. Annealing at low temperatures (200–300 °C or Regime-I) leads to surface enrichment of Sn due to Sn segregation, as indicated by X-ray photoelectron spectroscopy (XPS) results, while the bulk Sn composition (from X-ray diffraction (XRD)) and the surface morphology (from atomic force microscopy (AFM)) do not show discernible changes as compared to the as-grown sample. Annealing at temperatures ranging from 300 °C to 500 °C (Regime-II) leads to a decrease in the surface Sn composition. While the Ge{sub 1−x}Sn{sub x} layer remains fully strained, a reduction in the bulk Sn composition is observed when the annealing temperature reaches 500 °C. At this stage, surface roughening also occurs with formation of 3D islands. The island size increases as the annealing temperature is raised to 600 °C. The decrease in the Sn composition at the surface and in the bulk in Regime-II is attributed to additional thermally activated kinetic processes associated with Sn desorption and formation of Sn-rich 3D islands on the surface.

  6. Strain-induced oxygen vacancies in ultrathin epitaxial CaMnO3 films

    Science.gov (United States)

    Chandrasena, Ravini; Yang, Weibing; Lei, Qingyu; Delgado-Jaime, Mario; de Groot, Frank; Arenholz, Elke; Kobayashi, Keisuke; Aschauer, Ulrich; Spaldin, Nicola; Xi, Xiaoxing; Gray, Alexander

    Dynamic control of strain-induced ionic defects in transition-metal oxides is considered to be an exciting new avenue towards creating materials with novel electronic, magnetic and structural properties. Here we use atomic layer-by-layer laser molecular beam epitaxy to synthesize high-quality ultrathin single-crystalline CaMnO3 films with systematically varying coherent tensile strain. We then utilize a combination of high-resolution soft x-ray absorption spectroscopy and bulk-sensitive hard x-ray photoemission spectroscopy in conjunction with first-principles theory and core-hole multiplet calculations to establish a direct link between the coherent in-plane strain and the oxygen-vacancy content. We show that the oxygen vacancies are highly mobile, which necessitates an in-situ-grown capping layer in order to preserve the original strain-induced oxygen-vacancy content. Our findings open the door for designing and controlling new ionically active properties in strongly-correlated transition-metal oxides.

  7. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  8. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  9. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  10. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  11. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  12. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  13. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  14. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  15. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  16. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  17. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  18. Metal organic vapor phase epitaxy growth of (Al)GaN heterostructures on SiC/Si(111) templates synthesized by topochemical method of atoms substitution

    DEFF Research Database (Denmark)

    Rozhavskaya, Mariia M.; Kukushkin, Sergey A.; Osipov, Andrey V.

    2017-01-01

    We report a novel approach for metal organic vapor phase epitaxy of (Al)GaN heterostructures on Si substrates. An approximately 90–100 nm thick SiC buffer layer is synthesized using the reaction between Si substrate and CO gas. Highresolution transmission electron microscopy reveals sharp...

  19. Highly sensitive x-ray detectors in the low-energy range on n-type 4H-SiC epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Krishna C.; Muzykov, Peter G. [Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina 29208 (United States); Russell Terry, J. [Space Science and Applications Group (ISR-1), Intelligence and Space Research Division, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States)

    2012-07-30

    Schottky diodes on n-type 4H-SiC epitaxial layers have been fabricated for low-energy x-ray detection. The detectors were highly sensitive to soft x-rays and showed improved response compared to the commercial SiC UV photodiodes. Current-voltage characteristics at 475 K showed low leakage current revealing the possibility of high temperature operation. The high quality of the epi-layer was confirmed by x-ray diffraction and chemical etching. Thermally stimulated current measurements performed at 94-550 K revealed low density of deep levels which may cause charge trapping. No charge trapping on detectors' responsivity in the low x-ray energy was found.

  20. Geometric factors in f.c.c. and b.c.c. metal-on-metal epitaxy

    International Nuclear Information System (INIS)

    Bruce, L.A.; Jaeger, H.

    1978-01-01

    Deposits of Ni, Au and Ag formed by condensing metal vapour in U.H.V. onto (001)W, held at a temperature Tsub(s) in the range 300K< Tsub(s)<1200 K, always form epitaxial layers. However, while Au and Ag form (001) epitaxial layers of f.c.c. single crystals, (001)d parallel to (001)s with, say, [110]d parallel to [010]s, Ni and Cu occur in two orthogonal domains, each characterized by an exclusive set of fault (or twin) planes. Within a fault plane, atoms are hexagonally close-packed and, within a domain, fault planes are normal to either [1-1-0]s or [1-10]s and a close-packed direction in the planes is normal to the substrate. The lateral stacking of the fault planes may range from random at low values of Tsub(s) to that of, say, (11-1-) planes in heavily faulted and/or twinned (110) epitaxed f.c.c. material, or of basal planes in (110) epitaxed h.c.p. material at high values of Tsub(s). The results are readily explained on the basis of a growth model developed for deposits of Ni and Cu on (001) Ag. (author)

  1. Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer

    Science.gov (United States)

    Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell

    2013-08-01

    Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.

  2. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  3. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  4. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  5. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  6. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  7. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  8. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  9. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  10. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  11. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  12. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  13. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  14. Double capping of molecular beam epitaxy grown InAs/InP quantum dots studied by cross-sectional scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Ulloa, J. M.; Koenraad, P. M.; Gapihan, E.; Letoublon, A.; Bertru, N.

    2007-01-01

    Cross-sectional scanning tunneling microscopy was used to study at the atomic scale the double capping process of self-assembled InAs/InP quantum dots (QDs) grown by molecular beam epitaxy on a (311)B substrate. The thickness of the first capping layer is found to play a mayor role in determining the final results of the process. For first capping layers up to 3.5 nm, the height of the QDs correspond to the thickness of the first capping layer. Nevertheless, for thicknesses higher than 3.5 nm, a reduction in the dot height compared to the thickness of the first capping layer is observed. These results are interpreted in terms of a transition from a double capping to a classical capping process when the first capping layer is thick enough to completely cover the dots

  15. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  16. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  17. AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique

    Science.gov (United States)

    Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei

    2018-04-01

    AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.

  18. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  19. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  20. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  1. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  2. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  3. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    Science.gov (United States)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  4. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    the preparation of ultrathin seed layers in the first stage of the deposition process is often envisaged to control the growth and physical properties of the subsequent coating. This work suggests that the limitations of conventional pulsed laser deposition (PLD), performed at moderate temperature (400°C......10 layers with a thickness of 4 nm, 13 nm and 22 nm, respectively, grown on Mg(100), were studied by atomic force microscopy and X-ray reflectometry....

  5. Comparative study of polar and semipolar (112¯2) InGaN layers grown by metalorganic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Zubialevich, V. Z.; Oehler, F.; Kappers, M. J.; Humphreys, C. J.; Alam, S. N.; Parbrook, P. J.; Caliebe, M.; Scholtz, F.

    2014-01-01

    InGaN layers were grown simultaneously on (112 ¯ 2) GaN and (0001) GaN templates by metalorganic vapour phase epitaxy. At higher growth temperature (≥750 °C), the indium content ( ¯ 2) and (0001) InGaN layers was similar. However, for temperatures less than 750 °C, the indium content of the (112 ¯ 2) InGaN layers (15%–26%) were generally lower than those with (0001) orientation (15%–32%). The compositional deviation was attributed to the different strain relaxations between the (112 ¯ 2) and (0001) InGaN layers. Room temperature photoluminescence measurements of the (112 ¯ 2) InGaN layers showed an emission wavelength that shifts gradually from 380 nm to 580 nm with decreasing growth temperature (or increasing indium composition). The peak emission wavelength of the (112 ¯ 2) InGaN layers with an indium content of more than 10% blue-shifted a constant value of ≈(50–60) nm when using higher excitation power densities. This blue-shift was attributed to band filling effects in the layers.

  6. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  7. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  8. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  9. Atom-scale covalent electrochemical modification of single-layer graphene on SiC substrates by diaryliodonium salts

    International Nuclear Information System (INIS)

    Gearba, Raluca I.; Mueller, Kory M.; Veneman, Peter A.; Holliday, Bradley J.; Chan, Calvin K.; Stevenson, Keith J.

    2015-01-01

    Owing to its high conductivity, graphene holds promise as an electrode for energy devices such as batteries and photovoltaics. However, to this end, the work function and doping levels in graphene need to be precisely tuned. One promising route for modifying graphene's electronic properties is via controlled covalent electrochemical grafting of molecules. We show that by employing diaryliodonium salts instead of the commonly used diazonium salts, spontaneous functionalization is avoided. This then allows for precise tuning of the grafting density. Moreover, by employing bis(4-nitrophenyl)iodonium(III) tetrafluoroborate (DNP) salt calibration curves, the surface functionalization density (coverage) of glassy carbon was controlled using cyclic voltammetry in varying salt concentrations. These electro-grafting conditions and calibration curves translated directly over to modifying single layer epitaxial graphene substrates (grown on insulating 6H-SiC (0 0 0 1)). In addition to quantifying the functionalization densities using electrochemical methods, samples with low grafting densities were characterized by low-temperature scanning tunneling microscopy (LT-STM). We show that the use of buffer-layer free graphene substrates is required for clear observation of the nitrophenyl modifications. Furthermore, atomically-resolved STM images of single site modifications were obtained, showing no preferential grafting at defect sites or SiC step edges as supposed previously in the literature. Most of the grafts exhibit threefold symmetry, but occasional extended modifications (larger than 4 nm) were observed as well

  10. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  11. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  12. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  13. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  14. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  15. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  16. III-nitride integration on ferroelectric materials of lithium niobate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Namkoong, Gon; Lee, Kyoung-Keun; Madison, Shannon M.; Henderson, Walter; Ralph, Stephen E.; Doolittle, W. Alan

    2005-01-01

    Integration of III-nitride electrical devices on the ferroelectric material lithium niobate (LiNbO 3 ) has been demonstrated. As a ferroelectric material, lithium niobate has a polarization which may provide excellent control of the polarity of III-nitrides. However, while high temperature, 1000 deg. C, thermal treatments produce atomically smooth surfaces, improving adhesion of GaN epitaxial layers on lithium niobate, repolarization of the substrate in local domains occurs. These effects result in multi domains of mixed polarization in LiNbO 3 , producing inversion domains in subsequent GaN epilayers. However, it is found that AlN buffer layers suppress inversion domains of III-nitrides. Therefore, two-dimensional electron gases in AlGaN/GaN heterojunction structures are obtained. Herein, the demonstration of the monolithic integration of high power devices with ferroelectric materials presents possibilities to control LiNbO 3 modulators on compact optoelectronic/electronic chips

  17. Atomic Structure of a Spinel-like Transition Al2O3 (100) Surface

    DEFF Research Database (Denmark)

    Jensen, Thomas Nørregaard; Meinander, Kristoffer; Helveg, Stig

    2014-01-01

    We study a crystalline epitaxial alumina thin film with the characteristics of a spinel-type transition Al2O3(100) surface by using atom-resolved noncontact atomic force microscopy and density functional theory. It is shown that the films are terminated by an Al-O layer rich in Al vacancies......, exhibiting a strong preference for surface hydroxyl group formation in two configurations. The transition alumina films are crystalline and perfectly stable in ambient atmospheres, a quality which is expected to open the door to new fundamental studies of the surfaces of transition aluminas....

  18. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  19. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  20. Dynamic Atomic Reconstruction: How Fe_{3}O_{4} Thin Films Evade Polar Catastrophe for Epitaxy

    Directory of Open Access Journals (Sweden)

    C. F. Chang

    2016-10-01

    Full Text Available Polar catastrophe at the interface of oxide materials with strongly correlated electrons has triggered a flurry of new research activities. The expectations are that the design of such advanced interfaces will become a powerful route to engineer devices with novel functionalities. Here, we investigate the initial stages of growth and the electronic structure of the spintronic Fe_{3}O_{4}/MgO(001 interface. Using soft x-ray absorption spectroscopy, we have discovered that the so-called A-sites are completely missing in the first Fe_{3}O_{4} monolayer. This discovery allows us to develop an unexpected but elegant growth principle in which, during deposition, the Fe atoms are constantly on the move to solve the divergent electrostatic potential problem, thereby ensuring epitaxy and stoichiometry at the same time. This growth principle provides a new perspective for the design of interfaces.

  1. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  2. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  3. Optical properties of tellurium-doped InxGa1-xAsySb1-y epitaxial layers studied by photoluminescence spectroscopy

    International Nuclear Information System (INIS)

    Diaz-Reyes, J; Cardona-Bedoya, J A; Gomez-Herrera, M L; Herrera-Perez, J L; Riech, I; Mendoza-Alvarez, J G

    2003-01-01

    Controlled doping of quaternary alloys of In x Ga 1-x As y Sb 1-y with tellurium is fundamental to obtain the n-type layers needed for the development of optoelectronic devices based on p-n heterojunctions. InGaAsSb epitaxial layers were grown by liquid phase epitaxy and Te doping was obtained by incorporating small Sb 3 Te 2 pellets in the growth melt. The tellurium doping levels were in the range 10 16 -10 17 cm -3 . We have used low-temperature photoluminescence (PL) spectroscopy to study the influence of the Te donor levels on the radiative transitions shown in the PL spectra. The PL measurements were done by exciting the samples with the 448 nm line of an Ar ion laser with varying excitation powers in the range from 10 to 200 mW. For the low-doped sample the PL spectrum showed a narrow exciton-related peak centred at around 610 meV with a full width at half maximum (FWHM) of about 7 meV which is evidence of the good crystalline quality of the layers. For higher Te doping, the PL spectra show the presence of band-to-band and donor-to-acceptor transitions which overlap as the Te concentration increases. The peak of the PL band shifts to higher energies as Te doping increases due to a band-filling effect as the Fermi level enters into the conduction band. From the peak energy of the PL spectra, and using a model that includes the band-filling and band-shrinkage effects due to the carriers, we have estimated the effective carrier concentration due to doping with Te in the epilayers

  4. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  5. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Aheli Ghosh

    2017-09-01

    Full Text Available The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ∼0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90  ° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness < 2 nm. Temperature dependent Hall mobility measurements and the modelling thereof indicated that ionized impurity scattering limited carrier mobility in Ge layer. Capacitance- and conductance-voltage measurements were performed to determine the effect of epilayer dislocation density on interfacial defect states (Dit and their energy distribution. Finally, extracted Dit values were benchmarked against published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  6. On crystallization of polypropylene on atomically flat silicate substrate and relationship to mechanical properties

    International Nuclear Information System (INIS)

    Huang, H.Z.; Yuan, Q.; Misra, R.D.K.

    2011-01-01

    Research highlights: → Phyllosilicate was used to probe the nucleation and chain organization in thin films. → Crystal morphology was altered from leaf-like structure to fully developed spherulites. → The lamellae structure changed from well-oriented to cross-hatched implying epitaxial growth. → The good interfacial bonding favored by epitaxial crystallization is beneficial for toughness. - Abstract: Phyllosilicates including mica offer one of the easiest methods to obtain atomically flat surface of macroscopic size by cleavage. Thus, thin films of polypropylene crystallized at different pressure and as a function of polypropylene concentration were investigated. The objective was to probe the nucleation and chain organization in thin films on basal plane surface from the view point of relating the nucleation and growth process to the intergallery space between the clay layers. The crystal morphology was altered from leaf-like structure at high undercooling to fully developed spherulites at low undercooling. Furthermore, the lamellae structure change from well-oriented to cross-hatched with increase in the crystallization pressure was indicative of epitaxial growth between polymer matrix and mica surface. The possible epitaxial mechanism is discussed here. The study provides a method to explore the macro- and microstructure of polymer and epitaxy between polymer matrix and inorganic particle surface. The good interfacial bonding favored by epitaxial crystallization is beneficial for toughness.

  7. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  8. Thermoelectric and spincaloric properties of epitaxial LaNiO{sub 3}/SrTiO{sub 3} superlattices from first principles

    Energy Technology Data Exchange (ETDEWEB)

    Geisler, Benjamin [FRM II, Technische Universitaet Muenchen, Garching (Germany); Fakultaet fuer Physik, Universitaet Duisburg-Essen, Duisburg (Germany); Blanca-Romero, Ariadna [Imperial College, London (United Kingdom); Pentcheva, Rossitza [Fakultaet fuer Physik, Universitaet Duisburg-Essen, Duisburg (Germany)

    2016-07-01

    Modern layer-by-layer fabrication techniques make it possible to grow epitaxial oxide superlattices with atomic precision. By combining accurate DFT+U calculations to determine the atomic and electronic structure and Boltzmann transport theory we show how a targeted design of the interface composition can be used to optimize the thermoelectric and/or spincaloric properties of LaNiO{sub 3}/SrTiO{sub 3}(001) superlattices. A TiO{sub 2}/LaO interface induces n-type doping, and a (potentially highly spin-polarized) charge current arises solely in-plane in the NiO{sub 2} layers. The out-of-plane resistance is high, since the SrTiO{sub 3} layers act as tunneling barriers. In contrast, a NiO{sub 2}/SrO interface leads to p-type doping. In this case, also the valence band of SrTiO{sub 3} contributes to the transmission, thereby reducing the out-of-plane resistance significantly. Besides this doping effect we find that the interface composition influences the electronic band structure, which leads to a nontrivial behavior of the Seebeck coefficient. Funding by the DFG within TRR 80 (G3 and G8) is acknowledged.

  9. Band Alignment at GaN/Single-Layer WSe2 Interface

    KAUST Repository

    Tangi, Malleswararao

    2017-02-21

    We study the band discontinuity at the GaN/single-layer (SL) WSe2 heterointerface. The GaN thin layer is epitaxially grown by molecular beam epitaxy on chemically vapor deposited SL-WSe2/c-sapphire. We confirm that the WSe2 was formed as an SL from structural and optical analyses using atomic force microscopy, scanning transmission electron microscopy, micro-Raman, absorbance, and microphotoluminescence spectra. The determination of band offset parameters at the GaN/SL-WSe2 heterojunction is obtained by high-resolution X-ray photoelectron spectroscopy, electron affinities, and the electronic bandgap values of SL-WSe2 and GaN. The valence band and conduction band offset values are determined to be 2.25 ± 0.15 and 0.80 ± 0.15 eV, respectively, with type II band alignment. The band alignment parameters determined here provide a route toward the integration of group III nitride semiconducting materials with transition metal dichalcogenides (TMDs) for designing and modeling of their heterojunction-based electronic and optoelectronic devices.

  10. Band Alignment at GaN/Single-Layer WSe2 Interface

    KAUST Repository

    Tangi, Malleswararao; Mishra, Pawan; Tseng, Chien-Chih; Ng, Tien Khee; Hedhili, Mohamed N.; Anjum, Dalaver H.; Alias, Mohd Sharizal; Wei, Nini; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    We study the band discontinuity at the GaN/single-layer (SL) WSe2 heterointerface. The GaN thin layer is epitaxially grown by molecular beam epitaxy on chemically vapor deposited SL-WSe2/c-sapphire. We confirm that the WSe2 was formed as an SL from structural and optical analyses using atomic force microscopy, scanning transmission electron microscopy, micro-Raman, absorbance, and microphotoluminescence spectra. The determination of band offset parameters at the GaN/SL-WSe2 heterojunction is obtained by high-resolution X-ray photoelectron spectroscopy, electron affinities, and the electronic bandgap values of SL-WSe2 and GaN. The valence band and conduction band offset values are determined to be 2.25 ± 0.15 and 0.80 ± 0.15 eV, respectively, with type II band alignment. The band alignment parameters determined here provide a route toward the integration of group III nitride semiconducting materials with transition metal dichalcogenides (TMDs) for designing and modeling of their heterojunction-based electronic and optoelectronic devices.

  11. Fabrication of GaAs concentric multiple quantum rings by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Sanguinetti, S; Koguchi, N; Fedorov, A; Abbarchi, M; Gurioli, M

    2009-01-01

    We present the fabrication of a novel quantum nanostructure, constituted by three concentric quantum rings by droplet epitaxy. Atomic Force Microscopy and photoluminescence characterization of these nanostructures is reported.

  12. The structure of the solid-liquid interface: atomic size effect

    International Nuclear Information System (INIS)

    Geysermans, P.; Pontikis, V.

    2002-01-01

    The atomic structure of the solid-liquid heterophase interface was investigated by using molecular dynamics. Two kinds of systems were studied; the first one was crystalline copper with (100) and (111) surface terminations in contact with liquid aluminium, while in the second one the interface was modelled by two systems in contact made of Lennard-Jones particles with different size (σ) and energy (ε) parameters. We found that at the interface the liquid was layered whatever the crystallographic orientation of the surface. The layering of the liquid is still preserved when the ratio of particles sites (χ=σ 1 /σ 2 ) changes while an epitaxial relationship is always found between the crystal and the first liquid layer. The average density of the latter is closely related to the χ value. (authors)

  13. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  14. Triangle islands and cavities on the surface of evaporated Cu(In, Ga)Se2 absorber layer

    International Nuclear Information System (INIS)

    Han Anjun; Zhang Yi; Liu Wei; Li Boyan; Sun Yun

    2012-01-01

    Highlights: ► Lots of uncommon triangle islands and cavities are found on (1 1 2) planes terminated by Se atoms of evaporated Cu(In, Ga)Se 2 thin films. ► Se ad-dimer as a nucleus, Cu atom diffusion from Cu(In, Ga)Se 2 grains brings the epitaxial triangle island. ► The triangle islands grow with a two-dimensional layered mode. ► The triangle cavities are formed due to the insufficient coalescence of triangle islands. ► The performance of solar cell without triangle islands is improved. - Abstract: Cu(In, Ga)Se 2 (CIGS) thin films are co-evaporated at a constant substrate temperature of 500 °C on the Mo/soda lime glass substrates. The structural properties and chemical composition of the CIGS films are studied by an X-ray diffractometer (XRD) and an X-ray fluorescent spectrometer (XRF), respectively. A scanning electron microscope (SEM) is used to study the surface morphology. Lots of uncommon triangle islands and cavities are found on some planes of the CIGS thin films. We investigate the formation mechanism of these triangle islands. It is found that the planes with the triangle islands are (1 1 2) planes terminated by Se atoms. Se ad-dimer as a nucleus, Cu diffusion from CIGS grains brings the epitaxial triangle islands which grow with a two-dimensional layered mode. The film with Cu/(Ga + In) = 0.94–0.98 is one key of the formation of these islands. The triangle cavities are formed due to the insufficient coalescence of triangle islands. The growth of triangle islands brings a compact surface with large layered grains and many jagged edges, but no triangle cavity. Finally, we compare the performance of solar cell with triangle islands and layered gains. It is found that the performance of solar cell with large layered gains is improved.

  15. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  16. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  17. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  18. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  19. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  20. Classification Order of Surface-Confined Intermixing at Epitaxial Interface

    Science.gov (United States)

    Michailov, M.

    The self-organization phenomena at epitaxial interface hold special attention in contemporary material science. Being relevant to the fundamental physical problem of competing, long-range and short-range atomic interactions in systems with reduced dimensionality, these phenomena have found exacting academic interest. They are also of great technological importance for their ability to bring spontaneous formation of regular nanoscale surface patterns and superlattices with exotic properties. The basic phenomenon involved in this process is surface diffusion. That is the motivation behind the present study which deals with important details of diffusion scenarios that control the fine atomic structure of epitaxial interface. Consisting surface imperfections (terraces, steps, kinks, and vacancies), the interface offers variety of barriers for surface diffusion. Therefore, the adatoms and clusters need a certain critical energy to overcome the corresponding diffusion barriers. In the most general case the critical energies can be attained by variation of the system temperature. Hence, their values define temperature limits of system energy gaps associated with different diffusion scenarios. This systematization imply classification order of surface alloying: blocked, incomplete, and complete. On that background, two diffusion problems, related to the atomic-scale surface morphology, will be discussed. The first problem deals with diffusion of atomic clusters on atomically smooth interface. On flat domains, far from terraces and steps, we analyzed the impact of size, shape, and cluster/substrate lattice misfit on the diffusion behavior of atomic clusters (islands). We found that the lattice constant of small clusters depends on the number N of building atoms at 1 < N ≤ 10. In heteroepitaxy, this effect of variable lattice constant originates from the enhanced charge transfer and the strong influence of the surface potential on cluster atomic arrangement. At constant

  1. Time-resolved photon echoes from donor-bound excitons in ZnO epitaxial layers

    Science.gov (United States)

    Poltavtsev, S. V.; Kosarev, A. N.; Akimov, I. A.; Yakovlev, D. R.; Sadofev, S.; Puls, J.; Hoffmann, S. P.; Albert, M.; Meier, C.; Meier, T.; Bayer, M.

    2017-07-01

    The coherent optical response from 140 nm and 65 nm thick ZnO epitaxial layers is studied using four-wave-mixing spectroscopy with picosecond temporal resolution. Resonant excitation of neutral donor-bound excitons results in two-pulse and three-pulse photon echoes. For the donor-bound A exciton (D0XA ) at temperature of 1.8 K we evaluate optical coherence times T2=33 -50 ps corresponding to homogeneous line widths of 13 -19 μ eV , about two orders of magnitude smaller as compared with the inhomogeneous broadening of the optical transitions. The coherent dynamics is determined mainly by the population decay with time T1=30 -40 ps, while pure dephasing is negligible. Temperature increase leads to a significant shortening of T2 due to interaction with acoustic phonons. In contrast, the loss of coherence of the donor-bound B exciton (D0XB ) is significantly faster (T2=3.6 ps ) and governed by pure dephasing processes.

  2. Understanding arsenic incorporation in CdTe with atom probe tomography

    Energy Technology Data Exchange (ETDEWEB)

    Burton, G. L.; Diercks, D. R.; Ogedengbe, O. S.; Jayathilaka, P. A. R. D.; Edirisooriya, M.; Myers, T. H.; Zaunbrecher, K. N.; Moseley, J.; Barnes, T. M.; Gorman, B. P.

    2018-08-01

    Overcoming the open circuit voltage deficiency in Cadmium Telluride (CdTe) photovoltaics may be achieved by increasing p-type doping while maintaining or increasing minority carrier lifetimes. Here, routes to higher doping efficiency using arsenic are explored through an atomic scale understanding of dopant incorporation limits and activation in molecular beam epitaxy grown CdTe layers. Atom probe tomography reveals spatial segregation into nanometer scale clusters containing > 60 at% As for samples with arsenic incorporation levels greater than 7-8 x 10^17 cm-3. The presence of arsenic clusters was accompanied by crystal quality degradation, particularly the introduction of arsenic-enriched extended defects. Post-growth annealing treatments are shown to increase the size of the As precipitates and the amount of As within the precipitates.

  3. In situ observation of stress relaxation in epitaxial graphene

    International Nuclear Information System (INIS)

    N'Diaye, Alpha T; Coraux, Johann; Busse, Carsten; Michely, Thomas; Gastel, Raoul van; Poelsema, Bene; MartInez-Galera, Antonio J; Gomez-RodrIguez, Jose M; Hattab, Hichem; Wall, Dirk; Heringdorf, Frank-J Meyer zu; Hoegen, Michael Horn-von

    2009-01-01

    Upon cooling, branched line defects develop in epitaxial graphene grown at high temperature on Pt(111) and Ir(111). Using atomically resolved scanning tunneling microscopy, we demonstrate that these defects are wrinkles in the graphene layer, i.e. stripes of partially delaminated graphene. With low energy electron microscopy (LEEM), we investigate the wrinkling phenomenon in situ. Upon temperature cycling, we observe hysteresis in the appearance and disappearance of the wrinkles. Simultaneously with wrinkle formation a change in bright field imaging intensity of adjacent areas and a shift in the moire spot positions for micro diffraction of such areas takes place. The stress relieved by wrinkle formation results from the mismatch in thermal expansion coefficients of graphene and the substrate. A simple one-dimensional model taking into account the energies related to strain, delamination and bending of graphene is in qualitative agreement with our observations.

  4. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  5. One unit-cell seed layer induced epitaxial growth of heavily nitrogen doped anatase TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, T L; Hirose, Y; Hitosugi, T; Hasegawa, T [Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)], E-mail: chen@ksp.or.jp

    2008-03-21

    We present a novel way to obtain heavily nitrogen doped anatase TiO{sub 2} films by using a solid-state nitrogen source. Epitaxial growth of the films was realized by introducing one unit-cell seed layer, which was indicated by reflection high-energy electron diffraction as intensity oscillation. Results of x-ray diffraction and x-ray photoelectron spectroscopy confirmed that the films were in the anatase phase heavily doped with nitrogen of {approx}15 at%. The films obtained exhibited considerable narrowing of the optical bandgap, resulting in an enhancement of absorption in the visible-light region. (fast track communication)

  6. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  7. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  8. Crystal orientation mechanism of ZnTe epilayers formed on different orientations of sapphire substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nakasu, T.; Yamashita, S.; Aiba, T.; Hattori, S.; Sun, W.; Taguri, K.; Kazami, F.; Kobayashi, M.

    2014-01-01

    The electrooptic effect in ZnTe has recently attracted research attention, and various device structures using ZnTe have been explored. For application to practical terahertz wave detector devices based on ZnTe thin films, sapphire substrates are preferred because they enable the optical path alignment to be simplified. ZnTe/sapphire heterostructures were focused upon, and ZnTe epilayers were prepared on highly mismatched sapphire substrates by molecular beam epitaxy. Epitaxial relationships between the ZnTe thin films and the sapphire substrates with their various orientations were investigated using an X-ray diffraction pole figure method. (0001) c-plane, (1-102) r-plane, (1-100) m-plane, and (11-20) a-plane oriented sapphire substrates were used in this study. The epitaxial relationship between ZnTe and c-plane sapphire was found to be (111) ZnTe//(0001) sapphire with an in-plane orientation relationship of [−211] ZnTe//[1-100] sapphire. It was found that the (211)-plane ZnTe layer was grown on the m-plane of the sapphire substrates, and the (100)-plane ZnTe layer was grown on the r-plane sapphire. When the sapphire substrates were inclined from the c-plane towards the m-axis direction, the orientation of the ZnTe thin films was then tilted from the (111)-plane to the (211)-plane. The c-plane of the sapphire substrates governs the formation of the (111) ZnTe domain and the ZnTe epilayer orientation. These crystallographic features were also related to the atom arrangements of ZnTe and sapphire.

  9. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  10. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  11. Layer-controlled large area MoS{sub 2} layers grown on mica substrate for surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.Y.; Yang, C. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Jiang, S.Z. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); State Key Lab of Crystal Materials Shandong University, Jinan 250100 (China); Man, B.Y., E-mail: byman@sdnu.edu.cn [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Liu, M.; Chen, C.S.; Zhang, C.; Sun, Z.C.; Qiu, H.W. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Li, H.S. [Department of Radiation Oncology, Key Laboratory of Radiation Oncology of Shandong Province, Shandong Cancer Hospital and Institute, Jinan 250117 (China); Feng, D.J. [College of Information Science and Engineering, Shandong University, Jinan 250100 (China); Zhang, J.X. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China)

    2015-12-01

    Highlights: • Layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate at relatively low temperature. • The as-grown MoS{sub 2}/mica substrate was demonstrated to be suitable as a substrate for enhancing Raman signals without any modification and we even collected Raman signals of R6G as low as 10{sup −7} M. • Using the Raman peak of R6G at 1361 cm{sup −1} as a signature, Raman intensity showed an approximately linear increase with the increasing of the logarithm of R6G concentrations. - Abstract: Molybdenum disulfide has recently raised more and more interest due to its layer-related properties and potential applications in optoelectronics and electronics. Here, layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate. The obtained MoS{sub 2} film is three layers uniformly. Because of the small lattice mismatch between MoS{sub 2} and mica, the epitaxial MoS{sub 2} film is well grown on the substrate. The as-grown MoS{sub 2}/mica substrate is demonstrated to be suitable as a substrate for enhancing Raman signals of adsorbed molecules without any modification, which even can compare with graphene and will expand the application of MoS{sub 2} to microanalysis.

  12. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  13. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Aqueous phase synthesis of upconversion nanocrystals through layer-by-layer epitaxial growth for in vivo X-ray computed tomography

    KAUST Repository

    Li, Feifei

    2013-05-21

    Lanthanide-doped core-shell upconversion nanocrystals (UCNCs) have tremendous potential for applications in many fields, especially in bio-imaging and medical therapy. As core-shell UCNCs are mostly synthesized in organic solvents, tedious organic-aqueous phase transfer processes are usually needed for their use in bio-applications. Herein, we demonstrate the first example of one-step synthesis of highly luminescent core-shell UCNCs in the "aqueous" phase under mild conditions using innocuous reagents. A microwave-assisted approach allowed for layer-by-layer epitaxial growth of a hydrophilic NaGdF4 shell on NaYF4:Yb, Er cores. During this process, surface defects of the nanocrystals could be gradually passivated by the homogeneous shell deposition, resulting in obvious enhancement in the overall upconversion emission efficiency. In addition, the up-down conversion dual-mode luminescent NaYF4:Yb, Er@NaGdF4:Ce, Ln (Eu, Tb, Sm, Dy) nanocrystals were also synthesized to further validate the successful formation of the core-shell structure. More significantly, based on their superior solubility and stability in water solution, high upconversion efficiency and Gd-doped predominant X-ray absorption, the as-prepared NaYF4:Yb, Er@NaGdF4 core-shell UCNCs exhibited high contrast in in vitro cell imaging and in vivo X-ray computed tomography (CT) imaging, demonstrating great potential as multiplexed luminescent biolabels and CT contrast agents.

  15. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  16. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  17. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  18. Precise, Self-Limited Epitaxy of Ultrathin Organic Semiconductors and Heterojunctions Tailored by van der Waals Interactions.

    Science.gov (United States)

    Wu, Bing; Zhao, Yinghe; Nan, Haiyan; Yang, Ziyi; Zhang, Yuhan; Zhao, Huijuan; He, Daowei; Jiang, Zonglin; Liu, Xiaolong; Li, Yun; Shi, Yi; Ni, Zhenhua; Wang, Jinlan; Xu, Jian-Bin; Wang, Xinran

    2016-06-08

    Precise assembly of semiconductor heterojunctions is the key to realize many optoelectronic devices. By exploiting the strong and tunable van der Waals (vdW) forces between graphene and organic small molecules, we demonstrate layer-by-layer epitaxy of ultrathin organic semiconductors and heterostructures with unprecedented precision with well-defined number of layers and self-limited characteristics. We further demonstrate organic p-n heterojunctions with molecularly flat interface, which exhibit excellent rectifying behavior and photovoltaic responses. The self-limited organic molecular beam epitaxy (SLOMBE) is generically applicable for many layered small-molecule semiconductors and may lead to advanced organic optoelectronic devices beyond bulk heterojunctions.

  19. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  20. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  1. Intercalation of Si between MoS2 layers

    Directory of Open Access Journals (Sweden)

    Rik van Bremen

    2017-09-01

    Full Text Available We report a combined experimental and theoretical study of the growth of sub-monolayer amounts of silicon (Si on molybdenum disulfide (MoS2. At room temperature and low deposition rates we have found compelling evidence that the deposited Si atoms intercalate between the MoS2 layers. Our evidence relies on several experimental observations: (1 Upon the deposition of Si on pristine MoS2 the morphology of the surface transforms from a smooth surface to a hill-and-valley surface. The lattice constant of the hill-and-valley structure amounts to 3.16 Å, which is exactly the lattice constant of pristine MoS2. (2 The transitions from hills to valleys are not abrupt, as one would expect for epitaxial islands growing on-top of a substrate, but very gradual. (3 I(V scanning tunneling spectroscopy spectra recorded at the hills and valleys reveal no noteworthy differences. (4 Spatial maps of dI/dz reveal that the surface exhibits a uniform work function and a lattice constant of 3.16 Å. (5 X-ray photo-electron spectroscopy measurements reveal that sputtering of the MoS2/Si substrate does not lead to a decrease, but an increase of the relative Si signal. Based on these experimental observations we have to conclude that deposited Si atoms do not reside on the MoS2 surface, but rather intercalate between the MoS2 layers. Our conclusion that Si intercalates upon the deposition on MoS2 is at variance with the interpretation by Chiappe et al. (Adv. Mater. 2014, 26, 2096–2101 that silicon forms a highly strained epitaxial layer on MoS2. Finally, density functional theory calculations indicate that silicene clusters encapsulated by MoS2 are stable.

  2. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  3. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  4. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  5. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  6. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  7. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  8. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  9. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  10. Low temperature epitaxy of Ge-Sb-Te films on BaF{sub 2} (111) by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Thelander, E., E-mail: erik.thelander@iom-leipzig.de; Gerlach, J. W.; Ross, U.; Lotnyk, A.; Rauschenbach, B. [Leibniz-Institut für Oberflächenmodifizierung e.V., Leipzig 04318 (Germany)

    2014-12-01

    Pulsed laser deposition was employed to deposit epitaxial Ge{sub 2}Sb{sub 2}Te{sub 5}-layers on the (111) plane of BaF{sub 2} single crystal substrates. X-ray diffraction measurements show a process temperature window for epitaxial growth between 85 °C and 295 °C. No crystalline growth is observed for lower temperatures, whereas higher temperatures lead to strong desorption of the film constituents. The films are of hexagonal structure with lattice parameters consistent with existing models. X-ray pole figure measurements reveal that the films grow with one single out-of-plane crystal orientation, but rotational twin domains are present. The out-of-plane epitaxial relationship is determined to be Ge{sub 2}Sb{sub 2}Te{sub 5}(0001) || BaF{sub 2}(111), whereas the in-plane relationship is characterized by two directions, i.e., Ge{sub 2}Sb{sub 2}Te{sub 5} [-12-10] || BaF{sub 2}[1-10] and Ge{sub 2}Sb{sub 2}Te{sub 5}[1-210] || BaF{sub 2}[1-10]. Aberration-corrected high-resolution scanning transmission electron microscopy was used to resolve the local atomic structure and confirm the hexagonal structure of the films.

  11. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  12. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  13. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  14. Experimental investigations of superconductivity in quasi-two-dimensional epitaxial copper oxide superlattices and trilayers

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Norton, D.P.

    1993-01-01

    Epitaxial trilayer and superlattice structures grown by pulsed laser ablation have been used to study the superconducting-to-normal transition of ultrathin (one and two c-axis unit cells) YBa 2 Cu 3 O 7-x layers. The normalized flux-flow resistances for several epitaxial structures containing two-cell-thick YBa 2 Cu 3 O 7-x films collapse onto the ''universal'' curve of the Ginzburg-Landau Coulomb Gas (GLCG) model. Analysis of normalized resistance data for a series of superlattices containing one-cell-thick YBa 2 Cu 3 O 7-x layers also is consistent with the behavior expected for quasi-two-dimensional layers in a highly anisotropic, layered three-dimensional superconductor. Current-voltage measurements for one of the trilayer structures also are consistent with the normalized resistance data, and with the GLCG model. Scanning tunneling microscopy, transmission electron microscopy, and electrical transport studies show that growth-related steps in ultrathin YBa 2 Cu 3 O 7-x layers affect electrical continuity over macroscopic distances, acting as weak links. However , the perturbation of the superconducting order parameter can be minimized by utilizing hole-doped buffer and cap layers, on both sides of the YBa 2 Cu 3 O 7-x layer, in trilayers and superlattices. These results demonstrate the usefulness of epitaxial trilayer and superlattice structures as tools for systematic, fundamental studies of high-temperature superconductivity

  15. Thickness-Dependent Dielectric Constant of Few-Layer In 2 Se 3 Nanoflakes

    KAUST Repository

    Wu, Di

    2015-11-17

    © 2015 American Chemical Society. The dielectric constant or relative permittivity (εr) of a dielectric material, which describes how the net electric field in the medium is reduced with respect to the external field, is a parameter of critical importance for charging and screening in electronic devices. Such a fundamental material property is intimately related to not only the polarizability of individual atoms but also the specific atomic arrangement in the crystal lattice. In this Letter, we present both experimental and theoretical investigations on the dielectric constant of few-layer In2Se3 nanoflakes grown on mica substrates by van der Waals epitaxy. A nondestructive microwave impedance microscope is employed to simultaneously quantify the number of layers and local electrical properties. The measured εr increases monotonically as a function of the thickness and saturates to the bulk value at around 6-8 quintuple layers. The same trend of layer-dependent dielectric constant is also revealed by first-principles calculations. Our results of the dielectric response, being ubiquitously applicable to layered 2D semiconductors, are expected to be significant for this vibrant research field.

  16. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  17. Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy

    Science.gov (United States)

    Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.

    2018-05-01

    The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte

  18. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  19. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  20. Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini

    2012-01-01

    -plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....

  1. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  2. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  3. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  4. Orientation-dependent physical properties of layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Li-Wei; Guo, Bing; Chen, Chang-Le, E-mail: chenchl@nwpu.edu.cn; Luo, Bing-Cheng; Dong, Xiang-Lei; Jin, Ke-Xin

    2017-04-01

    In this paper, the resistivity and magnetization of orientation-engineered layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films have been investigated. Epitaxial thin films were deposited on single-crystalline LaAlO{sub 3} (LAO) (001), (110) and (111) substrates by pulse laser deposition (PLD) technique. It is found that only the (100)-oriented thin film performs insulator behavior, whereas the (110) and (111)-oriented thin films exhibit obvious metal-insulator transition at 70 K and between 85 and 120 K, respectively. Moreover, the same spin freezing temperature and different spin-glass-like transition temperatures have been observed in various oriented films. The observed experimental results were discussed according to the electron-transport mechanism and spin dynamics.

  5. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  6. Atomic Structure of Au−Pd Bimetallic Alloyed Nanoparticles

    KAUST Repository

    Ding, Yong

    2010-09-08

    Using a two-step seed-mediated growth method, we synthesized bimetallic nanoparticles (NPs) having a gold octahedron core and a palladium epitaxial shell with controlled Pd-shell thickness. The mismatch-release mechanism between the Au core and Pd shell of the NPs was systematically investigated by high-resolution transmission electron microscopy. In the NPs coated with a single atomic layer of Pd, the strain between the surface Pd layer and the Au core is released by Shockley partial dislocations (SPDs) accompanied by the formation of stacking faults. For NPs coated with more Pd (>2 nm), the stacking faults still exist, but no SPDs are found. This may be due to the diffusion of Au atoms into the Pd shell layers to eliminate the SPDs. At the same time, a long-range ordered L11 AuPd alloy phase has been identified in the interface area, supporting the assumption of the diffusion of Au into Pd to release the interface mismatch. With increasing numbers of Pd shell layers, the shape of the Au-Pd NP changes, step by step, from truncated-octahedral to cubic. After the bimetallic NPs were annealed at 523 K for 10 min, the SPDs at the surface of the NPs coated with a single atomic layer of Pd disappeared due to diffusion of the Au atoms into the surface layer, while the stacking faults and the L11 Au-Pd alloyed structure remained. When the annealing temperature was increased to 800 K, electron diffraction patterns and diffraction contrast images revealed that the NPs became a uniform Au-Pd alloy, and most of the stacking faults disappeared as a result of the annealing. Even so, some clues still support the existence of the L11 phase, which suggests that the L11 phase is a stable, long-range ordered structure in Au-Pd bimetallic NPs. © 2010 American Chemical Society.

  7. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  8. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  9. The effect of a thin silver layer on the critical current of epitaxial YBCO films

    International Nuclear Information System (INIS)

    Polturak, E.; Koren, G.; Cohen, D.; Cohen, D.; Snapiro, I.

    1992-01-01

    We compare measurements of the critical current density of an epitaxial YBCO film with that of an identical film overlaid by a thin silver layer. We find that the presence of the silver lowers Tc of the film by about 1.5 K, which is two orders of magnitude larger than predicted by the theory of the proximity effect for our experimental conditions. In addition, J c of the Ag/YBCO film near Tc is also significantly lower than that of the bare YBCO film. We propose two alternate interpretations of this effect, one in terms of destabilization of the flux distribution in the film and the other making use of the effect of the silver on the Bean-Livingston surface barrier for the initial penetration of flux. The latter seems the more plausible explanation of our results. (orig.)

  10. Microstructural and domain effects in epitaxial CoFe2O4 films on MgO with perpendicular magnetic anisotropy

    International Nuclear Information System (INIS)

    Comes, Ryan; Gu Man; Khokhlov, Mikhail; Lu Jiwei; Wolf, Stuart A.

    2012-01-01

    CoFe 2 O 4 (CFO) epitaxial thin films of various thicknesses were grown on MgO substrates using the pulsed electron-beam deposition technique. The films have excellent in-plane coherence with the substrate, exhibit layer-by-layer growth and have well-defined thickness fringes in x-ray diffraction measurements. Atomic force microscopy (AFM) measurements indicate that misfit dislocations form in thicker films and the critical thickness for the dislocation formation is estimated. Perpendicular magnetic anisotropy in CFO due to epitaxial in-plane tensile strain from the substrate was found. A stripe-like domain structure in the demagnetized state is demonstrated using magnetic force microscopy (MFM), in agreement with previous predictions. Coercivity increased in thicker films, which is explained by domain wall pinning due to misfit dislocations at the CFO/MgO interface. - Highlights: → X-ray diffraction and rocking curves indicate films are amongst highest quality in the literature. → Domain structure of CoFe 2 O 4 films on MgO was found to be stripe-like using MFM. → Critical thickness for misfit dislocations estimated and agrees with experiment. → Effect of misfit dislocations on surface morphology explained. → Role of dislocations and antiphase boundaries in domain wall formation and motion explained.

  11. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  12. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  13. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  14. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  15. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  16. Magnetic properties of epitaxial bismuth ferrite-garnet mono- and bilayers

    International Nuclear Information System (INIS)

    Semuk, E.Yu.; Berzhansky, V.N.; Prokopov, A.R.; Shaposhnikov, A.N.; Karavainikov, A.V.; Salyuk, O.Yu.; Golub, V.O.

    2015-01-01

    Magnetic properties of Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 (84 nm) and Bi 2.8 Y 0.2 Fe 5 O 12 (180 nm) films epitaxially grown on gallium-gadolinium garnet (GGG) single crystal (111) substrate as well as Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 /Bi 2.8 Y 0.2 Fe 5 O 12 bilayer were investigated using ferromagnetic resonance technique. The mismatch of the lattice parameters of substrate and magnetic layers leads to formation of adaptive layers which affect on the high order anisotropy constant of the films but practically do not affect on uniaxial perpendicular magnetic anisotropy The magnetic properties of the bilayer film were explained in supposition of strong exchange coupling between magnetic layers taking into account film-film and film-substrate elastic interaction. - Highlights: • Magnetic parameters of epitaxial Bi-YIG films and bilayers on GGG substrate. • Adaptive layers affect on high order magnetic anisotropy. • Magnetic properties of bilayers are result of strong exchange interaction

  17. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  18. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  19. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  20. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  1. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  2. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  3. Fabrication of a complex InAs ring-and-dot structure by droplet epitaxy

    International Nuclear Information System (INIS)

    Noda, Takeshi; Mano, Takaaki

    2008-01-01

    An InAs ring structure accompanying the formation of quantum dots (QDs) was fabricated on (1 0 0)GaAs using droplet epitaxy. The QDs were located in the vicinity of the ring, due to the diffusion of In atoms from the In droplets. In addition, the dots were found to have distributed elliptically and preferentially along the [0 1 1] direction, implying that In itself prefers to diffuse along the [0 1 1] direction, which is the opposite of the favorable diffusion orientation of group III atoms on (1 0 0)GaAs under a commonly used As-stabilized growth condition. This is the first observation of a ring structure accompanying the formation of quantum dots in droplet epitaxy

  4. Redundant Sb condensation on GaSb epilayers grown by molecular beam epitaxy during cooling procedure

    International Nuclear Information System (INIS)

    Arpapay, B.; Şahin, S.; Arıkan, B.; Serincan, U.

    2014-01-01

    The effect of four different cooling receipts on the surface morphologies of unintentionally-doped GaSb epilayers on GaSb (100) substrates grown by molecular beam epitaxy is reported. Those receipts include three different Sb beam equivalent pressure (BEP) levels and two different termination temperatures. Surface morphologies of epilayers were examined by wet etching, surface profiler, atomic force microscopy, scanning electron microscopy and Raman spectroscopy. The results demonstrate that during the cooling period, a Sb BEP of 4.00 × 10 −4 Pa at a termination temperature of 400 °C induces a smooth surface without Sb condensation whereas same Sb BEP at a termination temperature of 350 °C forms a 300 nm thick Sb layer on the surface. In addition, it is revealed that by applying a wet etching procedure and using a surface profiler it is possible to identify this condensed layer from the two-sloped feature of mesa profile. - Highlights: • Sb beam flux termination temperature is crucial for redundant Sb condensation. • Sb beam flux level has a role on the thickness of redundant condensed Sb layer. • Redundant Sb layer thickness can be measured by two-sloped mesa structure

  5. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  6. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  7. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    Energy Technology Data Exchange (ETDEWEB)

    Erofeev, E. V., E-mail: erofeev@micran.ru [Tomsk State University of Control Systems and Radioelectronics, Research Institute of Electrical-Communication Systems (Russian Federation); Fedin, I. V.; Kutkov, I. V. [Research and Production Company “Micran” (Russian Federation); Yuryev, Yu. N. [National Research Tomsk Polytechnic University, Institute of Physics and Technology (Russian Federation)

    2017-02-15

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  8. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Fedin, I. V.; Kutkov, I. V.; Yuryev, Yu. N.

    2017-01-01

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V_t_h = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V_t_h = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  9. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    Science.gov (United States)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  10. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  11. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  12. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  13. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  14. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  15. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science

    2018-01-31

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.

  16. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    Science.gov (United States)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  17. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  18. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  19. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  20. Epitaxial growth of high purity cubic InN films on MgO substrates using HfN buffer layers by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ohba, R.; Ohta, J.; Shimomoto, K.; Fujii, T.; Okamoto, K.; Aoyama, A.; Nakano, T.; Kobayashi, A.; Fujioka, H.; Oshima, M.

    2009-01-01

    Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been found that the use of HfN (100) buffer layers allows us to grow cubic InN (100) films with an in-plane epitaxial relationship of [001] InN //[001] HfN //[001] MgO . X-ray diffraction and electron back-scattered diffraction measurements have revealed that the phase purity of the cubic InN films was as high as 99%, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD. - Graphical abstract: Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been revealed that the phase purity of the cubic InN films was as high as 99 %, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD.

  1. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  2. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  3. Directed Atom-by-Atom Assembly of Dopants in Silicon.

    Science.gov (United States)

    Hudak, Bethany M; Song, Jiaming; Sims, Hunter; Troparevsky, M Claudia; Humble, Travis S; Pantelides, Sokrates T; Snijders, Paul C; Lupini, Andrew R

    2018-05-17

    The ability to controllably position single atoms inside materials is key for the ultimate fabrication of devices with functionalities governed by atomic-scale properties. Single bismuth dopant atoms in silicon provide an ideal case study in view of proposals for single-dopant quantum bits. However, bismuth is the least soluble pnictogen in silicon, meaning that the dopant atoms tend to migrate out of position during sample growth. Here, we demonstrate epitaxial growth of thin silicon films doped with bismuth. We use atomic-resolution aberration-corrected imaging to view the as-grown dopant distribution and then to controllably position single dopants inside the film. Atomic-scale quantum-mechanical calculations corroborate the experimental findings. These results indicate that the scanning transmission electron microscope is of particular interest for assembling functional materials atom-by-atom because it offers both real-time monitoring and atom manipulation. We envision electron-beam manipulation of atoms inside materials as an achievable route to controllable assembly of structures of individual dopants.

  4. Intensity Distribution of the Three-Wave Diffraction from Dislocation Epitaxial Layers in the Reciprocal Space

    Science.gov (United States)

    Kyutt, R. N.

    2018-04-01

    The three-wave X-ray diffraction in strongly disordered epitaxial layers of GaN and ZnO is experimentally investigated. The charts of the intensity distribution in the reciprocal space are plotted in coordinates q θ and q ϕ for the most intensive three-wave combination (1010)/(1011) by means of subsequent θ- and ϕ-scanning. A nontrivial shape of the θ-sections of these contours at a distance from the ϕ center of reflection is revealed; it is different for different samples. For the θ-curves at the center of reflection, we observed a common peak that may be approximated by the Voigt function with a power-low decrease in the intensity at the wings; the decrease law (from-4.5 to-5.0) is found to be considerably greater than that for the similar curves of two-wave diffraction and not depending on the dislocation density and distribution in layers. In some films we observed a coarse-block structure; in addition, it follows from the distribution in the reciprocal space that these blocks are turned with respect to each other around a normal to the surface, which allows us to suggest the existence of low-angle boundaries between them, consisting exclusively of edge dislocations.

  5. A clean measurement of the hydrogen retardation of the rate of solid phase epitaxy in silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1999-01-01

    The rate retarding effects of the impurity hydrogen on solid phase epitaxy (SPE) in silicon have yet to be completely understood. Existing measurements of this behaviour do not coincide exactly, however, several features have attained prominence. Firstly, a linear decrease in the SPE rate is detected up until a certain concentration of hydrogen. Subsequent to this point the rate remains almost constant at around half the intrinsic rate. It is conjectured that the hydrogen bonds to and passivates the defects whose agency enables the incorporation of atoms from the amorphous phase to the crystalline. This rate reduction increases until the defect population is saturated. At this point the reduction in rate ceases. Secondly, a dependence on temperature has not been consolidated, in contrast with the trends observed with the doping species. Here a method is proposed for producing a controlled concentration of hydrogen for the advancing amorphous/crystalline interface to encounter during epitaxy. A bubble layer is formed in crystalline silicon approximately 0.6μm beneath the surface through the implantation of hydrogen at 65 keV with fluences of 4 x 10 16 /cm 2 and 3 x 10 16 /cm 2 and annealing for 1 hour at 850 deg C in dry argon. The anneal doesn't out gas all the introduced hydrogen, leaving a remnant gas pressure in the bubbles. The hydrogen implants at the two fluences should yield two samples with different amounts of hydrogen trapped in the bubbles. A buried amorphous layer is created to encompass the bubble layer containing this residual contaminant through silicon self implantation at appropriate energies and fluences. The progress of the front interface of the buried amorphous layer is monitored by time resolved reflectivity (TRR) as SPE is effected at various temperatures

  6. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate

    International Nuclear Information System (INIS)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-01

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10 10 cm −2 , and that the lateral and the vertical interdot spacing were ∼10 and ∼2.5 nm, respectively. (paper)

  7. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate.

    Science.gov (United States)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-11

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10(10) cm(-2), and that the lateral and the vertical interdot spacing were ~10 and ~2.5 nm, respectively.

  8. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  9. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  10. Structure and orbital ordering of ultrathin LaVO{sub 3} probed by atomic resolution electron microscopy and Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Lindfors-Vrejoiu, Ionela; Engelmayer, Johannes; Loosdrecht, Paul H.M. van [II. Physikalisches Institut, Koeln Univ. (Germany); Jin, Lei; Jia, Chun-Lin [Peter Gruenberg Institut (PGI-5) and Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons (ER-C), Forschungszentrum Juelich GmbH (Germany); Himcinschi, Cameliu [Institut fuer Theoretische Physik, TU Bergakademie Freiberg (Germany); Hensling, Felix; Waser, Rainer; Dittmann, Regina [Peter Gruenberg Institut (PGI-7), Forschungszentrum Juelich GmbH (Germany)

    2017-03-15

    Orbital ordering has been less investigated in epitaxial thin films, due to the difficulty to evidence directly the occurrence of this phenomenon in thin film samples. Atomic resolution electron microscopy enabled us to observe the structural details of the ultrathin LaVO{sub 3} films. The transition to orbital ordering of epitaxial layers as thin as ∼4 nm was probed by temperature-dependent Raman scattering spectroscopy of multilayer samples. From the occurrence and temperature dependence of the 700 cm{sup -1} Raman active mode it can be inferred that the structural phase transition associated with orbital ordering takes place in ultrathin LaVO{sub 3} films at about 130 K. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. The effect of oxygen on segregation-induced redistribution of rare-earth elements in silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Aleksandrov, O. V.

    2006-01-01

    A model of segregation-induced redistribution of impurities of rare-earth elements during solid-phase epitaxial crystallization of silicon layers amorphized by ion implantation is developed. This model is based on the assumption that a transition layer with a high mobility of atoms is formed at the interphase boundary on the side of a-Si; the thickness of this layer is governed by the diffusion length of vacancies in a-Si. The Er concentration profiles in Si implanted with both erbium and oxygen ions are analyzed in the context of the model. It shown that, in the case of high doses of implantation of rare-earth ions, it is necessary to take into account the formation of R m clusters (m = 4), where R denotes the atom of a rare-earth element, whereas, if oxygen ions are also implanted, formation of the complexes RO n (n = 3-6) should be taken into account; these complexes affect the transition-layer thickness and segregation coefficient

  12. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    Science.gov (United States)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  13. Coherent, atomically thin transition-metal dichalcogenide superlattices with engineered strain

    Science.gov (United States)

    Xie, Saien; Tu, Lijie; Han, Yimo; Huang, Lujie; Kang, Kibum; Lao, Ka Un; Poddar, Preeti; Park, Chibeom; Muller, David A.; DiStasio, Robert A.; Park, Jiwoong

    2018-03-01

    Epitaxy forms the basis of modern electronics and optoelectronics. We report coherent atomically thin superlattices in which different transition metal dichalcogenide monolayers—despite large lattice mismatches—are repeated and laterally integrated without dislocations within the monolayer plane. Grown by an omnidirectional epitaxy, these superlattices display fully matched lattice constants across heterointerfaces while maintaining an isotropic lattice structure and triangular symmetry. This strong epitaxial strain is precisely engineered via the nanoscale supercell dimensions, thereby enabling broad tuning of the optical properties and producing photoluminescence peak shifts as large as 250 millielectron volts. We present theoretical models to explain this coherent growth and the energetic interplay governing the ripple formation in these strained monolayers. Such coherent superlattices provide building blocks with targeted functionalities at the atomically thin limit.

  14. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  15. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  16. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, S.; Sugimoto, K.; Shugyo, S.; Matsuda, S. [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan); Hirao, T. [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan)

    1998-12-01

    Single-Event Burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs, including small signal transistors, with thinner epitaxial layers were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified.

  17. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  18. Methods for improved growth of group III nitride buffer layers

    Science.gov (United States)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    2014-07-15

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).

  19. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  20. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....