WorldWideScience

Sample records for assessing double acid-etched

  1. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  2. Effects of Dextrose and Lipopolysaccharide on the Corrosion Behavior of a Ti-6Al-4V Alloy with a Smooth Surface or Treated with Double-Acid-Etching

    Science.gov (United States)

    Faverani, Leonardo P.; Assunção, Wirley G.; de Carvalho, Paulo Sérgio P.; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T.; Barao, Valentim A.

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (pcorrosion current density) and Ipass (pcorrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no dose-response corrosion behavior could be observed. These results suggest a greater susceptibility to corrosion of titanium implants in diabetic patients with associated infections. PMID:24671257

  3. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  4. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  5. Effects of dextrose and lipopolysaccharide on the corrosion behavior of a Ti-6Al-4V alloy with a smooth surface or treated with double-acid-etching.

    Science.gov (United States)

    Faverani, Leonardo P; Assunção, Wirley G; de Carvalho, Paulo Sérgio P; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T; Barao, Valentim A

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (pdextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (pdextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no dose-response corrosion behavior could be observed. These results suggest a greater susceptibility to corrosion of titanium implants in diabetic patients with associated infections.

  6. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  7. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  8. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  9. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    Science.gov (United States)

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  10. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  11. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  12. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  13. Microleakage assessment of fissure sealant following fissurotomy bur or pumice prophylaxis use before etching

    Directory of Open Access Journals (Sweden)

    Ali Bagherian

    2013-01-01

    The aim of this investigation was to compare the microleakage level of fissure sealants prepared by a fissurotomy bur or pumice prophylaxis prior to acid etching. Materials and Methods: Ninety freshly extracted healthy maxillary premolar teeth were randomly selected for this investigation. Teeth were then divided into three fissure sealant preparatory groups of A: Fissurotomy bur + acid etch; B: Pumice prophylaxis + acid etch and C: Acid etch alone. Sealant was applied to the occlusal fissures of all specimens using a plastic instrument. This was to avoid any air trap under the sealant. Sample teeth were first thermocycled (1000 cycles, 20 s dwell time and then coated with two layers of nail varnish leaving 2 mm around the sealant. This was then followed by immersion in basic fuchsin 3%. Processed teeth were sectioned longitudinally and examined under a stereomicroscope for microleakage assessment using a score of 0-3. Collected data was then subjected to Kruskall-Wallis Analysis of Variance and Mann-Whitney U-test. P < 0.05 was considered as significant. Results: Teeth in fissurotomy bur and pumice prophylaxis groups had significantly reduced level of microleakage than those in acid etch alone (P = 0.005 and P = 0.003, respectively. Conclusion: Use of fissurotomy bur and pumice prophylaxis accompanied with acid etching appears to have a more successful reduction of microleakage than acid etch alone.

  14. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  15. Effect of double-layer application on dentin bond durability of one-step self-etch adhesives.

    Science.gov (United States)

    Taschner, M; Kümmerling, M; Lohbauer, U; Breschi, L; Petschelt, A; Frankenberger, R

    2014-01-01

    The aim of this in vitro study was 1) to analyze the influence of a double-layer application technique of four one-step self-etch adhesive systems on dentin and 2) to determine its effect on the stability of the adhesive interfaces stored under different conditions. Four different one-step self-etch adhesives were selected for the study (iBondSE, Clearfil S(3) Bond, XenoV(+), and Scotchbond Universal). Adhesives were applied according to manufacturers' instructions or with a double-layer application technique (without light curing of the first layer). After bonding, resin-dentin specimens were sectioned for microtensile bond strength testing in accordance with the nontrimming technique and divided into 3 subgroups of storage: a) 24 hours (immediate bond strength, T0), b) six months (T6) in artificial saliva at 37°C, or c) five hours in 10 % NaOCl at room temperature. After storage, specimens were stressed to failure. Fracture mode was assessed under a light microscope. At T0, iBond SE showed a significant increase in microtensile bond strength when the double-application technique was applied. All adhesive systems showed reduced bond strengths after six months of storage in artificial saliva and after storage in 10% NaOCl for five hours; however at T6, iBond SE, Clearfil S(3) Bond, and XenoV(+) showed significantly higher microtensile bond strength results for the double-application technique compared with the single-application technique. Scotchbond Universal showed no difference between single- or double-application, irrespective of the storage conditions. The results of this study show that improvements in bond strength of one-step self-etch adhesives by using the double-application technique are adhesive dependent.

  16. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    Science.gov (United States)

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  18. STUDY ON THE EFFECTS OF ACID ETCHING ON AFFECTED ENAMEL

    Directory of Open Access Journals (Sweden)

    Simona Stoleriu

    2011-12-01

    Full Text Available The purpose of the study was to establish and compare the effects of ortophosphoric and hydrochloric acids on the enamel affected by incipient carious lesions with different evolution. Materials and method. 20 teeth with acute and chronic non-cavitary carious lesions were considered for the study. The teeth were sectioned in two halves through the middle of the non-cavitary lesions. The halves of 5 white spot-type lesions and of 5 brown spot-type ones were analyzed as to their surface roughness, on an atomic force microscope (AFM. 5 halves with white spot-type lesions and 5 halves with brown spot-type ones were subjected to acid etching with 37% ortophosphoric acid (Scotchbond etchant gel, 3M ESPE, and an equal number of samples was subjected to the action of 15% hydrochloric acid (ICON-etch, DMG Dental Products Ltd for 2 min, then washed with water and analyzed by AFM. Results. The initial surface roughness of the enamel was higher in the white spot–type carious lesions, comparatively with the brown spot-type ones. For both types of carious non-cavitary lesions, acid etching with phosphoric and hydrochloric acid significantly increased the surface roughness of the enamel, comparatively with the status of the enamel surface prior to etching. The hydrochloric acid led to a surface roughness significantly higher than in the case of ortophosphoric acid, in both acute and chronic non-cavitary carious lesions. The roughness values obtained through etching with ortophosphoric and hydrochloric acid were higher in the white spot-type carious lesions, comparatively with the brown spot-type ones. Conclusions. Both the 37% ortophosphoric acid and the 15% hydrochloric acid determined a significantly higher surface roughness of the enamel affected by acute and chronic non-cavitary carious lesions. The surface condition of the brown spot-type carious lesions was less significantly modified, comparatively with that of the white spot-type lesions, by the

  19. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  20. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    International Nuclear Information System (INIS)

    Cheong, Youjin; Choi, Samjin; Kim, So Jung; Park, Hun-Kuk

    2012-01-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: ► APF pre-treatment led to decreased surface roughness in the enamel. ► After APF treatment, the more roughness increased with increasing time elapsed. ► Acid-etching should be performed two weeks after fluoride application.

  1. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Youjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Choi, Samjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Department of Orthodontics, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Kim, So Jung [Department of Pediatric Dentistry, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Park, Hun-Kuk, E-mail: sigmoidus@khu.ac.kr [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Program of Medical Engineering, Kyung Hee University, Seoul (Korea, Republic of)

    2012-07-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: Black-Right-Pointing-Pointer APF pre-treatment led to decreased surface roughness in the enamel. Black-Right-Pointing-Pointer After APF treatment, the more roughness increased with increasing time elapsed. Black-Right-Pointing-Pointer Acid-etching should be performed two weeks after fluoride application.

  2. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  3. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    OpenAIRE

    Joan Lario; Angélica Amigó; Francisco Segovia; Vicente Amigó

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in...

  4. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    Science.gov (United States)

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  5. Marginal microleakage in vitro study on class V cavities prepared with Er:YAG laser and etched with acid or etched with Er:YAG laser and acid

    International Nuclear Information System (INIS)

    Tavares, Henrique Dutra Simoes

    2001-01-01

    Microleakage at the interface between the teeth and the restorative materials remains a problem with composite resin restorations. Microleakage at the gingival margins of class V cavities restorations still challenge as they are usually placed in dentin and/or cementum. Previous studies have shown that the cavity preparation with Er:YAG laser is possible. It has been reported that Er:YAG laser has ability to create irregular surface providing micromechanical retention for adhesive dental restorative materials and to improve marginal sealing. The purpose of this in vitro study was to evaluate the marginal microleakage on class V cavities prepared with Er:YAG laser and etched with acid or with Er:YAG laser and acid, in compared to those prepared and etched conventionally. Thirty human molars were divided into three groups, namely: group I - prepared with Er:YAG laser (KaVo KEY Laser II - Germany) and etched with 37% phosphoric acid; group II - prepared with Er:YAG laser and etched with Er:YAG laser and 37% phosphoric acid; group III (control group) - prepared with high speed drill and etched with 37% phosphoric acid. All cavities were treated with same adhesive system (Single Bond - 3M) and restored with the composite resin (Z100 - 3M), according to the manufacturer's instructions. The specimens were stored at 37 deg C in water for 24 hours, polished with Sof-Lex discs (3M), thermally stressed, sealed with a nail polish coating except for the area of the restoration and 1 mm around it, and immersed in a 50% aqueous solution of silver nitrate for 24 hours. After that, the specimens were rinsed in water, soaked in a photodeveloping solution and exposed to a fluorescent light for 8 hours. The teeth were embedded in an autopolymerizing resin and sectioned longitudinally using a diamond saw microtome under running water. The sections were photographed. The microleakage at the occlusal cavity and at the gingival margins of each specimen was evaluated with scores (0-3) by

  6. Torque Analysis of a Triple Acid-Etched Titanium Implant Surface

    Directory of Open Access Journals (Sweden)

    Ana Emília Farias Pontes

    2015-01-01

    Full Text Available The present study aimed to evaluate the removal torque of titanium implants treated with triple acid etching. Twenty-one rats were used in this study. For all animals, the tibia was prepared with a 2 mm drill, and a titanium implant (2 × 4 mm was inserted after treatment using the subtraction method of triple acid etching. The flaps were sutured. Seven animals were killed 14, 28, and 63 days after implant installation, and the load necessary for removing the implant from the bone was evaluated by using a torque meter. The torque values were as follows: 3.3 ± 1.7 Ncm (14 days, 2.2 ± 1.3 Ncm (28 days, and 6.7 ± 1.4 Ncm (63 days. The torque value at the final healing period (63 days was statistically significantly different from that at other time points tested (ANOVA, p=0.0002. This preliminary study revealed that treatment with triple acid etching can create a promising and efficient surface for the process of osseointegration.

  7. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  8. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  9. Acid Etching as Surface Treatment Method for Luting of Glass-Ceramic Restorations, part 1: Acids, Application Protocol and Etching Effectiveness

    Directory of Open Access Journals (Sweden)

    Emilija Barjaktarova-Valjakova

    2018-03-01

    CONCLUSION: Acid etching of the bonding surface of glass - ceramic restorations is considered as the most effective treatment method that provides a reliable bond with composite cement. Selective removing of the glassy matrix of silicate ceramics results in a micromorphological three-dimensional porous surface that allows micromechanical interlocking of the luting composite.

  10. Enhancement of laser induced damage threshold of fused silica by acid etching combined with UV laser conditioning

    International Nuclear Information System (INIS)

    Chen Meng; Xiang Xia; Jiang Yong; Zu Xiaotao; Yuan Xiaodong; Zheng Wanguo; Wang Haijun; Li Xibin; Lu Haibing; Jiang Xiaodong; Wang Chengcheng

    2010-01-01

    Acid etching combined with UV laser conditioning is developed to enhance the laser induced damage threshold (LIDT) of fused silica. Firstly, the fused silica is etched for 1 ∼ 100 min with a buffered 1% HF solution. After acid etching, its transmittance, surface roughness and LIDT are measured. The results reveal that the fused silica has the highest LIDT and transmittance after etching for 10 min. Then UV laser (355 nm) conditioning is adopted to process the 10-min-etched fused silica. When the laser fluence is below 60% of fused silica's zero probability damage threshold, the LIDT increases gradually with the increase of laser conditioning fluence. However, the LIDT rapidly decreases to be lower than the threshold of the 10-min-etched fused silica when the conditioning fluence is up to 80% of the threshold. Proper acid etching and laser conditioning parameters will effectively enhance the laser damage resistance of fused silica. (authors)

  11. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  12. Bond strength and morphology of enamel using self-etching adhesive systems with different acidities

    Directory of Open Access Journals (Sweden)

    Sandra Kiss Moura

    2009-08-01

    Full Text Available OBJECTIVES: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. MATERIAL AND METHODS: Composite resin (Filtek Z250 buildups were bonded to untreated (prophylaxis and treated (bur-cut or SiC-paper enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition: Clearfil SE Bond (CSE; OptiBond Solo Plus Self-Etch (OP; AdheSe (AD; Tyrian Self Priming Etching (TY, Adper Scotchbond Multi-Purpose Plus (SBMP and Adper Single Bond (SB. After storage in water (24 h/37°C, the bonded specimens were sectioned into sticks with 0.8 mm² cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa were subjected to two-way ANOVA and Tukey's test (α=0.05. The etching patterns of the adhesive systems were also observed with a scanning electron microscope. RESULTS: The main factor adhesive system was statistically significant (p<0.05. The mean bond strength values (MPa and standard deviations were: CSE (20.5±3.5, OP (11.3±2.3, AD (11.2±2.8, TY (11.1±3.0, SBMP (21.9±4.0 and SB (24.9±3.0. Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. CONCLUSION: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed.

  13. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    Science.gov (United States)

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  14. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  15. Evolution of transmission spectra of double cladding fiber during etching

    Science.gov (United States)

    Ivanov, Oleg V.; Tian, Fei; Du, Henry

    2017-11-01

    We investigate the evolution of optical transmission through a double cladding fiber-optic structure during etching. The structure is formed by a section of SM630 fiber with inner depressed cladding between standard SMF-28 fibers. Its transmission spectrum exhibits two resonance dips at wavelengths where two cladding modes have almost equal propagation constants. We measure transmission spectra with decreasing thickness of the cladding and show that the resonance dips shift to shorter wavelengths, while new dips of lower order modes appear from long wavelength side. We calculate propagation constants of cladding modes and resonance wavelengths, which we compare with the experiment.

  16. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  17. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    Science.gov (United States)

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  18. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  19. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    Science.gov (United States)

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  20. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  1. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  2. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  3. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  4. Influence of previous acid etching on bond strength of universal adhesives to enamel and dentin.

    Science.gov (United States)

    Torres, Carlos Rocha Gomes; Zanatta, Rayssa Ferreira; Silva, Tatiane Josefa; Huhtala, Maria Filomena Rocha Lima; Borges, Alessandra Bühler

    2017-01-01

    The objective of this study was to evaluate the effect of acid pretreatment on the bond strength of composite resin bonded to enamel and dentin with 2 different universal self-etching adhesives. The null hypothesis was that the acid treatment performed prior to adhesive application would not significantly change the bond strength to enamel or dentin for either universal adhesive tested. A sample of 112 bovine incisors were selected and embedded in acrylic resin. Half were ground until a flat enamel surface was obtained, and the other half were polished until a 6 × 6-mm area of dentin was exposed, resulting into 2 groups (n = 56). The enamel and dentin groups were divided into 2 subgroups according to the adhesive system applied: Futurabond U or Scotchbond Universal. Each of these subgroups was divided into 2 additional subgroups (n = 14); 1 subgroup received phosphoric acid pretreatment, and 1 subgroup did not. The bond strength was assessed with a microtensile test. Data from enamel and dentin specimens were analyzed separately using 1-way analysis of variance. The acid pretreatment did not significantly change the bond strength of the adhesives tested, either to enamel (P = 0.4161) or to dentin (P = 0.4857). The acid etching pretreatment did not affect the bond strength to dentin and enamel when the tested universal multipurpose adhesive systems were used.

  5. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  6. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  7. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    Science.gov (United States)

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  8. Continuous wave ultraviolet radiation induced frustration of etching in lithium niobate single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Mailis, S.; Riziotis, C.; Smith, P.G.R.; Scott, J.G.; Eason, R.W

    2003-02-15

    Illumination of the -z face of congruent lithium niobate single crystals with continuous wave (c.w.) ultraviolet (UV) laser radiation modifies the response of the surface to subsequent acid etching. A frequency doubled Ar{sup +} laser ({lambda}=244 nm) was used to illuminate the -z crystal face making it resistive to HF etching and thus transforming the illuminated tracks into ridge structures. This process enables the fabrication of relief patterns in a photolithographic manner. Spatially resolved Raman spectroscopy indicates preservation of the good crystal quality after irradiation.

  9. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    Science.gov (United States)

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  10. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  11. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  12. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  13. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  14. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  15. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  16. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  17. Grafting of acrylic acid on etched latent tracks induced by swift heavy ions on polypropylene films

    International Nuclear Information System (INIS)

    Mazzei, R.; Fernandez, A.; Garcia Bermudez, G.; Torres, A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Tadey, D.

    2008-01-01

    In order to continue with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then, these were etched and grafted with acrylic acid (AA) monomers. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grating yield as a function of the fluence and etching time was obtained. In addition, the permeation of solutions, with different pH, through PP grafted foils was measured

  18. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  19. Double-Stranded Peptide Nucleic Acids

    DEFF Research Database (Denmark)

    2001-01-01

    A novel class of compounds, known as peptide nucleic acids, form double-stranded structures with one another and with ssDNA. The peptide nucleic acids generally comprise ligands such as naturally occurring DNA bases attached to a peptide backbone through a suitable linker.......A novel class of compounds, known as peptide nucleic acids, form double-stranded structures with one another and with ssDNA. The peptide nucleic acids generally comprise ligands such as naturally occurring DNA bases attached to a peptide backbone through a suitable linker....

  20. Influence of external magnetic field on the etching of a steel ball in an aqueous solution of nitric acid

    International Nuclear Information System (INIS)

    Yu, Ilchenko M.; Yu, Gorobets O.; Bondar, I.A.; Gaponov, A.M.

    2010-01-01

    The effect of change of shape of a steel ball was revealed as a result of its etching in an aqueous solution of nitric acid under influence of an external magnetic field. The elongation of a ferromagnetic ball was observed along the direction of an external magnetic field while etching took place uniformly in all the directions without magnetic field application. The steel ball etching in a magnetic field is characterized by formation of three cylindrically symmetric regions with different etching rates and surface structures, divided from each other by clear borders (namely, the pole, equator and transition regions are formed). The non-monotone dependences of etching rate, surface structure of a sample and sample shape after etching on an external magnetic field are observed.

  1. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  2. Enamel Deproteinization using Papacarie and 10% Papain Gel on Shear Bond Strength of Orthodontic Brackets Before and After Acid Etching.

    Science.gov (United States)

    Agarwal, R M; Yeluri, R; Singh, C; Munshi, A K

    2015-01-01

    To suggest Papacarie(®) as a new deproteinizing agent in comparison with indigenously prepared 10% papain gel before and after acid etching that may enhance the quality of the bond between enamel surface and composite resin complex. One hundred and twenty five extracted human premolars were utilized and divided into five groups: In the group 1, enamel surface was etched and primer was applied. In group 2, treatment with papacarie(®) for 60 seconds followed by etching and primer application. In group 3, etching followed by treatment with papacarie(®) for 60 seconds and primer application. In group 4, treatment with 10% papain gel for 60 seconds followed by etching and primer application. In group 5, etching followed by treatment with 10% papain gel for 60 seconds and primer application . After bonding the brackets, the mechanical testing was performed using a Universal testing machine. The failure mode was analyzed using an adhesive remnant index. The etching patterns before and after application of papacarie(®) and 10% papain gel was also evaluated using SEM. The values obtained for shear bond strength were submitted to analysis of variance and Tukey test (p Adhesive remnant index no statistical difference was seen between the groups (p=0.538). Papacarie(®) or 10% papain gel can be used to deproteinize the enamel surface before acid etching to enhance the bond strength of orthodontic brackets.

  3. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  4. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    Science.gov (United States)

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  5. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model

    DEFF Research Database (Denmark)

    Saksø, Henrik; Jakobsen, Thomas Vestergaard; Mortensen, Mikkel Saksø

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants.......Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants....

  6. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  7. The bonding of heavy metals on nitric acid-etched coal fly ashes functionalized with 2-mercaptoethanol or thioglycolic acid

    International Nuclear Information System (INIS)

    Muñoz, M.I.; Aller, A.J.; Littlejohn, D.

    2014-01-01

    Coal fly ash is a waste by-product of the coal fire industry, which generates many environmental problems. Alternative uses of this material would provide efficient solutions for this by-product. In this work, nitric acid-etched coal fly ash labelled with 2-mercaptoethanol or thioglycolic acid was assessed for retention of Al(III), As(III), Cu(II), Cd(II), Fe(III), Mn(II), Hg(II), Ni(II), Pb(II) and Zn(II) ions. The bonding characteristics between the organic compounds with the solid support, as well as with the metal ions, were evaluated using various surface analytical techniques. Visualization of the organically-functionalized coal fly ash particle was possible using scanning electron microscopy (SEM), while the elemental composition of the functionalized material, before and after retention of the metal ions, was obtained by energy dispersive (ED)-X ray spectrometry (XRS) and electrothermal atomic absorption spectrometry (ETAAS). Fourier transform infrared (FT-IR) spectrometry and Raman spectrometry were used to obtain information about the functional groups. It was found that some metal(oid) ions (As, Ni, Pb, Zn) were coordinated through the mercaptan group, while other metal(oid)s (Al, Cd, Cu, Fe, Hg, Mn) were apparently bonded to oxygen atoms. A low-cost and effective solid phase retention system for extraction of heavy metals from aqueous solutions was thus developed. - Graphical abstract: Nitric acid-etched coal fly ash labelled with 2-mercaptoethanol or thioglycolic acid was intended for the retention of heavy metals. The bonding characteristics between the organic compounds with the solid support, as well as with the metal ions, were evaluated using surface analytical techniques. - Highlights: • Coal fly ashes were organically-functionalized. • Organically-functionalized coal fly ashes were spectrometrically characterized. • Organically-functionalized coal fly ashes can be used as an effective solid sorbent for metal(oid)s. • This retention

  8. Characterization of Dentine to Assess Bond Strength of Dental Composites

    Directory of Open Access Journals (Sweden)

    Saad Liaqat

    2015-04-01

    Full Text Available This study was performed to develop alternating dentine adhesion models that could help in the evaluation of a self-bonding dental composite. For this purpose dentine from human and ivory was characterized chemically and microscopically before and after acid etching using Raman and SEM. Mechanical properties of dentine were determined using 3 point bend test. Composite bonding to dentine, with and without use of acid pre-treatment and/or the adhesive, were assessed using a shear bond test. Furthermore, micro gap formation after restoration of 3 mm diameter cavities in dentine was assessed by SEM. Initial hydroxyapatite level in ivory was half that in human dentine. Surface hydroxyapatites decreased by approximately half with every 23 s of acid etch. The human dentine strength (56 MPa was approximately double that of ivory, while the modulus was almost comparable to that of ivory. With adhesive use, average shear bond strengths were 30 and 26 MPa with and without acid etching. With no adhesive, average bond strength was 6 MPa for conventional composites. This, however, increased to 14 MPa with a commercial flowable “self–bonding” composite or upon addition of low levels of an acidic monomer to the experimental composite. The acidic monomer additionally reduced micro-gap formation with the experimental composite. Improved bonding and mechanical properties should reduce composite failures due to recurrent caries or fracture respectively.

  9. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    Science.gov (United States)

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  10. Hydrogen content in titanium and a titanium–zirconium alloy after acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Frank, Matthias J.; Walter, Martin S. [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Lyngstadaas, S. Petter [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Wintermantel, Erich [Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Haugen, Håvard J., E-mail: h.j.haugen@odont.uio.no [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway)

    2013-04-01

    Dental implant alloys made from titanium and zirconium are known for their high mechanical strength, fracture toughness and corrosion resistance in comparison with commercially pure titanium. The aim of the study was to investigate possible differences in the surface chemistry and/or surface topography of titanium and titanium–zirconium surfaces after sand blasting and acid etching. The two surfaces were compared by X-ray photoelectron spectroscopy, secondary ion mass spectroscopy, scanning electron microscopy and profilometry. The 1.9 times greater surface hydrogen concentration of titanium zirconium compared to titanium was found to be the major difference between the two materials. Zirconium appeared to enhance hydride formation on titanium alloys when etched in acid. Surface topography revealed significant differences on the micro and nanoscale. Surface roughness was increased significantly (p < 0.01) on the titanium–zirconium alloy. High-resolution images showed nanostructures only present on titanium zirconium. - Highlights: ► TiZr alloy showed increased hydrogen levels over Ti. ► The alloying element Zr appeared to catalyze hydrogen absorption in Ti. ► Surface roughness was significantly increased for the TiZr alloy over Ti. ► TiZr alloy revealed nanostructures not observed for Ti.

  11. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  12. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Color Stability of Enamel following Different Acid Etching and Color Exposure Times

    Directory of Open Access Journals (Sweden)

    Arezoo Jahanbin

    2014-06-01

    Full Text Available Background and aims. The aim of this study was to evaluate the effect of different etching times on enamel color stability after immediate versus delayed exposure to colored artificial saliva (CAS. Materials and methods. Human first premolars were divided into five groups of twenty. A colorimeter was used according to the CIE system on the mid-buccal and mid-lingual surfaces to evaluate initial tooth color. Samples in group A remained unetched. In groups B to E, buccal and lingual surfaces were initially etched with phosphoric acid for 15 and 60 seconds, respectively. Then, the samples in groups A and C were immersed in colored artificial saliva (cola+saliva. In group B, the teeth were immersed in simple artificial saliva (AS. Samples in groups D and E were immersed in AS for 24 and 72 hours, respectively before being immersed in colored AS. The teeth were immersed for one month in each solution before color measurement. During the test period, the teeth were retrieved from the staining solution and stored in AS for five minutes. This was repeated 60 times. Color changes of buccal and lingual surfaces were calculated. Kruskal-Wallis and Wilcoxon tests were used for statistical analysis (α ≤ 0.05. Results. There were no significant differences between the groups in term of ΔE of buccal (P = 0.148 and lingual surfaces (P = 0.73. Conclusion. Extended time of etching did not result in significant enamel color change. Immediate and delayed exposure of etched enamel to staining solutions did not result in clinically detectable tooth color changes.

  14. A comparative study of shear bond strength of orthodontic bracket after acid-etched and Er:YAG treatment on enamel surface

    Science.gov (United States)

    Leão, Juliana C.; Mota, Cláudia C. B. O.; Cassimiro-silva, Patricia F.; Gomes, Anderson S. L.

    2016-02-01

    This study aimed to evaluate the shear bond strength (SBS) of teeth prepared for orthodontic bracket bonding with 37% phosphoric acid and Er:YAG laser. Forty bovine incisors were divided into two groups. In Group I, the teeth were conditioned with 37% phosphoric acid and brackets were bonded with Transbond XT; in Group II, the teeth were irradiated with Er:YAG and bonding with Transbond XT. After SBS test, the adhesive remnant index was determined. Adhesion to dental hard tissues after Er:YAG laser etching was inferior to that obtained after acid etching but exceeded what is believed to be clinically sufficient strength, and therefore can be used in patients.

  15. Tensile bond strength and SEM analysis of enamel etched with Er:YAG laser and phosphoric acid: a comparative study in vitro

    International Nuclear Information System (INIS)

    Sasaki, Luis H.; Tanaka, Celso Shin-Ite; Lobo, Paulo D.C.; Villaverde, Antonio B.; Moriyama, Eduardo H.; Brugnera Junior, Aldo; Moriyama, Yumi; Watanabe, Ii-Sei

    2008-01-01

    Er:YAG laser has been studied as a potential tool for restorative dentistry due to its ability to selectively remove oral hard tissue with minimal or no thermal damage to the surrounding tissues. The purpose of this study was to evaluate in vitro the tensile bond strength (TBS) of an adhesive/composite resin system to human enamel surfaces treated with 37% phosphoric acid, Er:YAG laser (λ=2.94 μm) with a total energy of 16 J (80 mJ/pulse, 2Hz, 200 pulses, 250 ms pulse width), and Er:YAG laser followed by phosphoric acid etching. Analysis of the treated surfaces was performed by scanning electron microscopy (SEM) to assess morphological differences among the groups. TBS means (in MPa) were as follows: Er:YAG laser + acid (11.7 MPa) > acid (8.2 MPa) > Er:YAG laser (6.1 MPa), with the group treated with laser+acid being significantly from the other groups (p=0.0006 and p= 0.00019, respectively). The groups treated with acid alone and laser alone were significantly different from each other (p=0.0003). The SEM analysis revealed morphological changes that corroborate the TBS results, suggesting that the differences in TBS means among the groups are related to the different etching patterns produced by each type of surface treatment. The findings of this study indicate that the association between Er:YAG laser and phosphoric acid can be used as a valuable resource to increase bond strength to laser-prepared enamel. (author)

  16. Di- and tri-carboxylic-acid-based etches for processing high temperature superconducting thin films and related materials

    International Nuclear Information System (INIS)

    Ginley, D.S.; Barr, L.; Ashby, C.I.H.; Plut, T.A.; Urea, D.; Siegal, M.P.; Martens, J.S.; Johansson, M.E.

    1994-01-01

    The development of passive and active electronics from high-temperature superconducting thin films depends on the development of process technology capable of producing appropriate feature sizes without degrading the key superconducting properties. We present a new class of chelating etches based on di- and tri-carboxylic acids that are compatible with positive photoresists and can produce sub-micron feature sizes while typically producing increases the microwave surface resistance at 94 GHz by less than 10%. This simple etching process works well for both the Y--Ba--Cu--O and Tl--Ba--Ca--Cu--O systems. In addition, we demonstrate that the use of chelating etches with an activator such as HF allows the etching of related oxides such as LaAlO 3 , which is a key substrate material, and Pb(Zr 0.53 Ti 0.47 )O 3 (PZT) which is a key ferroelectric material for HTS and other applications such as nonvolatile memories

  17. Characterization of selectively etched halloysite nanotubes by acid treatment

    Science.gov (United States)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  18. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  19. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    Science.gov (United States)

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  20. The impact of hydrofluoric acid etching followed by unfilled resin on the biaxial strength of a glass-ceramic.

    Science.gov (United States)

    Posritong, Sumana; Borges, Alexandre Luiz Souto; Chu, Tien-Min Gabriel; Eckert, George J; Bottino, Marco A; Bottino, Marco C

    2013-11-01

    To evaluate the null hypotheses that hydrofluoric (HF) acid etching time would neither decrease the biaxial flexural strength of a glass-based veneering ceramic nor enhance it after silane and unfilled resin (UR) applications. Disc-shaped IPS e.max ZirPress specimens were allocated into 12 groups: G1-control (no-etching), G2-30 s, G3-60 s, G4-90 s, G5-120 s, G6-60 s+60 s. Groups (G7-G12) were treated in the same fashion as G1-G6, but followed by silane and UR applications. Surface morphology and roughness (Ra and Rq) of the ceramics were assessed by means of scanning electron microscopy (SEM) and profilometry, respectively. Flexural strength was determined by biaxial testing. Data were analyzed by two-way ANOVA and the Sidak test (α=0.05). Weibull statistics were estimated and finite element analysis (FEA) was carried out to verify the stress concentration end areas of fracture. The interaction (etching time vs. surface treatment) was significant for Ra (p=0.008) and Rq (0.0075). Resin-treated groups presented significantly lower Ra and Rq than non-treated groups, except for the 60s group (pceramic microstructure and that the UR was able to penetrate into the irregularities. A significant effect of etching time (p=0.029) on flexural strength was seen. G7-G12 presented higher strength than G1-G6 (pceramic flexural strength. Moreover, the flexural strength could be enhanced after UR treatment. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  1. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  2. Histological structures and acidic etching sensitivities of the enamels at the occlusal pit parts in the deciduous and permanent teeth

    International Nuclear Information System (INIS)

    Takahashi, Masashi; Zheng, Jinhua; Mori, Kazuhisa; Mataga, Izumi; Kobayashi, Kan

    2006-01-01

    The purpose of this study is to compare the histological structures and acidic etching sensitivities of the enamels at the occlusal pit parts between the deciduous molars and permanent molars. They were observed by the polarizing and scanning electron microscopies. The enamel rods were less made slender by EDTA etching and the outlines of the apatite crystals, constituting the enamel rods, were clearer at the occlusal pit part of the deciduous molar than that of the permanent molar in reverse of that at the cusp part. It is thought that the enamel at the occlusal pit part of the permanent molar is more easily decayed by the dental caries than that of the deciduous molar because the former is more easily decayed by the acidic etching than the latter in reverse at the cusp part. It is considered that the thin superficialmost layer of the enamel at the occlusal pit part of the permanent molar has originally higher degree of resistance to the dental caries

  3. Histological structures and acidic etching sensitivities of the enamels at the occlusal pit parts in the deciduous and permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masashi [Department of Dental Hygiene, Nippon Dental University College at Niigata, Niigata 951-8580 (Japan)]. E-mail: masashi@ngt.ndu.ac.jp; Zheng, Jinhua [Department of Oral Anatomy, School of Dentistry at Niigata, Nippon Dental University, Niigata 951-8580 (Japan); Mori, Kazuhisa [Department of Oral Surgery, School of Dentistry at Niigata, Nippon Dental University, Niigata 951-8580 (Japan); Mataga, Izumi [Department of Oral Surgery, School of Dentistry at Niigata, Nippon Dental University, Niigata 951-8580 (Japan); Kobayashi, Kan [Department of Oral Anatomy, School of Dentistry at Niigata, Nippon Dental University, Niigata 951-8580 (Japan)

    2006-05-15

    The purpose of this study is to compare the histological structures and acidic etching sensitivities of the enamels at the occlusal pit parts between the deciduous molars and permanent molars. They were observed by the polarizing and scanning electron microscopies. The enamel rods were less made slender by EDTA etching and the outlines of the apatite crystals, constituting the enamel rods, were clearer at the occlusal pit part of the deciduous molar than that of the permanent molar in reverse of that at the cusp part. It is thought that the enamel at the occlusal pit part of the permanent molar is more easily decayed by the dental caries than that of the deciduous molar because the former is more easily decayed by the acidic etching than the latter in reverse at the cusp part. It is considered that the thin superficialmost layer of the enamel at the occlusal pit part of the permanent molar has originally higher degree of resistance to the dental caries.

  4. Comparison of Shear Bond Strength of Orthodontic Brackets Bonded to Enamel Prepared By Er:YAG Laser and Conventional Acid-Etching

    Science.gov (United States)

    Hosseini, M.H.; Namvar, F.; Chalipa, J.; Saber, K.; Chiniforush, N.; Sarmadi, S.; Mirhashemi, A.H.

    2012-01-01

    Introduction: The purpose of this study was to compare shear bond strength (SBS) of orthodontic brackets bonded to enamel prepared by Er:YAG laser with two different powers and conventional acid-etching. Materials and Methods: Forty-five human premolars extracted for orthodontic purposes were randomly assigned to three groups based on conditioning method: Group 1- conventional etching with 37% phosphoric acid; Group 2- irradiation with Er:YAG laser at 1 W; and Group 3- irradiation with Er:YAG laser at 1.5 W. Metal brackets were bonded on prepared enamel using a light-cured composite. All groups were subjected to thermocycling process. Then, the specimens mounted in auto-cure acryle and shear bond strength were measured using a universal testing machine with a crosshead speed of 0.5 mm per second. After debonding, the amount of resin remaining on the teeth was determined using the adhesive remnant index (ARI) scored 1 to 5. One-way analysis of variance was used to compare shear bond strengths and the Kruskal-Wallis test was performed to evaluate differences in the ARI for different etching types. Results: The mean and standard deviation of conventional acid-etch group, 1W laser group and 1.5W laser group was 3.82 ± 1.16, 6.97 ± 3.64 and 6.93 ± 4.87, respectively. Conclusion: The mean SBS obtained with an Er:YAG laser operated at 1W or 1.5W is approximately similar to that of conventional etching. However, the high variability of values in bond strength of irradiated enamel should be considered to find the appropriate parameters for applying Er:YAG laser as a favorable alternative for surface conditioning. PMID:22924098

  5. Morphology of resin-dentin interfaces after Er,Cr:YSGG laser and acid etching preparation and application of different bonding systems.

    Science.gov (United States)

    Beer, Franziska; Buchmair, Alfred; Körpert, Wolfram; Marvastian, Leila; Wernisch, Johann; Moritz, Andreas

    2012-07-01

    The goal of this study was to show the modifications in the ultrastructure of the dentin surface morphology following different surface treatments. The stability of the adhesive compound with dentin after laser preparation compared with conventional preparation using different bonding agents was evaluated. An Er,Cr:YSGG laser and 36% phosphoric acid in combination with various bonding systems were used. A total of 100 caries-free human third molars were used in this study. Immediately after surgical removal teeth were cut using a band saw and 1-mm thick dentin slices were created starting at a distance of 4 mm from the cusp plane to ensure complete removal of the enamel. The discs were polished with silicon carbide paper into rectangular shapes to a size of 6 × 4 mm (±0,2 mm).The discs as well as the remaining teeth stumps were stored in 0.9% NaCl at room temperature. The specimens were divided into three main groups (group I laser group, group II etch group, group III laser and etch group) and each group was subdivided into three subgroups which were allocated to the different bonding systems (subgroup A Excite, subgroup B Scotchbond, subgroup C Syntac). Each disc and the corresponding tooth stump were treated in the same way. After preparation the bonding composite material was applied according to the manufacturers' guidelines in a hollow tube of 2 mm diameter to the disc as well as to the corresponding tooth stump. Shear bond strength testing and environmental scanning electron microscopy were used to assess the morphology and stability of the resin-dentin interface. The self-etching bonding system showed the highest and the most constant shear values in all three main groups, thus enabling etching with phosphoric acid after laser preparation to be avoided. Thus we conclude that laser preparation creates a surface texture that allows prediction of the quality of the restoration without the risk of negative influences during the following treatment steps. This

  6. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  7. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  8. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    Science.gov (United States)

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  9. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  10. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  11. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    Science.gov (United States)

    Teo, Adrian J. T.; Li, Holden; Tan, Say Hwa; Yoon, Yong-Jin

    2017-06-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G-1, and a highest recorded sensitivity of 44.1 mV G-1. A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices.

  12. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    International Nuclear Information System (INIS)

    Teo, Adrian J T; Li, Holden; Yoon, Yong-Jin; Tan, Say Hwa

    2017-01-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G −1 , and a highest recorded sensitivity of 44.1 mV G −1 . A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices. (technical note)

  13. Comparison of Shear Bond Strength of Orthodontic Brackets Bonded to Enamel Prepared By Er:YAG Laser and Conven-tional Acid-Etching

    Directory of Open Access Journals (Sweden)

    M.H. Hosseini

    2012-01-01

    Full Text Available Introduction: The purpose of this study was to compare shear bond strength (SBS of orthodontic brackets bonded to enamel prepared by Er:YAG laser with two different powers and conventional acid-etching.Materials and Methods: Forty-five human premolars extracted for orthodontic purposes were randomly assigned to three groups based on conditioning method: Group 1- conventional etching with 37% phosphoric acid; Group 2- irradiation with Er:YAG laser at 1 W; and Group 3- irradiation with Er:YAG laser at 1.5 W. Metal brackets were bonded on prepared enamel using a light-cured composite. All groups were subjected to thermocycling process. Then, the specimens mounted in auto-cure acryle and shear bond strength were measured using a universal testing machine with a crosshead speed of 0.5 mm per second. After debonding, the amount of resin remaining on the teeth was determined using the adhesive remnant index (ARI scored 1 to 5. One-way analysis of variance was used to compare shear bond strengths and the Kruskal-Wallis test was performed to evaluate differences in the ARI for different etching types.Results: The mean and standard deviation of conventional acid-etch group, 1W laser group and 1.5W laser group was 3.82 ± 1.16, 6.97 ± 3.64 and 6.93 ± 4.87, respectively.Conclusion: The mean SBS obtained with an Er:YAG laser operated at 1W or 1.5W is approximately similar to that of conventional etching. However, the high variability of values in bond strength of irradiated enamel should be considered to find the appropriate parameters for applying Er:YAG laser as a favorable alternative for surface conditioning.

  14. Esthetic double-structure fixed partial dentures.

    Science.gov (United States)

    Ravasini, G; Ugolini, G; Ravasini, F

    1996-04-01

    A new technical procedure for fixed partial dentures and single inlays allows the use of a metal supporting structure with independent ceramic coverage. The advantages of the technique are the bonding of metal to beveled dentinal margins with conventional cement and the acid-etched resin composite cementation of the ceramic, which permits more conservative preparation of the teeth. The complexity of the structure, the laboratory costs, and the doubling of the cementation procedures are the main disadvantages of the technique.

  15. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    Science.gov (United States)

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  16. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  17. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  18. Marginal microleakage in vitro study on class V cavities prepared with Er:YAG laser and etched with acid or etched with Er:YAG laser and acid; Estudo in vitro da microinfiltracao marginal em cavidades classe V preparadas com laser de Er:YAG e condicionadas com acido ou com laser de Er:YAG e acido

    Energy Technology Data Exchange (ETDEWEB)

    Tavares, Henrique Dutra Simoes

    2001-07-01

    Microleakage at the interface between the teeth and the restorative materials remains a problem with composite resin restorations. Microleakage at the gingival margins of class V cavities restorations still challenge as they are usually placed in dentin and/or cementum. Previous studies have shown that the cavity preparation with Er:YAG laser is possible. It has been reported that Er:YAG laser has ability to create irregular surface providing micromechanical retention for adhesive dental restorative materials and to improve marginal sealing. The purpose of this in vitro study was to evaluate the marginal microleakage on class V cavities prepared with Er:YAG laser and etched with acid or with Er:YAG laser and acid, in compared to those prepared and etched conventionally. Thirty human molars were divided into three groups, namely: group I - prepared with Er:YAG laser (KaVo KEY Laser II - Germany) and etched with 37% phosphoric acid; group II - prepared with Er:YAG laser and etched with Er:YAG laser and 37% phosphoric acid; group III (control group) - prepared with high speed drill and etched with 37% phosphoric acid. All cavities were treated with same adhesive system (Single Bond - 3M) and restored with the composite resin (Z100 - 3M), according to the manufacturer's instructions. The specimens were stored at 37 deg C in water for 24 hours, polished with Sof-Lex discs (3M), thermally stressed, sealed with a nail polish coating except for the area of the restoration and 1 mm around it, and immersed in a 50% aqueous solution of silver nitrate for 24 hours. After that, the specimens were rinsed in water, soaked in a photodeveloping solution and exposed to a fluorescent light for 8 hours. The teeth were embedded in an autopolymerizing resin and sectioned longitudinally using a diamond saw microtome under running water. The sections were photographed. The microleakage at the occlusal cavity and at the gingival margins of each specimen was evaluated with scores (0-3) by

  19. Marginal microleakage in vitro study on class V cavities prepared with Er:YAG laser and etched with acid or etched with Er:YAG laser and acid; Estudo in vitro da microinfiltracao marginal em cavidades classe V preparadas com laser de Er:YAG e condicionadas com acido ou com laser de Er:YAG e acido

    Energy Technology Data Exchange (ETDEWEB)

    Tavares, Henrique Dutra Simoes

    2001-07-01

    Microleakage at the interface between the teeth and the restorative materials remains a problem with composite resin restorations. Microleakage at the gingival margins of class V cavities restorations still challenge as they are usually placed in dentin and/or cementum. Previous studies have shown that the cavity preparation with Er:YAG laser is possible. It has been reported that Er:YAG laser has ability to create irregular surface providing micromechanical retention for adhesive dental restorative materials and to improve marginal sealing. The purpose of this in vitro study was to evaluate the marginal microleakage on class V cavities prepared with Er:YAG laser and etched with acid or with Er:YAG laser and acid, in compared to those prepared and etched conventionally. Thirty human molars were divided into three groups, namely: group I - prepared with Er:YAG laser (KaVo KEY Laser II - Germany) and etched with 37% phosphoric acid; group II - prepared with Er:YAG laser and etched with Er:YAG laser and 37% phosphoric acid; group III (control group) - prepared with high speed drill and etched with 37% phosphoric acid. All cavities were treated with same adhesive system (Single Bond - 3M) and restored with the composite resin (Z100 - 3M), according to the manufacturer's instructions. The specimens were stored at 37 deg C in water for 24 hours, polished with Sof-Lex discs (3M), thermally stressed, sealed with a nail polish coating except for the area of the restoration and 1 mm around it, and immersed in a 50% aqueous solution of silver nitrate for 24 hours. After that, the specimens were rinsed in water, soaked in a photodeveloping solution and exposed to a fluorescent light for 8 hours. The teeth were embedded in an autopolymerizing resin and sectioned longitudinally using a diamond saw microtome under running water. The sections were photographed. The microleakage at the occlusal cavity and at the gingival margins of each specimen was evaluated with scores (0

  20. Effect of etching with distinct hydrofluoric acid concentrations on the flexural strength of a lithium disilicate-based glass ceramic.

    Science.gov (United States)

    Prochnow, Catina; Venturini, Andressa B; Grasel, Rafaella; Bottino, Marco C; Valandro, Luiz Felipe

    2017-05-01

    This study examined the effects of distinct hydrofluoric acid concentrations on the mechanical behavior of a lithium disilicate-based glass ceramic. Bar-shaped specimens were produced from ceramic blocks (e.max CAD, Ivoclar Vivadent). The specimens were polished, chamfered, and sonically cleaned in distilled water. The specimens were randomly divided into five groups (n = 23). The HF1, HF3, HF5, and HF10 specimens were etched for 20 s with acid concentrations of 1%, 3%, 5%, and 10%, respectively, while the SC (control) sample was untreated. The etched surfaces were evaluated using a scanning electron microscope and an atomic force microscope. Finally, the roughness was measured, and 3-point bending flexural tests were performed. The data were analyzed using one-way analysis of variance (ANOVA) and Tukey's test (α = 0.05). The Weibull modulus and characteristic strength were also determined. No statistical difference in the roughness and flexural strength was determined among the groups. The structural reliabilities (Weilbull moduli) were similar for the tested groups; however, the characteristic strength of the HF1 specimen was greater than that of the HF10 specimen. Compared with the untreated ceramic, the surface roughness and flexural strength of the ceramic were unaffected upon etching, regardless of the acid concentration. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 885-891, 2017. © 2016 Wiley Periodicals, Inc.

  1. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  2. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    Science.gov (United States)

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  3. The effects of pH on N-methacryloyl glycine primer on bond strength to acid-etched dentin.

    Science.gov (United States)

    Nishiyama, N; Suzuki, K; Asakura, T; Nakai, H; Yasuda, S; Nemoto, K

    1996-07-01

    To develop a more effective adhesive primer, it is imperative to understand the adhesion mechanisms of the resin to the demineralized dentin through a dentin primer. When the bonding agent was directly applied to the dentin etched by 40 wt % phosphoric acid without a primer pretreatment, the bond strength of the resin to the dentin was 5 MPa. Conversely, when the demineralized dentin was pretreated with the N-methacryloyl glycine (NM alpha A) primer solution with a pH value of 1.5, the bond strength increased considerably to 15 MPa. However, the bond strength dropped dramatically from 15 to 3 MPa when the sodium salt of NM alpha A was added, thereby increasing the pH value of the NM alpha A primer solution from 3.2 to 5.0. When the pH value was increased above 3.5 (pKa value), the number of ionized NM alpha A species increased in the solution. As a result, the bond strength of the resin fell to approximately 3 MPa. This result was obtained despite the 5-micron-thick hybrid layer that was created in the subsurface of the intertubular dentin. The number of unionized NM alpha A species increased by lowering the pH value below 3.5. As a result, the NM alpha A primer provided a higher bond strength of the resin to the demineralized dentin. In contrast, when 10 wt % citric acid containing 3 wt % ferric chloride was applied to the dentin, maximum bond strength was obtained when the pH value of the NM alpha A primer solution was 3.5. The pH dependency of the bond strength obtained following 10 wt % citric acid containing 3 wt % ferric chloride etching is different from the results obtained from 40 wt % phosphoric acid etching. This can be attributed to the difference in the characteristics of the demineralized collageous layer.

  4. Hierarchical Co-based Porous Layered Double Hydroxide Arrays Derived via Alkali Etching for High-performance Supercapacitors

    Science.gov (United States)

    Abushrenta, Nasser; Wu, Xiaochao; Wang, Junnan; Liu, Junfeng; Sun, Xiaoming

    2015-08-01

    Hierarchical nanoarchitecture and porous structure can both provide advantages for improving the electrochemical performance in energy storage electrodes. Here we report a novel strategy to synthesize new electrode materials, hierarchical Co-based porous layered double hydroxide (PLDH) arrays derived via alkali etching from Co(OH)2@CoAl LDH nanoarrays. This structure not only has the benefits of hierarchical nanoarrays including short ion diffusion path and good charge transport, but also possesses a large contact surface area owing to its porous structure which lead to a high specific capacitance (23.75 F cm-2 or 1734 F g-1 at 5 mA cm-2) and excellent cycling performance (over 85% after 5000 cycles). The enhanced electrode material is a promising candidate for supercapacitors in future application.

  5. Dual patterning of a poly(acrylic acid) layer by electron-beam and block copolymer lithographies.

    Science.gov (United States)

    Pearson, Anthony C; Linford, Matthew R; Harb, John N; Davis, Robert C

    2013-06-18

    We show the controllable patterning of palladium nanoparticles in both one and two dimensions using electron-beam lithography and reactive ion etching of a thin film of poly(acrylic acid) (PAA). After the initial patterning of the PAA, a monolayer of polystyrene-b-poly-2-vinylpyridine micelles is spun cast onto the surface. A short reactive ion etch is then used to transfer the micelle pattern into the patterned poly(acrylic acid). Finally, PdCl2 is loaded from solution into the patterned poly(acrylic acid) features, and a reactive-ion etching process is used to remove the remaining polymer and form Pd nanoparticles. This method yields location-controlled patches of nanoparticles, including single- and double-file lines and nanoparticle pairs. A locational accuracy of 9 nm or less in one direction was achieved by optimizing the size of the PAA features.

  6. pKa value and buffering capacity of acidic monomers commonly used in self-etching primers.

    Science.gov (United States)

    Salz, Ulrich; Mücke, Angela; Zimmermann, Jörg; Tay, Franklin R; Pashley, David H

    2006-06-01

    The aim of this investigation was to characterize acidic monomers used in self-etching primers/adhesives by determination of their pKa values and by calculation of their calcium dissolving capacity in comparison with phosphoric and hydrochloric acid. The following acidic monomers were included in this study: 4-methacryloyloxyethyl trimellitate anhydride (4-META), 10-methacryloyloxydecyl dihydrogen phosphate (MDP), dimethacryloyloxyethyl hydrogen phosphate (di-HEMA-phosphate), ethyl 2-[4-(dihydroxyphosphoryl)-2-oxabutyl]acrylate (EAEPA), 2-[4-(dihydroxyphosphoryl)-2-ox-abutyl]acrylic acid (HAEPA), and 2,4,6 trimethylphenyl 2-[4-(dihydroxyphosphoryl)-2-oxabutyl]acrylate (MAEPA). The pKa values were obtained by titration with 0.1 mol/l NaOH in aqueous solution. The inflection points of the resulting potentiometric titration curve were determined as pKa values. In the case of the sparingly water-soluble acidic monomers MAEPA and 4-META, the co-solvent method using different water/ethanol ratios for MAEPA or water/acetone ratios for 4-META was used. The dissolving capacity of each acidic monomer is defined as the amount of hydroxyapatite (HA) dissolved by 1 g of acid. For each monomer, the HA dissolving capacity was calculated bythe corresponding pKa value and the molecular weight. To confirm the calculated dissolving capacities, increasing amounts of HA powder (100 mg portions) were slowly added to 15 mmol/l aqueous solutions of the monomers to determine how much HA could be dissolved in the acidic solutions. For all the investigated acidic monomers, pKal values between 1.7 to 2.5 were observed. The pKa2 values for the phosphate/phosphonate derivatives are between 7.0 and 7.3, and are comparable to phosphoric acid. For dicarboxylic acid derivatives, the pKa2 values are in the range of 4.2 to 4.5. Due to their comparable molecular weights and pKal values, the three tested acids di-HEMA phosphate, MDP and 4-META all possess comparable dissolving capacities for HA (ie, 0

  7. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  8. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  9. Acid Solutions for Etching Corrosion-Resistant Metals

    Science.gov (United States)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  10. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been observed from PSiNW samples etched using the optimum hydrofluoric acid (HF) concentration. The strongest photoluminescence (PL) signal has been measured from samples etched with 4.8 M of HF, beyond which a significant decreasing in PL emission intensity has been observed. A qualitative model is proposed for the formation of PSiNWs in the presence of Ag catalyst. This model affirms our observations in PL enhancement for samples etched using HF <4.8 M and the eventual PL reduction for samples etched beyond 4.8 M of HF concentration. The enhancement in PL signals has been associated to the formation of PSiNWs and the quantum confinement effect in the Si nanocrystallites. Compared to PSiNWs without Si-O x, the HF treated samples exhibited significant blue PL peak shift of 100 nm. This effect has been correlated to the formation of defect states in the surface oxide. PSiNWs fabricated using the electroless etching method can find useful applications in optical sensors and as anti-reflection layer in silicon-based solar cells. © 2012 American Institute of Physics.

  11. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    Science.gov (United States)

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  12. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  13. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  14. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    Science.gov (United States)

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; padhesive, the treatment with potassium oxalate reduced the adhesives' KHN (psystem exhibiting the lowest KHN compared with the MP and SB systems.

  15. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  16. Microdroplet-etched highly birefringent low-loss fiber tapers.

    Science.gov (United States)

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  17. Effect of double-layer application on bond quality of adhesive systems.

    Science.gov (United States)

    Fujiwara, Satoshi; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Imai, Arisa; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Nakatsuka, Toshiyuki; Miyazaki, Masashi

    2018-01-01

    The aim of this study was to determine the effect of double-layer application of universal adhesives on the bond quality and compare to other adhesive systems. Two universal adhesives used were in this study: Scotchbond Universal (SU), [3M ESPE] and Prime & Bond elect (PE), [Dentsply Caulk]. The conventional single-step self-etch adhesives G-ӕnial Bond (GB), [GC Corporation.] and BeautiBond (BB), [Shofu Inc.], and a two-step self-etch adhesive, Optibond XTR (OX), [Kerr Corporation], were used as comparison adhesives. Shear bond strengths (SBS) and shear fatigue strengths (SFS) to human enamel and dentin were measured in single application mode and double application mode. For each test condition, 15 specimens were prepared for SBS testing and 30 specimens for SFS testing. Enamel and dentin SBS of the universal adhesives in the double application mode were significantly higher than those of the single application mode. In addition, the universal adhesives in the double application mode had significantly higher dentin SFS values than those of the single application mode. The two-step self-etch adhesive OX tended to have lower bond strengths in the double application mode, regardless of the test method or adherent substrate. The double application mode is effective in enhancing SBS and SFS of universal adhesives, but not conventional two-step self-etch adhesives. These results suggest that, although the double application mode may enhance the bonding quality of a universal adhesive, it may be counter-productive for two-step self-etch adhesives in clinical use. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  19. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  20. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  1. Femtosecond laser etching of dental enamel for bracket bonding.

    Science.gov (United States)

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  2. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  3. Optimization of HNA etching parameters to produce high aspect ratio solid silicon microneedles

    International Nuclear Information System (INIS)

    Hamzah, A A; Yeop Majlis, B; Yunas, J; Dee, C F; Abd Aziz, N; Bais, B

    2012-01-01

    High aspect ratio solid silicon microneedles with a concave conic shape were fabricated. Hydrofluoric acid–nitric acid–acetic acid (HNA) etching parameters were characterized and optimized to produce microneedles that have long and narrow bodies with smooth surfaces, suitable for transdermal drug delivery applications. The etching parameters were characterized by varying the HNA composition, the optical mask's window size, the etching temperature and bath agitation. An L9 orthogonal Taguchi experiment with three factors, each having three levels, was utilized to determine the optimal fabrication parameters. Isoetch contours for HNA composition with 0% and 10% acetic acid concentrations were presented and a high nitric acid region was identified to produce microneedles with smooth surfaces. It is observed that an increase in window size indiscriminately increases the etch rate in both the vertical and lateral directions, while an increase in etching temperature beyond 35 °C causes the etching to become rapid and uncontrollable. Bath agitation and sample placement could be manipulated to achieve a higher vertical etch rate compared to its lateral counterpart in order to construct high aspect ratio microneedles. The Taguchi experiment performed suggests that a HNA composition of 2:7:1 (HF:HNO 3 :CH 3 COOH), window size of 500 µm and agitation rate of 450 RPM are optimal. Solid silicon microneedles with an average height of 159.4 µm, an average base width of 110.9 µm, an aspect ratio of 1.44, and a tip angle and diameter of 19.2° and 0.38 µm respectively were successfully fabricated. (paper)

  4. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    Science.gov (United States)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  5. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  6. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  7. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  8. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  9. UV-induced graft polymerization of acrylic acid in the sub-micronchannels of oxidized PET track-etched membrane

    Science.gov (United States)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Taltenov, Abzal A.

    2015-12-01

    In this article, we report on functionalization of track-etched membrane based on poly(ethylene terephthalate) (PET TeMs) oxidized by advanced oxidation systems and by grafting of acrylic acid using photochemical initiation technique for the purpose of increasing functionality thus expanding its practical application. Among advanced oxidation processes (H2O2/UV) system had been chosen to introduce maximum concentration of carboxylic acid groups. Benzophenone (BP) photo-initiator was first immobilized on the surfaces of cylindrical pores which were later filled with aq. acrylic acid solution. UV-irradiation from both sides of PET TeMs has led to the formation of grafted poly(acrylic acid) (PAA) chains inside the membrane sub-micronchannels. Effect of oxygen-rich surface of PET TeMs on BP adsorption and subsequent process of photo-induced graft polymerization of acrylic acid (AA) were studied by ESR. The surface of oxidized and AA grafted PET TeMs was characterized by UV-vis, ATR-FTIR, XPS spectroscopies and by SEM.

  10. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  11. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  12. Resonance frequency analysis of thermal acid-etched, hydrophilic implants during first 3 months of healing and osseointegration in an early-loading protocol

    NARCIS (Netherlands)

    van Eekeren, P.; Said, C.; Tahmaseb, A.; Wismeijer, D.

    2015-01-01

    Purpose: Safe loading of dental implants requires an optimal osseointegration. This osseointegration process during healing could be analyzed by resonance frequency analysis (RFA). The purpose of the study was to evaluate RFA changes during healing in splinted, early-loaded, thermal acid-etched,

  13. Investigations of AlGaN/GaN HFETs utilizing post-metallization etching by nitric acid treatment

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Tsai, Chih-Ming; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This work investigates AlGaN/GaN heterostructure field-effect transistors (HFETs) processed by using a simple post-metallization etching (PME) treatment. Decreased gate length (L G ) can be achieved by using nitric acid (HNO 3 ) PME treatment owing to the high etching selectivity of HNO 3 of Ni against the Au and GaN layer. Influences on L G , etched gate profiles and device characteristics with respect to different PME processing parameters by HNO 3 treatment are systematically investigated. Optimum device performance is obtained as L G was reduced to 0.5 µm by using a 1 µm long gate mask by immersing the device into a 45% diluted HNO 3 solution for 35 s. Improved device performances, including maximum drain–source current density (I DS,max : 657.6 mA mm −1 → 898.5 mA mm −1 ), drain–source saturation current density at zero gate bias (I DSS0 : 448.3 mA mm −1 → 653.4 mA mm −1 ), maximum extrinsic transconductance (g m,max : 158.3 mS mm −1 → 219.2 mS mm −1 ), unity-gain cut-off frequency (f T : 12.35 GHz → 22.05 GHz), maximum oscillation frequency (f max : 17.55 GHz → 29.4 GHz) and power-added efficiency (P.A.E.: 26.3% → 34.5%) compared to the untreated reference device, have been successfully achieved. (invited paper)

  14. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  15. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  16. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  17. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  18. Mixing Acid Salts and Layered Double Hydroxides in Nanoscale under Solid Condition.

    Science.gov (United States)

    Nakayama, Hirokazu; Hayashi, Aki

    2014-07-30

    The immobilization of potassium sorbate, potassium aspartate and sorbic acid in layered double hydroxide under solid condition was examined. By simply mixing two solids, immobilization of sorbate and aspartate in the interlayer space of nitrate-type layered double hydroxide, so called intercalation reaction, was achieved, and the uptakes, that is, the amount of immobilized salts and the interlayer distances of intercalation compounds were almost the same as those obtained in aqueous solution. However, no intercalation was achieved for sorbic acid. Although intercalation of sorbate and aspartate into chloride-type layered double hydroxide was possible, the uptakes for these intercalation compounds were lower than those obtained using nitrate-type layered double hydroxide. The intercalation under solid condition could be achieved to the same extent as for ion-exchange reaction in aqueous solution, and the reactivity was similar to that observed in aqueous solution. This method will enable the encapsulation of acidic drug in layered double hydroxide as nano level simply by mixing both solids.

  19. Mixing Acid Salts and Layered Double Hydroxides in Nanoscale under Solid Condition

    Directory of Open Access Journals (Sweden)

    Hirokazu Nakayama

    2014-07-01

    Full Text Available The immobilization of potassium sorbate, potassium aspartate and sorbic acid in layered double hydroxide under solid condition was examined. By simply mixing two solids, immobilization of sorbate and aspartate in the interlayer space of nitrate-type layered double hydroxide, so called intercalation reaction, was achieved, and the uptakes, that is, the amount of immobilized salts and the interlayer distances of intercalation compounds were almost the same as those obtained in aqueous solution. However, no intercalation was achieved for sorbic acid. Although intercalation of sorbate and aspartate into chloride-type layered double hydroxide was possible, the uptakes for these intercalation compounds were lower than those obtained using nitrate-type layered double hydroxide. The intercalation under solid condition could be achieved to the same extent as for ion-exchange reaction in aqueous solution, and the reactivity was similar to that observed in aqueous solution. This method will enable the encapsulation of acidic drug in layered double hydroxide as nano level simply by mixing both solids.

  20. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  1. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  2. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  3. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  4. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  5. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  6. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  7. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  8. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  9. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  10. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  11. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  12. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  13. From acid etching treatments to tribocorrosive properties of dental implants: do some experimental results on surface treatments have an influence on the tribocorrosion behaviour of dental implants?

    International Nuclear Information System (INIS)

    Geringer, Jean; Demanget, Nicolas; Pellier, Julie

    2013-01-01

    Surface treatments of dental implants aim at promoting osseointegration, i.e. the anchorage of the metallic part. Titanium-, grade II–V, based material is used as a bulk material for dental implants. For promoting the anchorage of this metallic biomaterial in human jaw, some strategies have been applied for improving the surface state, i.e. roughness, topography and coatings. A case study, experimental study, is described with the method of acid etching on titanium grade 4, CpTi. The main goal is to find the right proportion in a mixture of two acids in order to obtain the best surface state. Finally, a pure theoretical prediction is quite impossible and some experimental investigations are necessary to improve the surface state. The described acid etching is compared with some other acid etching treatments and some coatings available on dental implants. Thus, the discussion is focused on the tribocorrosion behaviour of titanium-based materials. The purpose of the coating is that the lifetime under tribocorrosion is limited. Moreover, the surgery related to the implantation has a huge impact on the stability of dental implants. Thus, the performance of dental implants depends on factors related to surgery (implantation) that are difficult to predict from the biomaterial characteristics. From the tribocorrosion point of view, i.e. during the mastication step, the titanium material is submitted to some deleterious factors that cause the performance of dental implants to decrease. (paper)

  14. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  15. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  16. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  17. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  18. Formation of conjugated delta8,delta10-double bonds by delta12-oleic-acid desaturase-related enzymes: biosynthetic origin of calendic acid.

    Science.gov (United States)

    Cahoon, E B; Ripp, K G; Hall, S E; Kinney, A J

    2001-01-26

    Divergent forms of the plant Delta(12)-oleic-acid desaturase (FAD2) have previously been shown to catalyze the formation of acetylenic bonds, epoxy groups, and conjugated Delta(11),Delta(13)-double bonds by modification of an existing Delta(12)-double bond in C(18) fatty acids. Here, we report a class of FAD2-related enzymes that modifies a Delta(9)-double bond to produce the conjugated trans-Delta(8),trans-Delta(10)-double bonds found in calendic acid (18:3Delta(8trans,10trans,12cis)), the major component of the seed oil of Calendula officinalis. Using an expressed sequence tag approach, cDNAs for two closely related FAD2-like enzymes, designated CoFADX-1 and CoFADX-2, were identified from a C. officinalis developing seed cDNA library. The deduced amino acid sequences of these polypeptides share 40-50% identity with those of other FAD2 and FAD2-related enzymes. Expression of either CoFADX-1 or CoFADX-2 in somatic soybean embryos resulted in the production of calendic acid. In embryos expressing CoFADX-2, calendic acid accumulated to as high as 22% (w/w) of the total fatty acids. In addition, expression of CoFADX-1 and CoFADX-2 in Saccharomyces cerevisiae was accompanied by calendic acid accumulation when induced cells were supplied exogenous linoleic acid (18:2Delta(9cis,12cis)). These results are thus consistent with a route of calendic acid synthesis involving modification of the Delta(9)-double bond of linoleic acid. Regiospecificity for Delta(9)-double bonds is unprecedented among FAD2-related enzymes and further expands the functional diversity found in this family of enzymes.

  19. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    Science.gov (United States)

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  20. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  1. Comparative Evaluation of Shear Bond Strength of Orthodontic Brackets using Laser Etching and Two Conventional Etching Techniques: An in vitro Study

    Directory of Open Access Journals (Sweden)

    Shilpa Chawla Jamenis

    2011-01-01

    Conclusion : These results indicate that the shear bond strength of all the three groups was clinically acceptable with no significant difference between them but more adhesive was left on enamel treated with acid and laser as compared to self-etch primer treated enamel.

  2. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  3. Enzyme-enhanced fluorescence detection of DNA on etched optical fibers.

    Science.gov (United States)

    Niu, Shu-yan; Li, Quan-yi; Ren, Rui; Zhang, Shu-sheng

    2009-05-15

    A novel DNA biosensor based on enzyme-enhanced fluorescence detection on etched optical fibers was developed. The hybridization complex of DNA probe and biotinylated target was formed on the etched optical fiber, and was then bound with streptavidin labeled horseradish peroxidase (streptavidin-HRP). The target DNA was quantified through the fluorescent detection of bi-p,p'-4-hydroxyphenylacetic acid (DBDA) generated from the substrate 4-hydroxyphenylacetic acid (p-HPA) under the catalysis of HRP, with a detection limit of 1 pM and a linear range from 1.69 pM to 169 pM. It is facile to regenerate this sensor through surface treatment with concentrated urea solution. It was discovered that the sensor can retain 70% of its original activity after three detection-regeneration cycles.

  4. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  5. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  6. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  7. Peri-Implant Endosseous Healing Properties of Dual Acid-Etched Mini-Implants with a Nanometer-Sized Deposition of CaP : A Histological and Histomorphometric Human Study

    NARCIS (Netherlands)

    Telleman, Gerdien; Albrektsson, Tomas; Hoffman, Maria; Johansson, Carina B.; Vissink, Arjan; Meijer, Henny J. A.; Raghoebar, Gerry M.

    2010-01-01

    Purpose: The aim of this histological and histomorphometric study was to compare the early peri-implant endosseous healing properties of a dual acid-etched (DAE) surface (Osseotite (R), Implant Innovations Inc., Palm Beach Gardens, FL, USA) with a DAE surface modified with nanometer-sized calcium

  8. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    Science.gov (United States)

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  9. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments

    International Nuclear Information System (INIS)

    Schukfeh, M I; Hansen, A; Tornow, M; Storm, K; Thelander, C; Samuelson, L; Hinze, P; Weimann, T; Beyer, A

    2014-01-01

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor–liquid–solid grown InAs nanowires with embedded InP segments of 10–60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap. (paper)

  10. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  11. Effect of surface etching on condensing heat transfer

    Energy Technology Data Exchange (ETDEWEB)

    Seok, Sung Chul; Park, Jae Won; Jung, Jiyeon; Choi, Chonggun; Choi, Gyu Hong; Hwang, Seung Sik; Chung, Tae Yong; Shin, Donghoon [Kookmin University, Seoul (Korea, Republic of); Kim, Jin Jun [Hoseo University, Asan (Korea, Republic of)

    2016-02-15

    This study conducted experiments on humid air condensation during heat transfer in an air preheating exchanger attached to a home condensing boiler to improve thermal efficiency. An etchant composed of sulfuric acid and sodium nitrate was used to create roughness on the heat exchanger surface made from STS430J1L. A counter flow heat exchanger was fabricated to test the performance of heat transfer. Results showed that the overall heat transfer coefficients of all specimens treated with etchant improved with respect to the original specimens (not treated with etchant), and the overall heat transfer coefficient of the 60 s etching specimen increased by up to 15%. However, the increasing rate of the heat transfer coefficient was disproportional to the etching time. When the etching time specifically increased above 60 s, the heat transfer coefficient decreased. This effect was assumed to be caused by surface characteristics such as contact angle. Furthermore, a smaller contact angle or higher hydrophilicity leads to higher heat transfer coefficient.

  12. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  13. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  14. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  15. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  16. A survey of some metallographic etching reagents for restoration of obliterated engraved marks on aluminium-silicon alloy surfaces.

    Science.gov (United States)

    Uli, Norjaidi; Kuppuswamy, R; Amran, Mohd Firdaus Che

    2011-05-20

    A brief survey to assess the sensitivity and efficacy of some common etching reagents for revealing obliterated engraved marks on Al-Si alloy surfaces is presented. Experimental observations have recommended use of alternate swabbing of 10% NaOH and 10% HNO(3) on the obliterated surfaces for obtaining the desired results. The NaOH etchant responsible for bringing back the original marks resulted in the deposition of some dark coating that has masked the recovered marks. The coating had been well removed by dissolving it in HNO(3) containing 10-20% acid. However, the above etching procedure was not effective on aluminium (99% purity) and Al-Zn-Mg-Cu alloy surfaces. Also the two reagents (i) immersion in 10% aq. phosphoric acid and (ii) alternate swabbing of 60% HCl and 40% NaOH suggested earlier for high strength Al-Zn-Mg-Cu alloys [23] were quite ineffective on Al-Si alloys. Thus different aluminium alloys needed different etching treatments for successfully restoring the obliterated marks. Al-Si alloys used in casting find wide applications especially in the manufacture of engine blocks of motor vehicles. Hence, the results presented in this paper are of much relevance in serial number restoration problems involving this alloy. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  17. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    Science.gov (United States)

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  18. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  19. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    Aluminum-doped zinc oxide (ZnO:Al) can fulfill many requirements in thin-film solar cells, acting as (1) a transparent contact through which the incident light is transmitted, (2) part of the back reflector, and (3) a source of light scattering. Magnetron sputtered ZnO:Al thin-films are highly transparent, conductive, and are typically texturized by post-deposition etching in a dilute hydrochloric acid (HCl) solution to achieve light scattering. The ZnO:Al thin-film electronic and optical properties, as well as the surface texture after etching, depend on the deposition conditions and the post-deposition treatments. Despite having been used in thin-film solar cells for more than a decade, many aspects regarding the growth, effects of heat treatments, environmental stability, and etching of sputtered ZnO:Al are not fully understood. This work endeavors to further the understanding of ZnO:Al for the purpose improving silicon thin-film solar cell efficiency and reducing ZnO:Al production costs. With regard to the growth of ZnO:Al, the influence of various deposition conditions on the resultant electrical and structural properties and their evolution with film thickness were studied. The surface electrical properties extracted from a multilayer model show that while carrier concentration of the surface layer saturates already at film thickness of 100 nm, the surface mobility continues to increases with film thickness, and it is concluded that electronic transport across grain boundaries limits mobility in ZnO:Al thin films. ZnO:Al deposited onto a previously etched ZnO:Al surface grows epitaxially, preserving both the original orientation and grain structure. Further, it is determined that a typical ZnO:Al used in thin-film silicon solar cells grows Zn-terminated on glass substrates. Concerning the affects of heat treatments and stability, it is demonstrated that a layer of amorphous silicon can protect ZnO:Al from degradation during annealing, and the mobility of Zn

  20. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  1. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  2. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  3. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    Science.gov (United States)

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  4. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  5. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  6. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  7. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  8. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  9. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  10. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  11. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  12. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    Science.gov (United States)

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  13. Bond strength of compomers to dentin using acidic primers.

    Science.gov (United States)

    Tate, W H; You, C; Powers, J M

    1999-10-01

    To determine the in vitro bond strengths of seven compomer/bonding agent restorative systems to human dentin. Seven compomer/bonding agents were bonded to human dentin, stored in water at 37 degrees C for 24 hours, and debonded in tension. Bonding conditions were with and without phosphoric acid etching, with and without the use of combined primer/bonding agents, and under moist and wet bond interfaces. Without phosphoric acid etching, F2000/F2000 Compomer Primer/Adhesive and F2000/Single Bond Dental Adhesive System were less sensitive to dentin wetness. With moist dentin, bond strengths of Dyract/Prime & Bond 2.1, Dyract AP/Prime & Bond 2.1, Hytac/OSB light-curing, one-component bonding agent, F2000/Single Bond, and Freedom/STAE single component light-cured dentin/enamel adhesive system, were improved with phosphoric acid etching. Also, with moist dentin, the bond strength of F2000/F2000 Compomer Primer/Adhesive in the 3M Clicker dispensing system was higher without phosphoric acid etching, whereas bonds of Compoglass/Syntac Single-component were not affected by phosphoric acid etching. Bonding did not occur without primer/bonding agent, regardless of surface condition or use of phosphoric acid etching.

  14. Comparison Of Bond Strength Of Orthodontic Molar Tubes Using Different Enamel Etching Techniques And Their Effect On Enamel

    International Nuclear Information System (INIS)

    Abd el Rahman, H.Y.

    2013-01-01

    In fixed orthodontic treatment, brackets and tubes are used for transferring orthodontic forces to the teeth. Those attachments were welded to cemented bands. Fifty years ago, direct bonding of brackets and other attachments has become a common technique in fixed orthodontic treatment. Orthodontists used to band teeth, especially molars and second premolars, to avoid the need for re bonding accessories in these regions of heavy masticatory forces. However, it is a known fact that direct bonding saves chair time as it does not require prior band selection and fitting, has the ability to maintain good oral hygiene, improve esthetics and make easier attachment to crowded and partially erupted teeth. Moreover, when the banding procedure is not performed with utmost care it can damage periodontal and/or dental tissues. Molar tubes bonding decreases the chance of decalcification caused by leakage beneath the bands. Since molar teeth are subjected to higher masticatory impact, especially lower molars, it would be convenient to devise methods capable of increasing the efficiency of their traditional bonding. These methods may include variation in bond able molar tube material, design, bonding materials and etching techniques. For achieving successful bonding, the bonding agent must penetrate the enamel surface; have easy clinical use, dimensional stability and enough bond strength. Different etching techniques were introduced in literature to increase the bond strength which includes: conventional acid etching, sandblasting and laser etching techniques. The process of conventional acid etching technique was invented In (1955) as the surface of enamel has great potential for bonding by micromechanical retention, to form ‘the mechanical lock‘. The primary effect of enamel etching is to increase the surface area. However, this roughens the enamel microscopically and results in a greater surface area on which to bond. By dissolving minerals in enamel, etchants remove the

  15. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  16. Tunneling dynamics of double proton transfer in formic acid and benzoic acid dimers

    Science.gov (United States)

    Smedarchina, Zorka; Fernández-Ramos, Antonio; Siebrand, Willem

    2005-04-01

    Direct dynamics calculations based on instanton techniques are reported of tunneling splittings due to double proton transfer in formic and benzoic acid dimers. The results are used to assign the observed splittings to levels for which the authors of the high-resolution spectra could not provide a definitive assignment. In both cases the splitting is shown to be due mainly to the zero-point level rather than to the vibrationally or electronically excited level whose spectrum was investigated. This leads to zero-point splittings of 375MHz for (DCOOH)2 and 1107MHz for the benzoic acid dimer. Thus, contrary to earlier calculations, it is found that the splitting is considerably larger in the benzoic than in the formic acid dimer. The calculations are extended to solid benzoic acid where the asymmetry of the proton-transfer potential induced by the crystal can be overcome by suitable doping. This has allowed direct measurement of the interactions responsible for double proton transfer, which were found to be much larger than those in the isolated dimer. To account for this observation both static and dynamic effects of the crystal forces on the intradimer hydrogen bonds are included in the calculations. The same methodology, extended to higher temperatures, is used to calculate rate constants for HH, HD, and DD transfers in neat benzoic acid crystals. The results are in good agreement with reported experimental rate constants measured by NMR relaxometry and, if allowance is made for small structural changes induced by doping, with the transfer matrix elements observed in doped crystals. Hence the method used allows a unified description of tunneling splittings in the gas phase and in doped crystals as well as of transfer rates in neat crystals.

  17. Gas Chromatographic Determination of Fatty Acids in Oils with Regard to the Assessment of Fire Hazard

    Science.gov (United States)

    Bartošová, Alica; Štefko, Tomáš

    2017-06-01

    The aim of the paper was to study and research the application of processing gas chromatographic method for the rapid and accurate determination of the composition of different types of oils, such as substances with the possibility of an adverse event spontaneous combustion or self-heating. Tendency to spontaneous combustion is chemically characterized mainly by the amount of unsaturated fatty acids, which have one or more double bonds in their molecule. Vegetable oils essentially consist of the following fatty acids: palmitic, stearic, oleic, linoleic, and linoleic. For the needs of assessment, the fire hazard must be known, in which the double bond is present, as well as their number in a molecule. As an analytical method, GCMS was used for determination of oils content. Three types of oil were used - rapeseed, sunflower, and coconut oil. Owing to the occurrence of linoleic acid C18:2 (49.8 wt.%) and oleic acid C18:1 (43.3 wt.%) with double bonds, sunflower oil is the most prone to self-heating. The coconut and rapeseed oils contain double bond FAME in lesser amount, and their propensity to self-heating is relatively low.

  18. Stress response assessment of Lactobacillus sakei strains selected as potential autochthonous starter cultures by flow cytometry and nucleic acid double-staining analyses.

    Science.gov (United States)

    Bonomo, M G; Milella, L; Martelli, G; Salzano, G

    2013-09-01

    The aim of this study was to apply the flow cytometry to Lactobacillus sakei strains, selected as potential autochthonous starters, to investigate dynamics and physiological heterogeneity of microbial behaviour under different stress conditions. A simultaneous nucleic acid double-staining assay was applied to discriminate cell populations in different physiological states after exposure to heat (50 and 55°C) and acid (pH 2·5 and 3·0) stresses. Alive cells with intact membranes, damaged cells still alive but with injured membranes, so with even a recovery ability, and dead cells with a permanent membrane damage were differentiated with a significant increase in damaged cells after stronger stress treatments. The existence and characteristics of subpopulations displaying heterogeneity in particular conditions are highly relevant, because specific subpopulations may show improved survival, changes and dynamics under stress conditions. This assay has potential for physiological research on lactic acid bacteria and for application in the food industry. The assessment of intermediate physiological states in Lb. sakei strains with recovery possibility could be an important criterion for application of potential starter cultures. Application of flow cytometry and characterization of sorted subpopulations may contribute to further understanding of diversity and heterogeneity in physiology of bacterial populations. © 2013 The Society for Applied Microbiology.

  19. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  20. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  1. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  2. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  3. Etching twin core fiber for the temperature-independent refractive index sensing

    Science.gov (United States)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  4. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  6. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  7. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  8. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  9. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  10. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  11. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  12. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    OpenAIRE

    Hammad, Shaza M.; El-Wassefy, Noha; Maher, Ahmed; Fawakerji, Shafik M.

    2017-01-01

    ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2) nanofillers in different bonding systems on shear bond strength (SBS) and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A) Conventional acid-etch and primer Transbond XT; B) Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C) Futurabond DC at 1%; D...

  13. The processing of enriched germanium for the MAJORANA DEMONSTRATOR and R&D for a next generation double-beta decay experiment

    Science.gov (United States)

    Abgrall, N.; Arnquist, I. J.; Avignone, F. T., III; Barabash, A. S.; Bertrand, F. E.; Bradley, A. W.; Brudanin, V.; Busch, M.; Buuck, M.; Caja, J.; Caja, M.; Caldwell, T. S.; Christofferson, C. D.; Chu, P.-H.; Cuesta, C.; Detwiler, J. A.; Dunagan, C.; Dunstan, D. T.; Efremenko, Yu.; Ejiri, H.; Elliott, S. R.; Gilliss, T.; Giovanetti, G. K.; Goett, J.; Green, M. P.; Gruszko, J.; Guinn, I. S.; Guiseppe, V. E.; Haufe, C. R. S.; Henning, R.; Hoppe, E. W.; Jasinski, B. R.; Kidd, M. F.; Konovalov, S. I.; Kouzes, R. T.; Lopez, A. M.; MacMullin, J.; Martin, R. D.; Massarczyk, R.; Meijer, S. J.; Mertens, S.; Meyer, J. H.; Myslik, J.; O'Shaughnessy, C.; Poon, A. W. P.; Radford, D. C.; Rager, J.; Reine, A. L.; Reising, J. A.; Rielage, K.; Robertson, R. G. H.; Shanks, B.; Shirchenko, M.; Suriano, A. M.; Tedeschi, D.; Toth, L. M.; Trimble, J. E.; Varner, R. L.; Vasilyev, S.; Vetter, K.; Vorren, K.; White, B. R.; Wilkerson, J. F.; Wiseman, C.; Xu, W.; Yakushev, E.; Yu, C.-H.; Yumatov, V.; Zhitnikov, I.; Zhu, B. X.

    2018-01-01

    The MAJORANA DEMONSTRATOR is an array of point-contact Ge detectors fabricated from Ge isotopically enriched to 88% in 76 Ge to search for neutrinoless double beta decay. The processing of Ge for germanium detectors is a well-known technology. However, because of the high cost of Ge enriched in 76 Ge special procedures were required to maximize the yield of detector mass and to minimize exposure to cosmic rays. These procedures include careful accounting for the material; shielding it to reduce cosmogenic generation of radioactive isotopes; and development of special reprocessing techniques for contaminated solid germanium, shavings, grindings, acid etchant and cutting fluids from detector fabrication. Processing procedures were developed that resulted in a total yield in detector mass of 70%. However, none of the acid-etch solution and only 50% of the cutting fluids from detector fabrication were reprocessed. Had they been processed, the projections for the recovery yield would be between 80% and 85%. Maximizing yield is critical to justify a possible future ton-scale experiment. A process for recovery of germanium from the acid-etch solution was developed with yield of about 90%. All material was shielded or stored underground whenever possible to minimize the formation of 68Ge by cosmic rays, which contributes background in the double-beta decay region of interest and cannot be removed by zone refinement and crystal growth. Formation of 68Ge was reduced by a significant factor over that in natural abundance detectors not protected from cosmic rays.

  14. Two-Dimensional Layered Double Hydroxide Derived from Vermiculite Waste Water Supported Highly Dispersed Ni Nanoparticles for CO Methanation

    Directory of Open Access Journals (Sweden)

    Panpan Li

    2017-03-01

    Full Text Available Expanded multilayered vermiculite (VMT was successfully used as catalyst support and Ni/VMT synthesized by microwave irradiation assisted synthesis (MIAS exhibited excellent performance in our previous work. We also developed a two-dimensional porous SiO2 nanomesh (2D VMT-SiO2 by mixed-acid etching of VMT. Compared with three-dimensional (3D MCM-41, 2D VMT-SiO2 as a catalyst support provided a superior position for implantation of NiO species and the as-obtained catalyst exhibited excellent performance. In this paper, we successfully synthesized a layered double hydroxide (LDH using the spent liquor after mixed-acid etching of VMT, which mainly contained Mg2+ and Al3+. The as-calcined layered double oxide (LDO was used as a catalyst support for CO methanation. Compared with Ni/MgAl-LDO, Ni/VMT-LDO had smaller active component particles; therefore, in this study, it exhibited excellent catalytic performance over the whole temperature range of 250–500 °C. Ni/VMT-LDO achieved the best activity with 87.88% CO conversion, 89.97% CH4 selectivity, and 12.47 × 10−2·s−1 turn over frequency (TOF at 400 °C under a gas hourly space velocity of 20,000 mL/g/h. This study demonstrated that VMT-LDO as a catalyst support provided an efficient way to develop high-performance catalysts for synthetic natural gas (SNG from syngas.

  15. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  16. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  17. Synthesis of condensed double rubidium manganese phosphates in melts of polyphosphoric acids

    International Nuclear Information System (INIS)

    Guzeeva, L.S.; Tananaev, I.V.

    1988-01-01

    The aim of this work was to study the character of the reaction of MnO 2 and Rb 2 O with melts of polyphosphoric acids, and to establish the composition and the conditions of formation of the condensed double rubidium manganese phosphates. In the reaction of manganese and rubidium oxides with melts of polyphosphoric acids at 140-350 degree C, three types of trivalent manganese and rubidium condensed double phosphates are formed - diphosphates RbMn(H 2 P 2 O 7 ) and Rb 2 MnH 3 (P 2 O 7 ) 2 , triphosphate RbMnHP 3 O 10 and diphosphate RbMnP 2 O 7 - in addition to a double cyclotriphosphate of divalent manganese with rubidium RbMnP 3 O 9 . The thermal transformations of the compound isolated were studied. It was shown that rubidium manganese cyclotriphosphate can be obtained by the thermal decomposition of the diphosphate RbMn(H 2 P 2 O 7 ) 2

  18. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    Science.gov (United States)

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  19. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  20. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  1. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  2. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  3. Double Displacement: an Improved Bioorthogonal Reaction Strategy for Templated Nucleic Acid Detection

    OpenAIRE

    Kleinbaum, Daniel J.; Miller, Gregory P.; Kool, Eric T.

    2010-01-01

    Quenched autoligation probes have been employed previously in a target-templated nonenzymatic ligation strategy for detecting nucleic acids in cells by fluorescence. A common source of background signal in such probes is undesired reaction with water and other cellular nucleophiles. Here we describe a new class of self-ligating probes, double displacement (DD) probes, that rely on two displacement reactions to fully unquench a nearby fluorophore. Three potential double displacement architectu...

  4. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  5. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  6. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  7. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  8. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  9. Automated solid-phase extraction of phenolic acids using layered double hydroxide-alumina-polymer disks.

    Science.gov (United States)

    Ghani, Milad; Palomino Cabello, Carlos; Saraji, Mohammad; Manuel Estela, Jose; Cerdà, Víctor; Turnes Palomino, Gemma; Maya, Fernando

    2018-01-26

    The application of layered double hydroxide-Al 2 O 3 -polymer mixed-matrix disks for solid-phase extraction is reported for the first time. Al 2 O 3 is embedded in a polymer matrix followed by an in situ metal-exchange process to obtain a layered double hydroxide-Al 2 O 3 -polymer mixed-matrix disk with excellent flow-through properties. The extraction performance of the prepared disks is evaluated as a proof of concept for the automated extraction using sequential injection analysis of organic acids (p-hydroxybenzoic acid, 3,4-dihydroxybenzoic acid, gallic acid) following an anion-exchange mechanism. After the solid-phase extraction, phenolic acids were quantified by reversed-phase high-performance liquid chromatography with diode-array detection using a core-shell silica-C18 stationary phase and isocratic elution (acetonitrile/0.5% acetic acid in pure water, 5:95, v/v). High sensitivity and reproducibility were obtained with limits of detection in the range of 0.12-0.25 μg/L (sample volume, 4 mL), and relative standard deviations between 2.9 and 3.4% (10 μg/L, n = 6). Enrichment factors of 34-39 were obtained. Layered double hydroxide-Al 2 O 3 -polymer mixed-matrix disks had an average lifetime of 50 extractions. Analyte recoveries ranged from 93 to 96% for grape juice and nonalcoholic beer samples. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. New Colloidal Lithographic Nanopatterns Fabricated by Combining Pre-Heating and Reactive Ion Etching

    Directory of Open Access Journals (Sweden)

    Cong Chunxiao

    2009-01-01

    Full Text Available Abstract We report a low-cost and simple method for fabrication of nonspherical colloidal lithographic nanopatterns with a long-range order by preheating and oxygen reactive ion etching of monolayer and double-layer polystyrene spheres. This strategy allows excellent control of size and morphology of the colloidal particles and expands the applications of the colloidal patterns as templates for preparing ordered functional nanostructure arrays. For the first time, various unique nanostructures with long-range order, including network structures with tunable neck length and width, hexagonal-shaped, and rectangular-shaped arrays as well as size tunable nanohole arrays, were fabricated by this route. Promising potentials of such unique periodic nanostructures in various fields, such as photonic crystals, catalysts, templates for deposition, and masks for etching, are naturally expected.

  11. Mixing Acid Salts and Layered Double Hydroxides in Nanoscale under Solid Condition

    OpenAIRE

    Nakayama, Hirokazu; Hayashi, Aki

    2014-01-01

    The immobilization of potassium sorbate, potassium aspartate and sorbic acid in layered double hydroxide under solid condition was examined. By simply mixing two solids, immobilization of sorbate and aspartate in the interlayer space of nitrate-type layered double hydroxide, so called intercalation reaction, was achieved, and the uptakes, that is, the amount of immobilized salts and the interlayer distances of intercalation compounds were almost the same as those obtained in aqueous solution...

  12. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  13. Microleakage after Thermocycling of Three Self-Etch Adhesives under Resin-Modified Glass-Ionomer Cement Restorations

    Directory of Open Access Journals (Sweden)

    Sabine O. Geerts

    2010-01-01

    Full Text Available This study was designed to evaluate microleakage that appeared on Resin-Modified Glass-Ionomer Cement (RMGIC restorations. Sixty class V cavities (h×w×l=2mm×2mm×3mm were cut on thirty extracted third molars, which were randomly allocated to three experimental groups. All the buccal cavities were pretreated with polyacrylic acid, whereas the lingual cavities were treated with three one-step Self-Etch adhesives, respectively, Xeno III (Dentsply Detrey GmbH, Konstanz, Germany, iBond exp (Heraeus Kulzer gmbH & Co. KG, Hanau, Germany, and Adper Prompt-L-Pop (3M ESPE AG, Dental products Seefeld, Germany. All cavities were completely filled with RMGIC, teeth were thermocycled for 800 cycles, and leakage was evaluated. Results were expressed as means ± standard deviations (SDs. Microleakage scores were analysed by means of generalized linear mixed models (GLMMs assuming an ordinal logistic link function. All results were considered to be significant at the 5% critical level (<.05. The results showed that bonding RMGIC to dentin with a Self-Etch adhesive rather than using polyacrylic acid did not influence microleakage scores (=.091, except for one tested Self-Etch adhesive, namely, Xeno III (<.0001. Nevertheless, our results did not show any significant difference between the three tested Self-Etch adhesive systems. In conclusion, the pretreatment of dentin with Self-Etch adhesive system, before RMGIC filling, seems to be an alternative to the conventional Dentin Conditioner for the clinicians as suggested by our results (thermocycling and others (microtensile tests.

  14. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  15. Chimney Effect Assessment of the Double-skin Facade

    Institute of Scientific and Technical Information of China (English)

    QIU Zhong-zhu; LI Peng; CHOW Tin-tai; REN Jian-xing; WANG Wen-huan

    2009-01-01

    The mathematic model of heat transfer through ventihted double glazing was verified with themeasured data,which were from a test chamber equipped with glass face temperature,solar radiation,ambient temperature,and wind speed measurement facility.Mter the model validation,the double-skin facade assess-ment was carried out through simulation with ESP-r software integrating thermal simulation and air low net work module.The air flow situation in the air gap was analyzed on the basis of the hourly air velocity simulation data within typical winter week,summer week,spring week and autumn week.The differences of chimney ef-fect in different seasons were discussed,and the thermal loads resulted from the ventilated and unventihted dou-ble skin facade were presented.

  16. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  17. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  18. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  19. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  20. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  1. Effect of the association between citric acid and EDTA on root surface etching.

    Science.gov (United States)

    Manzolli Leite, Fabio Renato; Nascimento, Gustavo Giacomelli; Manzolli Leite, Elza Regina; Leite, Amauri Antiquera; Cezar Sampaio, Josá Eduardo

    2013-09-01

    This study aims to compare the clot stabilization on root surfaces conditioned with citric acid and ethylenediamine-tetraacetic acid (EDTA). Scaled root samples (n = 100) were set in fve groups: group I-control group (saline solution); group II (24% EDTA); group III (25% citric acid); group IV (EDTA + citric acid); group V (citric acid + EDTA). Fifty samples were assessed using the root surface modifcation index (RSMI). The other 50 received a blood drop after conditioning. Clot formation was assessed using blood elements adhesion index (BEAI). A blind examiner evaluated photomicrographs. Statistical analysis considered p EDTA employment before citric acid (group-IV) reduced clot formation in comparison to citric acid use alone (group-III). Root conditioning with citric acid alone and before EDTA had the best results for smear layer removal and clot stabilization. EDTA inhibited clot stabilization on root surface and must have a residual activity once it has diminished clot adhesion to root even after citric acid conditioning. Thus, EDTA can be used to neutralize citric acid effects on periodontal cells without affecting clot stabilization. Clinical signifcance: To demonstrate that citric acid use on root surfaces previously affected by periodontal disease may favor clot stabilization and may have a benefcial effect on surgical outcomes. Also, EDTA can be used to neutralize citric acid effects on periodontal cells.

  2. The acid-base resistant zone in three dentin bonding systems.

    Science.gov (United States)

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  3. Evaluating the shear bond strength of enamel and dentin with or without etching: A comparative study between dimethacrylate-based and silorane-based adhesives

    Science.gov (United States)

    Hajizadeh, Hila; Nasseh, Atefeh; Rahmanpour, Naim

    2015-01-01

    Background Silorane-based composites and their specific self-etch adhesive were introduced to conquest the polymerization shrinkage of methacrylate-based composites. It has been shown that additional etching of enamel and dentin can improve the bond strength of self-etch methacrylate-based adhesives but this claim is not apparent about silorane-based adhesives. Our objective was to compare the shear bond strength (SBS) of enamel and dentin between silorane-based adhesive resin and a methacrylate-based resin with or without additional etching. Material and Methods 40 sound human premolars were prepared and divided into two groups: 1- Filtek P60 composite and Clearfil SE Bond adhesive; 2- Filtek P90 composite and Silorane adhesive. Each group divided into two subgroups: with or without additional etching. For additional etching, 37% acid phosphoric was applied before bonding procedure. A cylinder of the composite was bonded to the surface. After 24 hours storage and 500 thermo cycling between 5-55°C, shear bond strength was assessed with the cross head speed of 0.5 mm/min. Then, bonded surfaces were observed under stereomicroscope to determine the failure mode. Data were analyzed with two-way ANOVA and Fischer exact test. Results Shear bond strength of Filtek P60 composite was significantly higher than Filtek P90 composite both in enamel and dentin surfaces (Penamel or dentin for each of the composites (P>0.05). There was no interaction between composite type and additional etching (P>0.05). Failure pattern was mainly adhesive and no significant correlation was found between failure and composite type or additional etching (P>0.05). Conclusions Shear bond strength of methacrylate-based composite was significantly higher than silorane-based composite both in enamel and dentin surfaces and additional etching had no significant effect on shear bond strength in enamel or dentin for each of the composites. The mode of failure had no meaningful relation to the type of

  4. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  5. Marginal microleakage in vitro study of occlusal fissures sealing prepared and etched or not with Er: YAG laser

    International Nuclear Information System (INIS)

    Youssef, Fernanda de Almeida

    2004-01-01

    The aim of this in vitro study was to evaluate the degree of marginal microleakage in occlusal sealing by invasive techniques, after preparation with Er:YAG laser followed or not by Er:YAG laser etching and compared to the conventional technique. Thirty human premolars were divided into three groups: A (control group) - cavities were prepared with high speed and etched with 37% orthophosphoric acid; group B - cavities were prepared with Er:YAG (350 mJ, 4 Hz and 112 J /cm 2 ) and etched with 37% orthophosphoric acid; group C - cavities were prepared with Er:YAG laser (350 mJ, 4 Hz and 112 J/cm 2 ), and etched with Er:YAG laser (80 mJ, 4 Hz and 25 m/cm 2 ). All cavities were treated with the same adhesive system and restored with flow composite according to manufacturer instructions. Teeth were submitted to thermal cycling procedures and immersed in 50% Silver Nitrate Solutions for 8 hours in total darkness. Teeth were sectioned longitudinally in the bucco-lingual direction, in slices of 1 mm thick. Each slice was immersed into photo developing solution under 16 hours of fluorescent light. Slices were photographed and microleakage was scored from 0 to 7 J by three standard examiners. Results showed statistically significant differences for group C (Er:YAG laser preparation and etching). We concluded that Er:YAG laser can be used for cavity preparation of occlusal sealing, like the conventional high speed method. However, this laser, used as enamel etching agent, could not promote an adequate surface for adhesive procedures. (author)

  6. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  7. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  8. Influence of application methods of one-step self-etching adhesives on microtensile bond strength

    Directory of Open Access Journals (Sweden)

    Chul-Kyu Choi,

    2011-05-01

    Full Text Available Objectives The purpose of this study was to evaluate the effect of various application methods of one-step self-etch adhesives to microtensile resin-dentin bond strength. Materials and Methods Thirty-six extracted human molars were used. The teeth were assigned randomly to twelve groups (n = 15, according to the three different adhesive systems (Clearfil Tri-S Bond, Adper Prompt L-Pop, G-Bond and application methods. The adhesive systems were applied on the dentin as follows: 1 The single coating, 2 The double coating, 3 Manual agitation, 4 Ultrasonic agitation. Following the adhesive application, light-cure composite resin was constructed. The restored teeth were stored in distilled water at room temperature for 24 hours, and prepared 15 specimens per groups. Then microtensile bond strength was measured and the failure mode was examined. Results Manual agitation and ultrasonic agitation of adhesive significantly increased the microtensile bond strength than single coating and double coating did. Double coating of adhesive significantly increased the microtensile bond strength than single coating did and there was no significant difference between the manual agitation and ultrasonic agitation group. There was significant difference in microtensile bonding strength among all adhesives and Clearfil Tri-S Bond showed the highest bond strength. Conclusions In one-step self-etching adhesives, there was significant difference according to application methods and type of adhesives. No matter of the material, the manual or ultrasonic agitation of the adhesive showed significantly higher microtensile bond strength.

  9. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  10. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  11. Production of N-acetyl-D-neuraminic acid using two sequential enzymes overexpressed as double-tagged fusion proteins

    Directory of Open Access Journals (Sweden)

    Cheng Chung-Hsien

    2009-07-01

    Full Text Available Abstract Background Two sequential enzymes in the production of sialic acids, N-acetyl-D-glucosamine 2-epimerase (GlcNAc 2-epimerase and N-acetyl-D-neuraminic acid aldolase (Neu5Ac aldolase, were overexpressed as double-tagged gene fusions. Both were tagged with glutathione S-transferase (GST at the N-terminus, but at the C-terminus, one was tagged with five contiguous aspartate residues (5D, and the other with five contiguous arginine residues (5R. Results Both fusion proteins were overexpressed in Escherichia coli and retained enzymatic activity. The fusions were designed so their surfaces were charged under enzyme reaction conditions, which allowed isolation and immobilization in a single step, through a simple capture with either an anionic or a cationic exchanger (Sepharose Q or Sepharose SP that electrostatically bound the 5D or 5R tag. The introduction of double tags only marginally altered the affinity of the enzymes for their substrates, and the double-tagged proteins were enzymatically active in both soluble and immobilized forms. Combined use of the fusion proteins led to the production of N-acetyl-D-neuraminic acid (Neu5Ac from N-acetyl-D-glucosamine (GlcNAc. Conclusion Double-tagged gene fusions were overexpressed to yield two enzymes that perform sequential steps in sialic acid synthesis. The proteins were easily immobilized via ionic tags onto ionic exchange resins and could thus be purified by direct capture from crude protein extracts. The immobilized, double-tagged proteins were effective for one-pot enzymatic production of sialic acid.

  12. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  13. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  14. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  15. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  16. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    Science.gov (United States)

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (player observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  17. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  18. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  19. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  20. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); John, Sajeev [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Hafez, M.; Al-Ameer, S. S.; Al-Harby, T. S.; Al-Hadeethi, Y. [Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Bouwes, D. M. [iX-factory GmbH, Konrad Adenauer–Allee 11, 44263 Dortmund (Germany)

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, corresponding to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.

  1. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  2. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  3. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  4. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  5. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    Science.gov (United States)

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  6. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  7. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  8. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  9. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  10. Evaluation of the bond strength of resin cements used to lute ceramics on laser-etched dentin.

    Science.gov (United States)

    Giray, Figen Eren; Duzdar, Lale; Oksuz, Mustafa; Tanboga, Ilknur

    2014-07-01

    The purpose of this study was to investigate the shear bond strength (SBS) of two different adhesive resin cements used to lute ceramics on laser-etched dentin. Erbium, chromium: yttrium, scandium, gallium, garnet (Er,Cr:YSGG) laser irradiation has been claimed to improve the adhesive properties of dentin, but results to date have been controversial, and its compatibility with existing adhesive resin cements has not been conclusively determined. Two adhesive cements, one "etch-and-rinse" [Variolink II (V)] and one "self-etch" [Clearfil Esthetic Cement (C)] luting cement, were used to lute ceramic blocks (Vita Celay Blanks, Vita) onto dentin surfaces. In total, 80 dentin specimens were distributed randomly into eight experimental groups according to the dentin surface-etching technique used Er,Cr:YSGG laser and Er:YAG laser: (1) 37% orthophosphoric acid+V (control group), (2) Er,Cr:YSGG laser+V, (3) Er,Cr:YSGG laser+acid+V, (4) Er:YAG laser+V, (5) Er:YAG laser+acid+V, (6) C, (7) Er,Cr:YSGG laser+C, and (8) Er:YAG laser+C. Following these applications, the ceramic discs were bonded to prepared surfaces and were shear loaded in a universal testing machine until fracture. SBS was recorded for each group in MPa. Shear test values were evaluated statistically using the Mann-Whitney U test. No statistically significant differences were evident between the control group and the other groups (p>0.05). The Er,Cr:YSGG laser+A+V group demonstrated significantly higher SBS than did the Er,Cr:YSGG laser+V group (p=0.034). The Er,Cr:YSGG laser+C and Er:YAG laser+C groups demonstrated significantly lower SBS than did the C group (pceramic bond strengths, depending upon the adhesive cement used.

  11. Shear Bond Strength of Saliva Contaminated and Re-etched All-in-One Adhesive to Enamel

    Directory of Open Access Journals (Sweden)

    M. Khoroushi

    2008-12-01

    Full Text Available Objective: The aim of this study was to investigate the effect of phosphoric acid re-etching of an enamel surface treated via a one-bottle adhesive system on shear bond strength between resin composite and the enamelsurface in different stages of adhesive application.Materials and Methods: Extracted intact premolars (n=84 were divided into sevengroups (n=12. In the control group 1, the adhesive i-Bond was used according to the manufacturer's instructions, with nocontamination. In groups 2 to 4, the conditioned and saliva, contaminated enamel was blot dried only, rinsed,and blot dried, rinsed blot dried and re-etched, respectively. In groups 5, 6and 7 cured adhesive was contaminated with saliva and then rinsed and blot-dried, blot dried only and rinsed, blot-dried and re-etched respectively. In groups 3, 4, 6 and 7 the adhesive was reapplied. Afterward, Z100 compos-ite cylinders were bonded to the enamel surfaces. The samples were thermocycled (5°C and 55°C, 30 s, dwelling time: 10 s, 500 cycles. Finally, the samples were sheared using Dartec testing machine and shear bond strength data were subjected to one-way ANOVA analysis and Tukey's HSD test.Results: There were statistically significant differences among groups 1 and 5-7. The samples in groups 1 and 4 demonstrated higher bond strengths than those in the other groups.Conclusion: Using phosphoric acid etching may be effective, only where contamination occurs prior to curing of the adhesive. After curing of the adhesive, none of the methods in this study would be preferred.

  12. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  13. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  14. Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin.

    Science.gov (United States)

    Naranjo, Jennifer; Ali, Mohsin; Belles, Donald

    2015-11-01

    Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin. With several self-adhesive resin cements currently available, there is confusion about which product and technique is optimal for bonding ceramic restorations to teeth. The objective of this study was to compare the shear bond strength of lithium disilicate cemented to enamel and dentin using 5 adhesive cements. 100 lithium disilicate rods were pretreated with 5% hydrofluoric acid, silane, and cemented to 50 enamel and 50 dentin surfaces using five test cements: Variolink II (etch-and-rinse) control group, Clearfil Esthetic (two step self-etch), RelyX Unicem, SpeedCEM, and BifixSE (self-adhesive). All specimens were stored (37 degrees C, 100% humidity) for 24 hours before testing their shear bond strength using a universal testing machine (Instron). Debonded surfaces were observed under a low-power microscope to assess the location and type of failure. The highest bond strength for both enamel and dentin were recorded for Variolink II, 15.1MPa and 20.4MPa respectively, and the lowest were recorded for BifixSE, 0.6MPa and 0.9MPa respectively. Generally, higher bond strengths were found for dentin (7.4MPa) than enamel (5.3MPa). Tukey's post hoc test showed no significant difference between Clearfil Esthetic and SpeedCem (p = 0.059), Unicem and SpeedCem (p = 0.88), and Unicem and BifixSE (p = 0.092). All cements bonded better to lithium disilicate than to enamel or dentin, as all bond failures occurred at the tooth/adhesive interface except for Variolink II. Bond strengths recorded for self-adhesive cements were very low compared to the control "etch and rinse" and self-etch systems. Further improvements are apparently needed in self-adhesive cements for them to replace multistep adhesive systems. The use of conventional etch and rinse cements such as Veriolink II should be preferred for cementing all ceramic restorations over self-adhesive cements

  15. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  16. Effect of nano Cu coating on porous Si prepared by acid etching Al-Si alloy powder

    International Nuclear Information System (INIS)

    Li, Chunli; Zhang, Ping; Jiang, Zhiyu

    2015-01-01

    As a promising anode material for lithium ion battery, nano-Cu coated porous Si powder was fabricated through two stages: first, preparation of porous nano Si fibers by acid-etching Al-Si alloy powder; second, modified by nano-Cu particles using an electroless plating method. The nano-Cu particles on the surface of nano-Si fibers, not only increase the conductivity of material, but also inhibit the fuse process between nano Si fibers during charge/discharge cycling process, resulting in increased cycling stability of the material. In 1 M LiPF 6 /EC: DMC (1:1) + 1.5 wt% VC solution at current density of 200 mA g −1 , the 150th discharge capacity of nano-Cu coated porous Si electrode was 1651 mAh g −1 with coulombic efficiency of 99%. As anode material for lithium ion battery, nano-Cu coated porous Si nano fiber material is easier to prepare, costs less, and produces higher performance, representing a promising approach for high energy lithium ion battery application

  17. Evaluation of human dental loss caused by carbamide peroxide bleacher compared with phosphoric acid conditioning - radioactive method

    International Nuclear Information System (INIS)

    Adachi, Eduardo Makoto; Yousseff, Michel Nicolau; Saiki, Mitiko

    2002-01-01

    The radiometric method was applied to the evaluation of dental loss caused by carbamide peroxide when it is applied on the surface layers of enamel and dentin tissues. Also the dental loss caused by the etching with 37% phosphoric acid procedure used in aesthetic restoration was assessed for comparison with those results obtained. The tooth samples irradiated with a P standard in a thermal neutron flux of the nuclear reactor were placed in contact with 10% carbamide peroxide or with 37% phosphoric acid solution. The radioactivity of 32 P transferred from the radioactive teeth to the bleaching gel or to etching acid was measured using a Geiger Muller detector to calculate the mass of P removed in this treatment and losses were calculated after obtaining their P concentrations. Results obtained indicated that enamel and dentin exposed to carbamide peroxide bleaching agent lose phosphorus. The extent of enamel loss was smaller than that obtained for dentin. In the case of acid etching, there was no difference between the results obtained for enamel and dentin loss. Also the dentin loss obtained after a treatment of 30 applications of 10% carbamide peroxide was the same magnitude of that one application of 37% phosphoric acid. (author)

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  20. Comparison of shear bond strength of universal adhesives on etched and nonetched enamel.

    Science.gov (United States)

    Beltrami, Riccardo; Chiesa, Marco; Scribante, Andrea; Allegretti, Jessica; Poggio, Claudio

    2016-04-06

    The purpose of this study was to evaluate the effect of surface pretreatment with 37% phosphoric acid on the enamel bond strength of different universal adhesives. One hundred and sixty bovine permanent mandibular incisors freshly extracted were used as a substitute for human teeth. The materials tested in this study included 6 universal adhesives, and 2 self-etch adhesives as control. The teeth were assigned into 2 groups: In the first group, etching was performed using 37% phosphoric acid for 30 seconds. In the second group, no pretreatment agent was applied. After adhesive application, a nanohybrid composite resin was inserted into the enamel surface by packing the material into cylindrical-shaped plastic matrices. After storing, the specimens were placed in a universal testing machine. The normality of the data was calculated using the Kolmogorov-Smirnov test. Analysis of variance (ANOVA) was applied to determine whether significant differences in debond strength values existed among the various groups. Groups with phosphoric acid pretreatment showed significantly higher shear bond strength values than groups with no enamel pretreatment (p<0.001). No significant variation in shear strength values was detected when comparing the different adhesive systems applied onto enamel after orthophosphoric acid application (p>0.05). All adhesives provide similar bond strength values when enamel pretreatment is applied even if compositions are different. Bond strength values are lower than promised by manufacturers.

  1. Analysis of molecular species of triacylglycerols from vegetable oils containing fatty acids with non-methylene-interrupted double bonds, by HPLC in the silver-ion mode

    Energy Technology Data Exchange (ETDEWEB)

    Joh, Y.; Kim, S. [Dong A Univ., Pusan (Korea, Republic of)

    1998-10-20

    The possibilities for application of silver ion HPLC to analysis of the triacylglycerols containing conjugate trienoic acids and {Delta}{sup 5}-polymethylene-interrupted acids and proportions of triacylglycerol fractions obtained by silver-ion HPLC from the seed oil of Momordica charantia double bonds were examined, respectively. The triacylglycerols of seed oils containing conjugate trienoic acids such as {alpha}-eleostearic acid (C{sub 18:3 9c,11t,13t}) and punicic acid (C{sub 18:3} {sub 9c,11t,13c}) were resolved by silver-ion HPLC. Fractions were fractionated on the basis of the number and configuration of double bonds in the species, and the elution profile is quite different from that of the species comprising exclusively saturated and unsaturated fatty acids with methylene-interrupted double bonds ; for instance, the species (DT(c2)) composed of one dienoic acid and two conjugate trienoic acids eluted much earlier than the species (D{sub 2}T{sub c}) composed of two dienoic acids and one conjugate trienoic acid, in spite of having larger number of double bonds. This means that the interaction of conjugate double bonds with silver ions is weaker than that of methylene-interrupted double bonds, presumably because of the delocalization of {pi}-electrons in conjugate double bonds. In this instance, the strength of interaction of a conjugate trienoic double bond system with silver ions seemed to be between that of methylene-interrupted dienoic and monoenoic double bond systems. Triacylglycerols of the seeds of Ginkgo biloba have been resolved by HPLC in the silver-ion mode according to the number and position of double bonds. In this instance, the strength of interaction between the {pi}-electrons of double bonds in the fatty acyl residues and silver ions is in the order; C{sub 18:3{omega}3}>C(20:3){Delta}{sup 5,11,14}C{sub 18:3}{Delta}{sup 5,9,12}>= C{sub 18:2{omega}6}>C{sub 18:2}{Delta}{sup 5,9}>C{sub 18:1{omega}9}>C{sub 18:1ome= ga7}. 49 refs., 2 figs., 2 tabs.

  2. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  3. Synthesis and selective IR absorption properties of iminodiacetic-acid intercalated MgAl-layered double hydroxide

    International Nuclear Information System (INIS)

    Wang Lijing; Xu Xiangyu; Evans, David G.; Duan Xue; Li Dianqing

    2010-01-01

    An MgAl-NO 3 -layered double hydroxide (LDH) precursor has been prepared by a method involving separate nucleation and aging steps (SNAS). Reaction with iminodiacetic acid (IDA) under weakly acidic conditions led to the replacement of the interlayer nitrate anions by iminodiacetic acid anions. The product was characterized by XRD, FT-IR, TG-DTA, ICP, elemental analysis and SEM. The results show that the original interlayer nitrate anions of LDHs precursor were replaced by iminodiacetic acid anions and that the resulting intercalation product MgAl-IDA-LDH has an ordered crystalline structure. MgAl-IDA-LDH was mixed with low density polyethylene (LDPE) using a masterbatch method. LDPE films filled with MgAl-IDA-LDH showed a higher mid to far infrared absorption than films filled with MgAl-CO 3 -LDH in the 7-25 μm range, particularly in the key 9-11 μm range required for application in agricultural plastic films. - Graphical abstract: Intercalation of iminodiacetic acid (IDA) anions in a MgAl-NO 3 -layered double hydroxide host leads to an enhancement of its infrared absorbing ability for application in agricultural plastic films.

  4. EFFECT OF AN ADDITIONAL HYDROPHILIC VERSUS HYDROPHOBIC COAT ON THE QUALITY OF DENTINAL SEALING PROVIDED BY TWO-STEP ETCH-AND-RINSE ADHESIVES

    Science.gov (United States)

    Silva, Safira Marques de Andrade; Carrilho, Marcela Rocha de Oliveira; Marquezini, Luiz; Garcia, Fernanda Cristina Pimentel; Manso, Adriana Pigozzo; Alves, Marcelo Corrêa; de Carvalho, Ricardo Marins

    2009-01-01

    Objective: To test the hypothesis that the quality of the dentinal sealing provided by two-step etch-and-rinse adhesives cannot be altered by the addition of an extra layer of the respective adhesive or the application of a more hydrophobic, non-solvated resin. Material and Methods: full-crown preparations were acid-etched with phosphoric acid for 15 s and bonded with Adper Single Bond (3M ESPE), Excite DSC (Ivoclar/Vivadent) or Prime & Bond NT (Dentsply). The adhesives were used according to the manufacturers' instructions (control groups) or after application to dentin they were a) covered with an extra coat of each respective system or b) coated with a non-solvated bonding agent (Adper Scotchbond Multi-Purpose Adhesive, 3M ESPE). Fluid flow rate was measured before and after dentin surfaces were acid-etched and bonded with adhesives. Results: None of the adhesives or experimental treatments was capable to block completely the fluid transudation across the treated dentin. Application of an extra coat of the adhesive did not reduce the fluid flow rate of adhesive-bonded dentin (p>0.05). Conversely, the application of a more hydrophobic non-solvated resin resulted in significant reductions in the fluid flow rate (padhesives. Conclusions: The quality of the dentinal sealing provided by etch-and-rinse adhesives can be significantly improved by the application of a more hydrophobic, non-solvated bonding agent. PMID:19466248

  5. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    Science.gov (United States)

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  6. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  7. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  8. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  9. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  10. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  11. Track etch parameters and annealing kinetics assessment of protons of low energy in CR-39 detector

    International Nuclear Information System (INIS)

    Jain, R.K.; Kumar, Ashok; Singh, B.K.

    2012-01-01

    Highlights: ► We calibrate CR-39 detector with very low energy protons. ► We establish linear relationship between track diameter and time/energy up to 200 keV. ► We determine activation energy of annealing using different models. ► We justify concept of single annealing activation energy in CR-39. - Abstract: In this paper threshold of the registration sensitivity of very low energy proton in CR-39 is investigated. Irradiation of CR-39 (poly-allyl-diglycol carbonate) was carried out with very low energy mono energetic protons of 20–60 keV from a mini proton accelerator. Nearly 10 4 /cm 2 fluence of protons was used. The variation of track diameter with etching time as well as proton energy response curve was carefully calibrated. The bulk and track etch rates were measured by using proton track diameters. Bulk etch rate was also measured by the thickness of removed surface layer. The thermal annealing of proton track at temperatures ranging from 100 to 200 °C in CR-39 was studied by several models. Activation energy of annealed CR-39 detectors was calculated by slope of track etch rate and temperature plot. The data of proton tracks of 200, 250 and 300 keV from 400 kV Van-de-Graaff accelerator was also used and compared with the track diameters of different energies of proton.

  12. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  13. Microleakage assessment of one- and two-step self-etch adhesive systems with ‎the low shrinkage composites

    Directory of Open Access Journals (Sweden)

    Razieh Hoseinifar

    2015-07-01

    Full Text Available BACKGROUND AND AIM: Different studies evaluating one-step self-etch (SE adhesive systems show contradictory findings, so the aim of this study was to compare the microleakage of one-step SE adhesive systems and CLEARFIL SE BOND (that serves as the “gold-standard” SE adhesive with low shrinkage composites. METHODS: In this in vitro study, Class V cavities with the occlusal margin in enamel and cervical margin in cementum were prepared on the buccal and lingual surfaces of 36 human premolars and molars (72 cavities. The enamel surfaces of the cavities were etched with 37% phosphoric acid and then the specimens were divided into six groups of 6 (12 cavities and the cavities were restored according bellow: Group 1 (Kalore-GC + G-Bond, Group 2 (Grandio + Futurabond NR, Group 3 (Aelite LS Posterior + All Bond SE, Group 4 (Kalore-GC + CLEARFIL SE BOND, Group 5 (Grandio + CLEARFIL SE BOND, and Group 6 (Aelite LS Posterior + CLEARFIL SE BOND. All the specimens were thermocycled for 2000 cycles (5-55 °C and then placed in 0.5% basic fuchsine dye for 24 hours at 37 °C and finally sectioned and observed under the stereomicroscope. Data were analyzed using Kruskal-Wallis, Mann-Whitney, and Wilcoxon tests at a P 0.050. There were no significant differences in microleakage among two-step and one-step SE adhesive systems on both the occlusal and gingival margins. CONCLUSION: According to this study, two-step SE adhesive system (CLEARFIL SE BOND did not provide better marginal seal than the one-step SE adhesive systems.

  14. Unveiling of novel regio-selective fatty acid double bond hydratases from Lactobacillus acidophilus involved in the selective oxyfunctionalization of mono- and di-hydroxy fatty acids.

    Science.gov (United States)

    Kim, Kyoung-Rok; Oh, Hye-Jin; Park, Chul-Soon; Hong, Seung-Hye; Park, Ji-Young; Oh, Deok-Kun

    2015-11-01

    The aim of this study is the first time demonstration of cis-12 regio-selective linoleate double-bond hydratase. Hydroxylation of fatty acids, abundant feedstock in nature, is an emerging alternative route for many petroleum replaceable products thorough hydroxy fatty acids, carboxylic acids, and lactones. However, chemical route for selective hydroxylation is still quite challenging owing to low selectivity and many environmental concerns. Hydroxylation of fatty acids by hydroxy fatty acid forming enzymes is an important route for selective biocatalytic oxyfunctionalization of fatty acids. Therefore, novel fatty acid hydroxylation enzymes should be discovered. The two hydratase genes of Lactobacillus acidophilus were identified by genomic analysis, and the expressed two recombinant hydratases were identified as cis-9 and cis-12 double-bond selective linoleate hydratases by in vitro functional validation, including the identification of products and the determination of regio-selectivity, substrate specificity, and kinetic parameters. The two different linoleate hydratases were the involved enzymes in the 10,13-dihydroxyoctadecanoic acid biosynthesis. Linoleate 13-hydratase (LHT-13) selectively converted 10 mM linoleic acid to 13S-hydroxy-9(Z)-octadecenoic acid with high titer (8.1 mM) and yield (81%). Our study will expand knowledge for microbial fatty acid-hydroxylation enzymes and facilitate the designed production of the regio-selective hydroxy fatty acids for useful chemicals from polyunsaturated fatty acid feedstocks. © 2015 Wiley Periodicals, Inc.

  15. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  16. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  17. Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approach

    Science.gov (United States)

    Li, Jie; Liu, Zhuan; Rabello, Silvio; Dasari, Prasad; Kritsun, Oleg; Volkman, Catherine; Park, Jungchul; Singh, Lovejeet

    2009-03-01

    As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT) has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error associated with double patterning [1]. In this method, registration errors for double patterning were extracted from specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2]. In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good correlation is obtained between the results from this method and that of several reference techniques, including empirical multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay metrology requirement in DPT.

  18. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  19. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  20. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  1. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    Science.gov (United States)

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  2. Synthesis of condensed double cesium manganese phosphates in melts of polyphosphoric acids

    International Nuclear Information System (INIS)

    Guzeeva, L.S.; Tananaev, I.V.

    1988-01-01

    This work is a continuation of investigations to establish the composition and formation conditions of condensed double cesium manganese phosphates and obtain x-ray and other characteristics of the compounds isolated. We studied cross sections of Cs 2 O-MnO 2 -P 2 O 5 -H 2 O systems at 150-400 degree C and three initial P : Mn ratios - 5, 7.5, and 10 - and at a constant P : Mn ratio of 15. The reaction of manganese and cesium oxides with melts of polyphosphoric acids at 150-400 degree C leads to the separation of three types of trivalent manganese and cesium condensed double phosphates - Cs 2 MnH 3 (P 2 O 7 ) 2 , triphosphate CsMnHP 3 O 10 , and cyclohexaphosphate Cs 3 Mn 3 -(P 6 O 18 ) 2 - in addition to a divalent manganese double cyclotriphosphate CsMnP 3 O 9 . The compounds separated were characterized by chemical, x-ray phase analysis, IR spectroscopic, and thermogravimetric methods

  3. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  4. Double thermal transitions of type I collagen in acidic solution.

    Science.gov (United States)

    Liu, Yan; Liu, Lingrong; Chen, Mingmao; Zhang, Qiqing

    2013-01-01

    Contributed equally to this work. To further understand the origin of the double thermal transitions of collagen in acidic solution induced by heating, the denaturation of acidic soluble collagen was investigated by micro-differential scanning calorimeter (micro-DSC), circular dichroism (CD), dynamic laser light scattering (DLLS), transmission electron microscopy (TEM), and two-dimensional (2D) synchronous fluorescence spectrum. Micro-DSC experiments revealed that the collagen exhibited double thermal transitions, which were located within 31-37 °C (minor thermal transition, T(s) ∼ 33 °C) and 37-55 °C (major thermal transition, T(m) ∼ 40 °C), respectively. The CD spectra suggested that the thermal denaturation of collagen resulted in transition from polyproline II type structure to unordered structure. The DLLS results showed that there were mainly two kinds of collagen fibrillar aggregates with different sizes in acidic solution and the larger fibrillar aggregates (T(p2) = 40 °C) had better heat resistance than the smaller one (T(p1) = 33 °C). TEM revealed that the depolymerization of collagen fibrils occurred and the periodic cross-striations of collagen gradually disappeared with increasing temperature. The 2D fluorescence correlation spectra were also applied to investigate the thermal responses of tyrosine and phenylalanine residues at the molecular level. Finally, we could draw the conclusion that (1) the minor thermal transition was mainly due to the defibrillation of the smaller collagen fibrillar aggregates and the unfolding of a little part of triple helices; (2) the major thermal transition primarily arose from the defibrillation of the larger collagen fibrillar aggregates and the complete denaturation of the majority part of triple helices.

  5. UV-assisted selective chemical etching of relief gratings in Er/Yb-codoped IOG1 phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, C; Pissadakis, S [Foundation for Research and Technology-Hellas, Institute of Electronic Structure and Laser, Vasilika Vouton, PO Box 1527, Heraklion 71 110, GREECE (Greece)

    2007-04-15

    The patterning of sub-micron periodicity Bragg reflectors in Er/Yb-codoped IOG1, phosphate glass is demonstrated. A high yield patterning technique is presented, wherein high volume damage is induced into the glass matrix by exposure to intense UV radiation, and subsequently a chemical development in a strong acid selectively etches the exposed areas. The grating reflectors were fabricated by employing an elliptical Talbot interferometer and the output of a 213nm, 150ps frequency quintupled Nd:YAG laser. The grating depth of the etched relief pattern in time was measured at fixed time intervals and the dependence is presented in upon the etching time and exposure conditions. The gratings fabricated are examined by atomic and scanning electron microscopy for revealing the topology of the relief structure. Gratings with period of the order of 500nm were fabricated, having a maximum depth of 60nm.

  6. Detection of Ammonia-Oxidizing Bacteria (AOB) Using a Porous Silicon Optical Biosensor Based on a Multilayered Double Bragg Mirror Structure.

    Science.gov (United States)

    Zhang, Hongyan; Lv, Jie; Jia, Zhenhong

    2018-01-01

    We successfully demonstrate a porous silicon (PS) double Bragg mirror by electrochemical etching at room temperature as a deoxyribonucleic acid (DNA) label-free biosensor for detecting ammonia-oxidizing bacteria (AOB). Compared to various other one-dimension photonic crystal configurations of PS, the double Bragg mirror structure is quite easy to prepare and exhibits interesting optical properties. The width of high reflectivity stop band of the PS double Bragg mirror is about 761 nm with a sharp and deep resonance peak at 1328 nm in the reflectance spectrum, which gives a high sensitivity and distinguishability for sensing performance. The detection sensitivity of such a double Bragg mirror structure is illustrated through the investigation of AOB DNA hybridization in the PS pores. The redshifts of the reflectance spectra show a good linear relationship with both complete complementary and partial complementary DNA. The lowest detection limit for complete complementary DNA is 27.1 nM and the detection limit of the biosensor for partial complementary DNA is 35.0 nM, which provides the feasibility and effectiveness for the detection of AOB in a real environment. The PS double Bragg mirror structure is attractive for widespread biosensing applications and provides great potential for the development of optical applications.

  7. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  8. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  9. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  10. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  11. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  12. Characterization of the porosity of human dental enamel and shear bond strength in vitro after variable etch times: initial findings using the BET method.

    Science.gov (United States)

    Nguyen, Trang T; Miller, Arthur; Orellana, Maria F

    2011-07-01

    (1) To quantitatively characterize human enamel porosity and surface area in vitro before and after etching for variable etching times; and (2) to evaluate shear bond strength after variable etching times. Specifically, our goal was to identify the presence of any correlation between enamel porosity and shear bond strength. Pore surface area, pore volume, and pore size of enamel from extracted human teeth were analyzed by Brunauer-Emmett-Teller (BET) gas adsorption before and after etching for 15, 30, and 60 seconds with 37% phosphoric acid. Orthodontic brackets were bonded with Transbond to the samples with variable etch times and were subsequently applied to a single-plane lap shear testing system. Pore volume and surface area increased after etching for 15 and 30 seconds. At 60 seconds, this increase was less pronounced. On the contrary, pore size appears to decrease after etching. No correlation was found between variable etching times and shear strength. Samples etched for 15, 30, and 60 seconds all demonstrated clinically viable shear strength values. The BET adsorption method could be a valuable tool in enhancing our understanding of enamel characteristics. Our findings indicate that distinct quantitative changes in enamel pore architecture are evident after etching. Further testing with a larger sample size would have to be carried out for more definitive conclusions to be made.

  13. Effects of different etching methods and bonding procedures on shear bond strength of orthodontic metal brackets applied to different CAD/CAM ceramic materials.

    Science.gov (United States)

    Buyuk, S Kutalmış; Kucukekenci, Ahmet Serkan

    2018-03-01

    To investigate the shear bond strength (SBS) of orthodontic metal brackets applied to different types of ceramic surfaces treated with different etching procedures and bonding agents. Monolithic CAD/CAM ceramic specimens (N = 120; n = 40 each group) of feldspathic ceramic Vita Mark II, resin nanoceramic Lava Ultimate, and hybrid ceramic Vita Enamic were fabricated (14 × 12 × 3 mm). Ceramic specimens were separated into four subgroups (n = 10) according to type of surface treatment and bonding onto the ceramic surface. Within each group, four subgroups were prepared by phosphoric acid, hydrofluoric acid, Transbond XT primer, and Clearfill Ceramic primer. Mandibular central incisor metal brackets were bonded with light-cure composite. The SBS data were analyzed using three-way analysis of variance (ANOVA) and Tukey HSD tests. The highest SBS was found in the Vita Enamic group, which is a hybrid ceramic, etched with hydrofluoric acid and applied Transbond XT Adhesive primer (7.28 ± 2.49 MPa). The lowest SBS was found in the Lava Ultimate group, which is a resin nano-ceramic etched with hydrofluoric acid and applied Clearfill ceramic primer (2.20 ± 1.21 MPa). CAD/CAM material types and bonding procedures affected bond strength ( P .05). The use of Transbond XT as a primer bonding agent resulted in higher SBS.

  14. A New Approach to Amino Acid Racemization in Enamel: Testing of a Less Destructive Sampling Methodology.

    NARCIS (Netherlands)

    Griffin, R.C.; Moody, H.; Penkman, K.E.H.; Fagan, M.J.; Curtis, N.; Collins, M.J.

    2008-01-01

    Aspartic acid racemization has been found to be an accurate measure of age at death for recent forensic material. This paper examines the practicality of using acid etching of the tooth surface to extract amino acids from the enamel for racemization analysis. By serial etching of the tooth and

  15. Radon Measurements in Egypt using passive etched track detectors. A Review

    Energy Technology Data Exchange (ETDEWEB)

    Gomaa, M A [National Network of Radiation Physics. Atomic Energy Authority (Egypt); Hussein, A S [Radiation Protection Department, Nuclear Power Plants Authority, (Egypt); El-Arabi, A M [Physics Department, Faculty of Science, South Valley University, Qena, (Egypt)

    2005-04-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water.

  16. Radon Measurements in Egypt using passive etched track detectors. A Review

    International Nuclear Information System (INIS)

    Gomaa, M.A.; Hussein, A.S.; El-Arabi, A.M.

    2005-01-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water

  17. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  18. Optimization of folic acid nano-emulsification and encapsulation by maltodextrin-whey protein double emulsions.

    Science.gov (United States)

    Assadpour, Elham; Maghsoudlou, Yahya; Jafari, Seid-Mahdi; Ghorbani, Mohammad; Aalami, Mehran

    2016-05-01

    Due to susceptibility of folic acid like many other vitamins to environmental and processing conditions, it is necessary to protect it by highly efficient methods such as micro/nano-encapsulation. Our aim was to prepare and optimize real water in oil nano-emulsions containing folic acid by a low energy (spontaneous) emulsification technique so that the final product could be encapsulated within maltodextrin-whey protein double emulsions. A non ionic surfactant (Span 80) was used for making nano-emulsions at three dispersed phase/surfactant ratios of 0.2, 0.6, and 1.0. Folic acid content was 1.0, 2.0, and 3.0mg/mL of dispersed phase by a volume fraction of 5.0, 8.5, and 12%. The final optimum nano-emulsion formulation with 12% dispersed phase, a water to surfactant ratio of 0.9 and folic acid content of 3mg/mL in dispersed phase was encapsulated within maltodextrin-whey protein double emulsions. It was found that the emulsification time for preparing nano-emulsions was between 4 to 16 h based on formulation variables. Droplet size decreased at higher surfactant contents and final nano-emulsions had a droplet size<100 nm. Shear viscosity was higher for those formulations containing more surfactant. Our results revealed that spontaneous method could be used successfully for preparing stable W/O nano-emulsions containing folic acid. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Effect of etching time and resin bond on the flexural strength of IPS e.max Press glass ceramic.

    Science.gov (United States)

    Xiaoping, Luo; Dongfeng, Ren; Silikas, Nick

    2014-12-01

    To evaluate the effect of hydrofluoric acid (HFA) etching time and resin cement bond on the flexural strength of IPS e.max(®) Press glass ceramic. Two hundred and ten bars, 25mm×3mm×2mm, were made from IPS e.max(®) Press ingots through lost-wax, hot-pressed ceramic fabrication technology and randomly divided into five groups with forty-two per group after polishing. The ceramic surfaces of different groups were etched by 9.5% hydrofluoric acid gel for 0, 20, 40, 60 and 120s respectively. Two specimens of each group were selected randomly to examine the surface roughness and 3-dimensional topography with atomic force microscope (AFM), and microstructure was analyzed by the field emission scanning electron microscope (FE-SEM). Then each group were subdivided into two subgroups (n=20). One subgroup of this material was selected to receive a thin (approximately 0.1mm) layer of resin luting agent (Variolink N) whereas the other subgroup remained unaltered. Half of subgroup's specimens were thermocycled 10,000 times before a 3-point bending test in order to determine the flexural strength. Interface between resin cement and ceramic was examined with field emission scanning electronic microscope. Roughness values increased with increasing etching time. The mean flexural strength values of group 0s, 20s, 40s, 60s and 120s were 384±33, 347±43, 330±53, 327±67 and 317±41MPa respectively. Increasing HF etching times reduced the mean flexural strength (pglass ceramic, but resin cement bonding to appropriately etched surface would strengthen the dental ceramic. Copyright © 2014 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  20. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  1. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  2. Simple and robust near-infrared spectroscopic monitoring of indium-tin-oxide (ITO) etching solution using Teflon tubing

    International Nuclear Information System (INIS)

    Nah, Sanghee; Ryu, Kyungtag; Cho, Soohwa; Chung, Hoeil; Namkung, Hankyu

    2006-01-01

    The ability to monitor etching solutions using a spectroscopy directly through existing Teflon lines in electronic industries is highly beneficial and offers many advantages. A monitoring method was developed using near-infrared (NIR) measurements with Teflon tubing as a sample container for the quantification of components in the indium-tin-oxide (ITO) etching solution composed of hydrochloric acid (HCl), acetic acid (CH 3 COOH) and water. Measurements were reproducible and it was possible to use the same calibration model for different Teflon tubings. Even though partial least squares (PLS) calibration performance was slightly degraded for Teflon cells when compared to quartz cells of the similar pathlength, the calibration data correlated well with reference data. The robustness of Teflon-based NIR measurement was evaluated by predicting the spectra of 10 independent samples that were collected using five different Teflon tubes. Although, two Teflon tubes were visually less transparent than the other three, there was no significant variation in the standard error of predictions (SEPs) among the five Teflon tubes. Calibration accuracy was successfully maintained and highly repeatable prediction results were achieved. This study verifies that a Teflon-based NIR measurement is reliable for the monitoring of etching solutions and it can be successfully integrated into on-line process monitoring

  3. The difference of tensile bond strength between total and self etch adhesive systems in dentin

    Directory of Open Access Journals (Sweden)

    Selly Yusalina

    2010-03-01

    Full Text Available Total etch adhesive system has been widely used in teeth conservation area as an adhesive agent before implicating composite resin restoration agent. The aim of this research is to prove the difference of tensile bond strength between total etch (Single Bond and self etch adhesive system (Adper prompt L-Pop on dentin surface in vitro. The extracted and non carries maxillary premolar teeth were used in this research and were divided into 2 groups. The first group comprised 15 specimen teeth etched in phosphoric acid and was applicated with the Single Bond adhesive agent. The second group comprised 15 specimen teeth, applicated with the Adper Prompt-L-Pop. The composite resin (Z 350, 3M was applied incrementally and each of the layers was rayed for 20 seconds. The specimens were stored in physiologic solution before they were tested. Tensile bond strength was measured by LRX Plus Lloyd Instrument, with 1 N load and 1 mm/minute speed, and the measurement result was in Mpa unit. The result was evaluated statistically by the Student t-test with α = 0.05. Single Bond (the 5th generation showed a better bond strength compared to the Adper Prompt-L-Pop (the 6th generation.

  4. Hazard assessments of double-shell flammable gas tanks

    International Nuclear Information System (INIS)

    Fox, G.L.; Stepnewski, D.D.

    1994-01-01

    This report is the fourth in a series of hazard assessments performed on the double-shell flammable gas watch list tanks. This report focuses on hazards associated with the double-shell watch list tanks (101-AW, 103-AN, 104-AN, and 105-AN). While a similar assessment has already been performed for tank 103-SY, it is also included here to incorporate a more representative slurry gas mixture and provide a consistent basis for comparing results for all the flammable gas tanks. This report is intended to provide an in-depth assessment by considering the details of the gas release event and slurry gas mixing as the gas is released from the waste. The consequences of postulated gas ignition are evaluated using a plume burn model and updated ignition frequency predictions. Tank pressurization which results from a gas burn, along with the structural response, is also considered. The report is intended to support the safety basis for work activities in flammable gas tanks by showing margins to safety limits that are available in the design and procedures

  5. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  6. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  7. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  8. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  9. Nucleic Acid Analogue Induced Transcription of Double Stranded DNA

    DEFF Research Database (Denmark)

    1998-01-01

    RNA is transcribed from a double stranded DNA template by forming a complex by hybridizing to the template at a desired transcription initiation site one or more oligonucleic acid analogues of the PNA type capable of forming a transcription initiation site with the DNA and exposing the complex...... to the action of a DNA dependant RNA polymerase in the presence of nucleoside triphosphates. Equal length transcripts may be obtained by placing a block to transcription downstream from the initiation site or by cutting the template at such a selected location. The initiation site is formed by displacement...... of one strand of the DNA locally by the PNA hybridization....

  10. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  11. Comparative study of etched enamel and dentin for the adhesion of composite resins with the Er:YAG 2,94 μm laser and CO2 9,6 μm laser: morphological (SEM) and tensile bond strength analysis

    International Nuclear Information System (INIS)

    Marraccini, Tarso Mugnai

    2002-01-01

    The aim of this study was to evaluate and compare the tensile bond strength of a composite resin adhered to the enamel and dentin which have received superficial irradiation with an Er:YAG laser (2.94 μm) or with CO 2 laser ( 9.6 μm) and later on etched with the phosphoric acid at 35%. After the use of the adhesive system, resin cones were made on the etched surfaces by both lasers and tensile bond strength tests were performed. All samples were observed at the SEM - there was an increase of the degree of fusion and resolidification in the irradiated enamel and dentin samples with the CO 2 laser (9.6 μm), creating a vitrified layer with tiny craters. With the Er:YAG laser (2.94 μm) there were typical morphological explosive microablation with the exposition of the tubules in the dentin.The surface acquired by the association of the CO 2 laser ( 9.6 μm) plus acid etching no longer presented the aspect of fusion being this layer completely removed. There were statistical significant differences among ali three methods of etching in the treatment of the enamel and dentin surface. The tensile bond strength test showed that etching of these enamel and dentin surfaces with acid exclusively (control group) presented great values, surpassing the values of the etching acquired with the Er:YAG laser (2.94 μ) plus acid or the CO 2 laser (9.6 μm) plus acid. With the parameters used in this experiment the Er:YAG laser (2.94 μm) showed to be more effective than the CO 2 laser (9.6 μm) for the hard dental surfaces etching procedure. (author)

  12. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane

    2012-10-03

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  13. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane; Chaieb, Saharoui

    2012-01-01

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  14. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  15. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  16. Determination of Double Bond Positions in Polyunsaturated Fatty Acids Using the Photochemical Paternò-Büchi Reaction with Acetone and Tandem Mass Spectrometry.

    Science.gov (United States)

    Murphy, Robert C; Okuno, Toshiaki; Johnson, Christopher A; Barkley, Robert M

    2017-08-15

    The positions of double bonds along the carbon chain of methylene interrupted polyunsaturated fatty acids are unique identifiers of specific fatty acids derived from biochemical reactions that occur in cells. It is possible to obtain direct structural information as to these double bond positions using tandem mass spectrometry after collisional activation of the carboxylate anions of an acetone adduct at each of the double bond positions formed by the photochemical Paternò-Büchi reaction with acetone. This reaction can be carried out by exposing a small portion of an inline fused silica capillary to UV photons from a mercury vapor lamp as the sample is infused into the electrospray ion source of a mass spectrometer. Collisional activation of [M - H] - yields a series of reverse Paternò-Büchi reaction product ions that essentially are derived from cleavage of the original carbon-carbon double bonds that yield an isopropenyl carboxylate anion corresponding to each double bond location. Aldehydic reverse Paternò-Büchi product ions are much less abundant as the carbon chain length and number of double bonds increase. The use of a mixture of D 0 /D 6 -acetone facilitates identification of these double bonds indicating product ions as shown for arachidonic acid. If oxygen is present in the solvent stream undergoing UV photoactivation, ozone cleavage ions are also observed without prior collisional activation. This reaction was used to determine the double bond positions in a 20:3 fatty acid that accumulated in phospholipids of RAW 264.7 cells cultured for 3 days.

  17. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  18. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  19. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  20. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  1. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  2. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  3. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  4. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  5. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  6. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  7. In situ synthesized heteropoly acid/polyaniline/graphene nanocomposites to simultaneously boost both double layer- and pseudo-capacitance for supercapacitors.

    Science.gov (United States)

    Cui, Zhiming; Guo, Chun Xian; Yuan, Weiyong; Li, Chang Ming

    2012-10-05

    It is challenging to simultaneously increase double layer- and pseudo-capacitance for supercapacitors. Phosphomolybdic acid/polyaniline/graphene nanocomposites (PMo(12)-PANI/GS) were prepared by using PMo(12) as a bifunctional reagent for not only well dispersing graphene for high electrochemical double layer capacitance but also in situ chemically polymerizing aniline for high pseudocapacitance, resulting in a specific capacitance of 587 F g(-1), which is ~1.5 and 6 times higher than that of PANI/GS (392 F g(-1)) and GS (103 F g(-1)), respectively. The nanocomposites also exhibit good reversibility and stability. Other kinds of heteropolyacids such as molybdovanadophosphoric acids (PMo(12-x)V(x), x = 1, 2 and 3) were also used to prepare PMo(12-x)V(x)-PANI/GS nanocomposites, also showing enhanced double layer- and pseudo-capacitance. This further proves the proposed concept to simultaneously boost both double layer- and pseudo-capacitance and demonstrates that it could be a universal approach to significantly improve the capacitance for supercapacitors.

  8. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  9. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  10. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  11. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    Science.gov (United States)

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  13. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  14. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  15. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  16. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  17. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  18. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  19. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  20. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  1. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  2. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  3. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  4. Randomised clinical trial: relief of upper gastrointestinal symptoms by an acid pocket-targeting alginate-antacid (Gaviscon Double Action) - a double-blind, placebo-controlled, pilot study in gastro-oesophageal reflux disease.

    Science.gov (United States)

    Thomas, E; Wade, A; Crawford, G; Jenner, B; Levinson, N; Wilkinson, J

    2014-03-01

    The alginate-antacid, Gaviscon Double Action (Gaviscon DA; Reckitt Benckiser, Slough, UK) suppresses reflux after meals by creating a gel-like barrier that caps and displaces the acid pocket distal to the oesophago-gastric junction. The effect of Gaviscon DA on reflux and dyspepsia symptoms has not yet been demonstrated with a modern trial design. A pilot study to assess the efficacy and safety of Gaviscon DA compared with matched placebo for decreasing upper gastrointestinal symptoms in symptomatic gastro-oesophageal reflux disease (GERD) patients. A randomised, double-blind, parallel group study was performed in 110 patients with symptoms of GERD. Patients received Gaviscon DA or placebo tablets for 7 consecutive days. The primary endpoint compared the change in overall Reflux Disease Questionnaire (RDQ) symptom score (combined heartburn/regurgitation/dyspepsia). Secondary endpoints assessed individual dimensions, GERD dimension (heartburn and regurgitation) and overall treatment evaluation (OTE). There was a greater decrease in overall RDQ symptom score in the Gaviscon DA group compared with the placebo group (Least Squares Mean difference -0.55; P = 0.0033), and for each of the dimensions independently. Patients in the Gaviscon DA group evaluated their overall treatment response higher than patients in the placebo group [mean (standard deviation) OTE 4.1 (2.44) vs. 1.9 (3.34); P = 0.0005]. No differences in the incidence of adverse events were observed between treatment groups. Gaviscon DA decreases reflux and dyspeptic symptoms in GERD patients compared with matched placebo and has a favourable benefit-risk balance. Larger scale clinical investigations of medications targeting the acid pocket are warranted. (EudraCT, 2012-002188-84). © 2014 John Wiley & Sons Ltd.

  5. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  6. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  7. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  8. Velocity and processivity of helicase unwinding of double-stranded nucleic acids

    International Nuclear Information System (INIS)

    Betterton, M D; Juelicher, F

    2005-01-01

    Helicases are molecular motors which unwind double-stranded nucleic acids (dsNA) in cells. Many helicases move with directional bias on single-stranded (ss) nucleic acids, and couple their directional translocation to strand separation. A model of the coupling between translocation and unwinding uses an interaction potential to represent passive and active helicase mechanisms. A passive helicase must wait for thermal fluctuations to open dsNA base pairs before it can advance and inhibit NA closing. An active helicase directly destabilizes dsNA base pairs, accelerating the opening rate. Here we extend this model to include helicase unbinding from the nucleic-acid strand. The helicase processivity depends on the form of the interaction potential. A passive helicase has a mean attachment time which does not change between ss translocation and ds unwinding, while an active helicase in general shows a decrease in attachment time during unwinding relative to ss translocation. In addition, we describe how helicase unwinding velocity and processivity vary if the base-pair binding free energy is changed

  9. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  10. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  11. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  12. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  13. Assessing the potential of fatty acids produced by filamentous fungi as feedstock for biodiesel production.

    Science.gov (United States)

    Rivaldi, Juan Daniel; Carvalho, Ana Karine F; da Conceição, Leyvison Rafael V; de Castro, Heizir F

    2017-11-26

    Increased costs and limited availability of traditional lipid sources for biodiesel production encourage researchers to find more sustainable feedstock at low prices. Microbial lipid stands out as feedstock replacement for vegetable oil to convert fatty acid esters. In this study, the potential of three isolates of filamentous fungi (Mucor circinelloides URM 4140, M. hiemalis URM 4144, and Penicillium citrinum URM 4126) has been assessed as single-cell oil (SCO) producers. M. circinelloides 4140 had the highest biomass concentration with lipid accumulation of up to 28 wt% at 120 hr of cultivation. The profile of fatty acids revealed a high content of saturated (SFA) and monounsaturated fatty acids (MUFA), including palmitic (C16:0, 33.2-44.1 wt%) and oleic (C18:1, 20.7-31.2 wt%) acids, with the absence of polyunsaturated fatty acids (PUFA) having more than four double bonds. Furthermore, the predicted properties of biodiesel generated from synthesized SCOs have been estimated by using empirical models which were in accordance with the limits imposed by the USA (ASTM D6715), European Union (EN 14214), and Brazilian (ANP 45/2014) standards. These results suggest that the assessed filamentous fungus strains can be considered as alternative feedstock sources for high-quality biofuel production.

  14. Isothermal amplification detection of nucleic acids by a double-nicked beacon.

    Science.gov (United States)

    Shi, Chao; Zhou, Meiling; Pan, Mei; Zhong, Guilin; Ma, Cuiping

    2016-03-01

    Isothermal and rapid amplification detection of nucleic acids is an important technology in environmental monitoring, foodborne pathogen detection, and point-of-care clinical diagnostics. Here we have developed a novel method of isothermal signal amplification for single-stranded DNA (ssDNA) detection. The ssDNA target could be used as an initiator, coupled with a double-nicked molecular beacon, to originate amplification cycles, achieving cascade signal amplification. In addition, the method showed good specificity and strong anti-jamming capability. Overall, it is a one-pot and isothermal strand displacement amplification method without the requirement of a stepwise procedure, which greatly simplifies the experimental procedure and decreases the probability of contamination of samples. With its advantages, the method would be very useful to detect nucleic acids in point-of-care or field use. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  16. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  17. Investigation of surface roughness on etched glass surfaces

    International Nuclear Information System (INIS)

    Papa, Z.; Budai, J.; Farkas, B.; Toth, Z.

    2011-01-01

    Roughening the surface of solar cells is a common practice within the photovoltaic industry as it reduces reflectance, and thus enhances the performance of devices. In this work the relationship between reflectance characterized by the haze parameter, surface roughness and optical properties was investigated. To achieve this goal, model samples were prepared by hydrofluoric acid etching of glass for various times and measured by optical microscopy, spectroscopic ellipsometry, scanning electron microscopy, and atomic force microscopy. Our investigation showed that the surface reflectance was decreased not only by the roughening of the surface but also by the modification of the depth profile and lowering of the refractive index of the surface domain of the samples.

  18. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  19. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  20. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  4. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  5. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  6. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  7. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  8. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  9. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  10. Fabrication of a capacitive relative humidity sensor using aluminum thin films deposited on etched printed circuit board

    Directory of Open Access Journals (Sweden)

    Lee Jacqueline Ann L.

    2016-01-01

    Full Text Available A capacitive humidity-sensing device was created by thermal evaporation of 99.999% aluminum. The substrate used for the coating was etched double-sided printed circuit board. The etched printed circuit board serves as the dielectric of the capacitor while the aluminum thin films deposited on either side serve as the plates of the capacitor. The capacitance was measured before and after exposure to humidity. The device was then calibrated by comparing the readings of capacitance with that of the relative humidity sensor of the Vernier LabQuest2. It was found that there is a linear relationship between the capacitance and relative humidity given by the equation C=1.418RH+29.139 where C is the capacitance and RH is the relative humidity. The surface of the aluminum films is porous and it is through these pores that water is adsorbed and capillary condensation occurs, thereby causing the capacitance to change upon exposure to humidity.

  11. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  12. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  13. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  14. Influence of de/remineralization of enamel on the tensile bond strength of etch-and-rinse and self-etching adhesives.

    Science.gov (United States)

    Farias de Lacerda, Ana Julia; Ferreira Zanatta, Rayssa; Crispim, Bruna; Borges, Alessandra Bühler; Gomes Torres, Carlos Rocha; Tay, Franklin R; Pucci, Cesar Rogério

    2016-10-01

    To evaluate the bonding behavior of resin composite and different adhesives applied to demineralized or remineralized enamel. Bovine tooth crowns were polished to prepare a 5 mm2 enamel bonding area, and divided into five groups (n= 48) according to the surface treatment: CONT (sound enamel control), DEM (demineralized with acid to create white spot lesions), REMS (DEM remineralized with artificial saliva), REMF (DEM remineralized with sodium fluoride) and INF (DEM infiltrated with Icon resin infiltrant). The surface-treated teeth were divided into two subgroups (n= 24) according to adhesive type: ER (etch-and-rinse; Single Bond Universal) and SE (self-etching; Clearfill S3 Bond), and further subdivided into two categories (n= 12) according to aging process: Thermo (thermocycling) and NA (no aging). Composite blocks were made over bonded enamel and sectioned for microtensile bond strength (MTBS) testing. Data were analyzed with three-way ANOVA and post-hoc Tukey's test (α= 0.05). Significant differences were observed for enamel surface treatment (Padhesive type (PUniversal had higher MTBS than Clearfil S3 Bond; thermo-aging resulted in lower MTBS irrespective of adhesive type and surface treatment condition. The predominant failure mode was mixed for all groups. Enamel surface infiltrated with Icon does not interfere with adhesive resin bonding procedures. Treatment of enamel surface containing white spot lesions or cavities with cavosurface margins in partially-demineralized enamel can benefit from infiltration with a low viscosity resin infiltrant prior to adhesive bonding of resin composites.

  15. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  16. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  17. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  18. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  19. Double displacement: An improved bioorthogonal reaction strategy for templated nucleic acid detection.

    Science.gov (United States)

    Kleinbaum, Daniel J; Miller, Gregory P; Kool, Eric T

    2010-06-16

    Quenched autoligation probes have been employed previously in a target-templated nonenzymatic ligation strategy for detecting nucleic acids in cells by fluorescence. A common source of background signal in such probes is the undesired reaction with water and other cellular nucleophiles. Here, we describe a new class of self-ligating probes, double displacement (DD) probes, that rely on two displacement reactions to fully unquench a nearby fluorophore. Three potential double displacement architectures, all possessing two fluorescence quencher/leaving groups (dabsylate groups), were synthesized and evaluated for templated reaction with nucleophile (phosphorothioate) probes both in vitro and in intact bacterial cells. All three DD probe designs provided substantially better initial quenching than a single-Dabsyl control. In isothermal templated reactions in vitro, double displacement probes yielded considerably lower background signal than previous single displacement probes; investigation into the mechanism revealed that one dabsylate acts as a sacrificial leaving group, reacting nonspecifically with water, but yielding little signal because another quencher group remains. Templated reaction with the specific nucleophile probe is required to activate a signal. The double displacement probes provided a ca. 80-fold turn-on signal and yielded a 2-4-fold improvement in signal/background over single Dabsyl probes. The best-performing probe architecture was demonstrated in a two-color, FRET-based two-allele discrimination system in vitro and was shown to be capable of discriminating between two closely related species of bacteria differing by a single nucleotide at an rRNA target site.

  20. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  1. Double-shell tank waste transfer facilities integrity assessment plan

    International Nuclear Information System (INIS)

    Hundal, T.S.

    1998-01-01

    This document presents the integrity assessment plan for the existing double-shell tank waste transfer facilities system in the 200 East and 200 West Areas of Hanford Site. This plan identifies and proposes the integrity assessment elements and techniques to be performed for each facility. The integrity assessments of existing tank systems that stores or treats dangerous waste is required to be performed to be in compliance with the Washington State Department of Ecology Dangerous Waste Regulations, Washington Administrative Code WAC-173-303-640 requirements

  2. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  3. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  4. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  5. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  6. Integrated lasers in crystalline double tungstates with focused-ion-beam nanostructured photonic cavities

    International Nuclear Information System (INIS)

    Ay, F; Iñurrategui, I; Geskus, D; Aravazhi, S; Pollnau, M

    2011-01-01

    Deeply etched Bragg gratings were fabricated by focused ion beam (FIB) milling in KGd x Lu 1-x (WO 4 ) 2 :Yb 3+ to obtain photonic cavity structures. By optimizing parameters such as dose per area, dwell time and pixel resolution the redeposition effects were minimized and grating structures more than 4 μm in depth with an improved sidewall angle of ∼ 5° were achieved. Fabry-Perot microcavities were defined and used to assess the optical performance of the grating structures at ∼ 1530 nm. An on-chip integrated laser cavity at ∼ 980 nm was achieved by defining a FIB reflective grating and FIB polished waveguide end-facet. With this cavity, an on-chip integrated waveguide laser in crystalline potassium double tungstate was demonstrated

  7. Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

    Science.gov (United States)

    Constantoudis, Vassilios; Papavieros, George; Lorusso, Gian; Rutigliani, Vito; Van Roey, Frieda; Gogolides, Evangelos

    2018-03-01

    line correlation metrics in SAQP data. We find that the spacer etch steps reduce edge correlations while etch steps with pattern transfer increase these. Furthermore, the density doubling and quadrupling increase edge correlations as well as cross-line correlations.

  8. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  9. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  10. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  11. 241-AY Double Shell Tanks (DST) Integrity Assessment Report

    Energy Technology Data Exchange (ETDEWEB)

    JENSEN, C.E.

    1999-09-21

    This report presents the results of the integrity assessment of the 241-AY double-shell tank farm facility located in the 200 East Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations. are made to ensure the continued safe operation of the tanks.

  12. 241-AN Double Shell Tanks (DST) Integrity Assessment Report

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    1999-01-01

    This report presents the results of the integrity assessment of the 241-AN double-shell tank farm facility located in the 200 East Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations, are made to ensure the continued safe operation of the tanks

  13. 241-AY Double Shell Tanks (DST) Integrity Assessment Report

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    1999-01-01

    This report presents the results of the integrity assessment of the 241-AY double-shell tank farm facility located in the 200 East Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations, are made to ensure the continued safe operation of the tanks

  14. 241-SY Double Shell Tanks (DST) Integrity Assessment Report

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    1999-01-01

    This report presents the results of the integrity assessment of the 241-SY double-shell tank farm facility located in the 200 West Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations, are made to ensure the continued safe operation of the tanks

  15. 241-AZ Double-Shell Tanks (DST) Integrity Assessment Report

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    1999-01-01

    This report presents the results of the integrity assessment of the 241-A2 double-shell tank farm facility located in the 200 East Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations, are made to ensure the continued safe operation of the tanks

  16. 241-AW Double Shell Tanks (DST) Integrity Assessment Report

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    1999-01-01

    This report presents the results of the integrity assessment of the 241-AW double-shell tank farm facility located in the 200 East Area of the Hanford Site. The assessment included the design evaluation and integrity examinations of the tanks and concluded that the facility is adequately designed, is compatible with the waste, and is fit for use. Recommendations including subsequent examinations, are made to ensure the continued safe operation of the tanks

  17. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    Science.gov (United States)

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (puniversal adhesive.

  18. DOUBLE-SHELL TANK WASTE TRANSFER LINE ENCASEMENT INTEGRITY ASSESSMENT TECHNOLOGY STUDY

    International Nuclear Information System (INIS)

    BOWER, R.R.

    2006-01-01

    The report provides various alternative methods of performing integrity assessment inspections of buried Hanford Double Shell Tank waste transfer line encasements, and provides method recommendations as an alternative to costly encasement pneumatic leak testing. A schedule for future encasement integrity assessments is also included

  19. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  20. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  1. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  2. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  3. FY 1999 report on the results of the R and D of the substituting gas system and the substituting process of the etching gas used in the electronic device production process; 1999 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    As to the dry etching process and the wiring process where PFC gas and electric power are required most in the electronic device production process, an investigational study was conducted with the aim of PFC saving and energy saving, and the FY 1999 results were summed up. In the study, high efficiency etching process analysis equipment was developed, and three kinds of PFC gas quantitative analysis method were comparatively studied. Relating to the substitution of global environmental warming gas, it was found that C{sub x}F{sub y} type gas was effective which includes no oxygen, has a lot of carbon element numbers, and has double unsaturated bond. Further, in the study of the technology of PFC decomposition by plasma, it was indicated that PFC of 98.7% at maximum in exhaust gas could be removed on ideal conditions. In the dry etching technology by non-PFC gas of the organic insulating film, it was found out that NH{sub 3} base gas is more excellent in both shape and speed of etching than the existing O{sub 2} base one. As to the future wiring technology, new concepts of the optical wiring inside chip, etc. were proposed. (NEDO)

  4. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  5. Influence of Nd:YAG laser on the bond strength of self-etching and conventional adhesive systems to dental hard tissues.

    Science.gov (United States)

    Marimoto, A K; Cunha, L A; Yui, K C K; Huhtala, M F R L; Barcellos, D C; Prakki, A; Gonçalves, S E P

    2013-01-01

    The aim of this study was to investigate the influence of Nd:YAG laser on the shear bond strength to enamel and dentin of total and self-etch adhesives when the laser was applied over the adhesives, before they were photopolymerized, in an attempt to create a new bonding layer by dentin-adhesive melting. One-hundred twenty bovine incisors were ground to obtain flat surfaces. Specimens were divided into two substrate groups (n=60): substrate E (enamel) and substrate D (dentin). Each substrate group was subdivided into four groups (n=15), according to the surface treatment accomplished: X (Xeno III self-etching adhesive, control), XL (Xeno III + laser Nd:YAG irradiation at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental), S (acid etching + Single Bond conventional adhesive, Control), and SL (acid etching + Single Bond + laser Nd:YAG at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental). The bonding area was delimited with 3-mm-diameter adhesive tape for the bonding procedures. Cylinders of composite were fabricated on the bonding area using a Teflon matrix. The teeth were stored in water at 37°C/48 h and submitted to shear testing at a crosshead speed of 0.5 mm/min in a universal testing machine. Results were analyzed with three-way analysis of variance (ANOVA; substrate, adhesive, and treatment) and Tukey tests (α=0.05). ANOVA revealed significant differences for the substrate, adhesive system, and type of treatment: lased or unlased (penamel groups were X=20.2 ± 5.61, XL=23.6 ± 4.92, S=20.8 ± 4.55, SL=22.1 ± 5.14 and for the dentin groups were X=14.1 ± 7.51, XL=22.2 ± 6.45, S=11.2 ± 5.77, SL=15.9 ± 3.61. For dentin, Xeno III self-etch adhesive showed significantly higher shear bond strength compared with Single Bond total-etch adhesive; Nd:YAG laser irradiation showed significantly higher shear bond strength compared with control (unlased). Nd:YAG laser application prior to photopolymerization of adhesive systems

  6. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  7. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  8. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  9. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  10. Electrochemically etched nanoporous silicon membrane for separation of biological molecules in mixture

    Science.gov (United States)

    Burham, Norhafizah; Azlan Hamzah, Azrul; Yunas, Jumril; Yeop Majlis, Burhanuddin

    2017-07-01

    This paper presents a technique for separating biological molecules in mixture using nanoporous silicon membrane. Nanopores were formed using electrochemical etching process (ECE) by etching a prefabricated silicon membrane in hydrofluoric acid (HF) and ethanol, and then directly bonding it with PDMS to form a complete filtration system for separating biological molecules. Tygon S3™ tubings were used as fluid interconnection between PDMS molds and silicon membrane during testing. Electrochemical etching parameters were manipulated to control pore structure and size. In this work, nanopores with sizes of less than 50 nm, embedded on top of columnar structures have been fabricated using high current densities and variable HF concentrations. Zinc oxide was diluted with deionized (DI) water and mixed with biological molecules and non-biological particles, namely protein standard, serum albumin and sodium chloride. Zinc oxide particles were trapped on the nanoporous silicon surface, while biological molecules of sizes up to 12 nm penetrated the nanoporous silicon membrane. The filtered particles were inspected using a Zetasizer Nano SP for particle size measurement and count. The Zetasizer Nano SP results revealed that more than 95% of the biological molecules in the mixture were filtered out by the nanoporous silicon membrane. The nanoporous silicon membrane fabricated in this work is integratable into bio-MEMS and Lab-on-Chip components to separate two or more types of biomolecules at once. The membrane is especially useful for the development of artificial kidney.

  11. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    Science.gov (United States)

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  12. Bio-inspired nanobowl/nanoball structures fabricated via solvent etching/swelling on nanosphere assembly patterns

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wan-Yi; Liu, Pang-Hsin; Wu, You [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Chung, Yi-Chang, E-mail: ycchung@nuk.edu.tw [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    Ordered self-assembled nanopatterns have attracted much attention for their ability to mimic moth-eye structures and display unique optical properties. In the study, emulsifier-free emulsion polymerization was performed to prepare polystyrene nanospheres with uniform size distribution. Various hydrophilic monomers were added to copolymerize with styrene, including 2-hydroxyethyl methacrylate, acrylic acid, and methyl acrylic acid, respectively, to enhance the self-assembling ability of nanospheres. The nanosphere suspension was injected into an air–water interface to self-assemble a nanosphere array, and then the resulting photonic crystal film was deposited on a substrate using a scooping transfer technique. The layer-by-layer scooping transfer technique can be applied to produce 2D and 3D assembled nanosphere layers on an area as large as a 4-inch wafer. The pattern of the 2D nanosphere array was attached to a UV-curable precursor surface and then encapsulated and transferred to the crosslinked resin after UV irradiation. The sample was then immersed into some solvents which could partially swell the resin surface to produce nanoball structures or etch the surface to generate nanobowl structures. The size of the as-prepared polystyrene spheres was about 360 nm, while the feature size of the nanoballs was about 230 nm after undergoing acetonitrile swelling. The facile and inexpensive technique can be applied to produce ordered nanoball patterns for various applications, such as optical coatings, superhydrophobic coatings, biophotosensors, antireflection films, dry adhesives, and so on. - Highlights: • We prepared core-shell PS nanosphere suspensions with narrow-size-distribution. • We employed a scooping technique to fabricate large-area nanosphere monolayers. • Swelling by acetonitrile formed nanoballs on a UV resin/nanosphere laminated layer. • Etching by toluene produced nanobowl on the UV resin/nanosphere laminated layer. • The parted nanoball

  13. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  14. Ethanol Wet-bonding Technique Sensitivity Assessed by AFM

    OpenAIRE

    Osorio, E.; Toledano, M.; Aguilera, F.S.; Tay, F.R.; Osorio, R.

    2010-01-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed...

  15. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  16. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  17. Effect of Uric Acid-Lowering Agents on Endothelial Function: A Randomized, Double-Blind, Placebo-Controlled Trial.

    Science.gov (United States)

    Borgi, Lea; McMullan, Ciaran; Wohlhueter, Ann; Curhan, Gary C; Fisher, Naomi D; Forman, John P

    2017-02-01

    Higher levels of serum uric acid are independently associated with endothelial dysfunction, a mechanism for incident hypertension. Overweight/obese individuals are more prone to endothelial dysfunction than their lean counterparts. However, the effect of lowering serum uric acid on endothelial dysfunction in these individuals has not been examined thoroughly. In this randomized, double-blind, placebo-controlled trial of nonhypertensive, overweight, or obese individuals with higher serum uric acid (body mass index ≥25 kg/m 2 and serum uric acid ≥5.0 mg/dL), we assigned subjects to probenecid (500-1000 mg/d), allopurinol (300-600 mg/d), or matching placebo. The primary outcome was endothelium-dependent vasodilation measured by brachial artery ultrasound at baseline and 8 weeks. By the end of the trial, 47, 49, and 53 participants had been allocated to receive probenecid, allopurinol, and placebo, respectively. Mean serum uric acid levels significantly decreased in the probenecid (from 6.1 to 3.5 mg/dL) and allopurinol groups (from 6.1 to 2.9 mg/dL) but not in the placebo group (6.1 to 5.6 mg/dL). None of the interventions produced any significant change in endothelium-dependent vasodilation (probenecid, 7.4±5.1% at baseline and 8.3±5.1% at 8 weeks; allopurinol, 7.6±6.0% at baseline and 6.2±4.8% at 8 weeks; and placebo, 6.5±3.8% at baseline and 7.1±4.9% at 8 weeks). In this randomized, double-blind, placebo-controlled trial, uric acid lowering did not affect endothelial function in overweight or obese nonhypertensive individuals. These data do not support the hypothesis that uric acid is causally related to endothelial dysfunction, a potential mechanism for development of hypertension. © 2016 American Heart Association, Inc.

  18. Fabrication of biconical tapered optical fibers using hydrofluoric acid

    International Nuclear Information System (INIS)

    Haddock, Hong S.; Shankar, P.M.; Mutharasan, R.

    2003-01-01

    An easy to implement procedure for etching silica fibers in biconical form useful in sensing applications is described. A simple etching reactor was developed to obtain reproducible tapers of desired diameter and length. An approach for on-line monitoring of etching using a commonly used fluorometer is demonstrated. A mathematical model describing the light power transmission is proposed, and is validated using experimental data. The data and the model indicate that the diameter of the silica fiber decreases linearly with time with hydrofluoric acid (HF, 49.5% w/w) used as etchant at room temperature. The observed etching rate was 0.0023±0.00019 s -1 , which was repeatable using the procedure developed in this study. Method to arrest etching and subsequent preservation of the small diameter taper in mildly alkaline solution was found to be successful

  19. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  20. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  1. A multicentre, double-masked, randomized, controlled trial assessing the effect of oral supplementation of omega-3 and omega-6 fatty acids on a conjunctival inflammatory marker in dry eye patients.

    Science.gov (United States)

    Brignole-Baudouin, Françoise; Baudouin, Christophe; Aragona, Pasquale; Rolando, Maurizio; Labetoulle, Marc; Pisella, Pierre Jean; Barabino, Stefano; Siou-Mermet, Raphaele; Creuzot-Garcher, Catherine

    2011-11-01

    To determine whether oral supplementation with omega-3 and omega-6 fatty acids can reduce conjunctival epithelium expression of the inflammatory marker human leucocyte antigen-DR (HLA-DR) in patients with dry eye syndrome (DES). This 3-month, double-masked, parallel-group, controlled study was conducted in nine centres, in France and Italy. Eligible adult patients with mild to moderate DES were randomized to receive a placebo containing medium-chain triglycerides or treatment supplement containing omega-3 and omega-6 fatty acids, vitamins and zinc. Treatment regimen was three capsules daily. Impression cytology (IC) was performed at baseline and at month 3 to assess the percentage of cells expressing HLA-DR and to evaluate fluorescence intensity, an alternate measure of HLA-DR. Dry eye symptoms and objective signs were also evaluated. Analyses were performed on the full analysis set (FAS) and per-protocol set (PPS). In total, 138 patients were randomized; 121 patients with available IC were included in the FAS, and of these, 106 patients had no major protocol deviations (PPS). In the PPS, there was a significant reduction in the percentage of HLA-DR-positive cells in the fatty acids group (p = 0.021). Expression of HLA-DR as measured by fluorescence intensity quantification was also significantly reduced in the fatty acids group [FAS (p = 0.041); PPS (p = 0.017)]. No significant difference was found for the signs and symptoms, but there was a tendency for improvement in patients receiving the fatty acids treatment. This study demonstrates that supplementation with omega-3 and omega-6 fatty acids can reduce expression of HLA-DR conjunctival inflammatory marker and may help improve DES symptoms. © 2011 The Authors. Acta Ophthalmologica © 2011 Acta Ophthalmologica Scandinavica Foundation.

  2. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  3. Influence of microhybrid resin and etching times on bleached enamel for the bonding of ceramic brackets

    Directory of Open Access Journals (Sweden)

    Leily Macedo Firoozmand

    2013-04-01

    Full Text Available The aim of this study was to evaluate the shear bond strength (SBS of polycrystalline ceramic brackets (PCB bonded after bleaching treatment using different composite resins and enamel etching times. A total of 144 bovine incisors were randomly divided into two study groups (n = 72, each as follows: G1, enamel bleached with 35% hydrogen peroxide, and G2 (control group, enamel unbleached. After the bleaching treatment, the samples were stored in artificial saliva for 14 days. These groups were further divided into two subgroups (n = 36, each as follows: GA, brackets bonded with Transbond XT (3M and GB, brackets bonded with Filtek Z250 (3M. For each resin used, three different etching times with 37% phosphoric acid (15, 30 and 60 seconds were tested. SBS tests were performed using a universal testing machine (EMIC, and the adhesive remnant index (ARI score was verified. Significant differences among the three experimental conditions and interactions between the groups were observed. The type of composite resin accounted for 24% of the influence on the bond strength, whereas the etching time and bleaching treatment accounted for 14.5% and 10% of the influence on bond strength, respectively. The ARI revealed that the most common area of adhesion failure was at the composite resin-bracket interface. The type of composite resin, etching time and external bleaching significantly influenced the SBS of PCB on enamel, even after 14 days of saliva storage.

  4. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  5. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  6. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  7. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  8. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  9. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  10. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  11. Bone Response to Two Dental Implants with Different Sandblasted/Acid-Etched Implant Surfaces: A Histological and Histomorphometrical Study in Rabbits

    Directory of Open Access Journals (Sweden)

    Antonio Scarano

    2017-01-01

    Full Text Available Background. Scientific evidence in the field of implant dentistry of the past 20 years established that titanium rough surfaces have shown improved osseointegration rates. In a majority of dental implants, the surface microroughness was obtained by grit blasting and/or acid etching. The aim of the study was to evaluate in vivo two different highly hydrophilic surfaces at different experimental times. Methods. Calcium-modified (CA and SLActive surfaces were evaluated and a total of 18 implants for each type of surface were positioned into the rabbit articular femoral knee-joint in a split model experiment, and they were evaluated histologically and histomorphometrically at 15, 30, and 60 days of healing. Results. Bone-implant contact (BIC at the two-implant surfaces was significantly different in favor of the CA surface at 15 days (p=0.027, while SLActive displayed not significantly higher values at 30 (p=0.51 and 60 days (p=0.061. Conclusion. Both implant surfaces show an intimate interaction with newly formed bone.

  12. Radiation induced deposition of copper nanoparticles inside the nanochannels of poly(acrylic acid)-grafted poly(ethylene terephthalate) track-etched membranes

    Science.gov (United States)

    Korolkov, Ilya V.; Güven, Olgun; Mashentseva, Anastassiya A.; Atıcı, Ayse Bakar; Gorin, Yevgeniy G.; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2017-01-01

    Poly(ethylene terephthalate) PET, track-etched membranes (TeMs) with 400 nm average pore size were UV-grafted with poly(acrylic acid) (PAA) after oxidation of inner surfaces by H2O2/UV system. Carboxylate groups of grafted PAA chains were easily complexed with Cu2+ ions in aqueous solutions. These ions were converted into metallic copper nanoparticles (NPs) by radiation-induced reduction of copper ions in aqueous-alcohol solution by gamma rays in the dose range of 46-250 kGy. Copper ions chelating with -COOH groups of PAA chains grafted on PET TeMs form polymer-metal ion complex that prevent the formation of agglomerates during reduction of copper ions to metallic nanoparticles. The detailed analysis by X-Ray diffraction technique (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX) confirmed the deposition of copper nanoparticles with the average size of 70 nm on the inner surface of nanochannels of PET TeMs. Samples were also investigated by FTIR, ESR spectroscopies to follow copper ion reduction.

  13. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  14. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  15. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  16. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  17. A Novel Method for Fabricating Double Layers Porous Anodic Alumina in Phosphoric/Oxalic Acid Solution and Oxalic Acid Solution

    Directory of Open Access Journals (Sweden)

    Yanfang Xu

    2016-01-01

    Full Text Available A novel method for fabricating ordered double layers porous anodic alumina (DL-PAA with controllable nanopore size was presented. Highly ordered large pore layer with interpore distance of 480 nm was fabricated in phosphoric acid solution with oxalic acid addition at the potential of 195 V and the small pore layer was fabricated in oxalic acid solution at the potential from 60 to 100 V. Experimental results show that the thickness of large pore layer is linearly correlative with anodizing time, and pore diameter is linearly correlative with pore widening time. When the anodizing potential in oxalic acid solution was adjusted from 60 to 100 V, the small pore layers with continuously tunable interpore distance from 142 to 241 nm and pore density from 1.94×109 to 4.89×109 cm−2 were obtained. And the interpore distance and the pore density of small pore layers are closely correlative with the anodizing potential. The fabricated DL-PAA templates can be widely utilized for fabrication of ordered nanomaterials, such as superhydrophobic or gecko-inspired adhesive materials and metal or semiconductor nanowires.

  18. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  19. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  20. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  1. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  2. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  3. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  4. Double-shell tank waste system assessment status and schedule

    International Nuclear Information System (INIS)

    Walter, E.J.

    1995-01-01

    The integrated program for completing the integrity assessments of the dangerous waste tank systems managed by the Tank Waste Remediation System (TWRS) Division of Westinghouse Hanford Company is presented in the Tank Waste Remediation System Tank System Integrity Assessments Program Plan, WHC-SD-AP017, Rev. 1. The program plan identified the assessment requirements and the general scope to which these requirements applied. Some of these assessment requirements have been met and others are either in process of completion or scheduled to be worked. To define the boundary of the double-shell tank (DST) system and the boundaries of the DST system components (or system parts) for the purpose of performing integrity assessment activities; To identify the planned activities to meet the assessment requirements for each component; Provide the status of the assessment activities; and Project a five year assessment activity schedule

  5. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  6. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  7. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  8. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  9. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  10. Comparative study of the shear bond strength of composite resin bonded to enamel treated with acid etchant and erbium, chromium: Yttrium, scandium, gallium, garnet laser

    Directory of Open Access Journals (Sweden)

    Adel Sulaiman Alagl

    2016-01-01

    Full Text Available Aim: The purpose of this investigation is in vitro comparison of the shear bond strength (SBS of composite resin bonded to enamel pretreated with an acid etchant against enamel etched with erbium, chromium: yttrium, scandium, gallium, garnet (Er, Cr:YSGG laser. Materials and Methods: Sixty premolars were sectioned mesiodistally and these 120 specimens were separated into two groups of 60 each (Groups A and B. In Group A (buccal surfaces, enamel surface was etched using 37% phosphoric acid for 15 s. In Group B (lingual surfaces, enamel was laser-etched at 2W for 10 s by Er, Cr:YSGG laser operational at 2780 nm with pulse duration of 140 μs and a frequency of 20 Hz. After application of bonding agent on all test samples, a transparent plastic cylinder of 1.5 mm × 3 mm was loaded with composite and bonded by light curing for 20 s. All the samples were subjected to SBS analysis using Instron Universal testing machine. Failure modes were observed under light microscope and grouped as adhesive, cohesive, and mixed. Failure mode distributions were compared using the Chi-square test. Results: SBS values obtained for acid-etched enamel were in the range of 7.12–28.36 megapascals (MPa and for laser-etched enamel were in the range of 6.23–23.35 MPa. Mean SBS for acid-etched enamel was 15.77 ± 4.38 MPa, which was considerably greater (P < 0.01 than laser-etched enamel 11.24 ± 3.76 MPa. The Chi-square test revealed that the groups showed no statistically significant differences in bond failure modes. Conclusions: We concluded that the mean SBS of composite with acid etching is significantly higher as compared to Er, Cr: YSGG (operated at 2W for 10 s laser-etched enamel.

  11. Antibacterial Effect and Tensile Bond Strength of Self-etching Adhesive Resins with and without Methacryloyloxydodecylpyridinium Bromide: An in vitro Study.

    Science.gov (United States)

    Krishnamurthy, Madhuram; Kumar, V Naveen; Leburu, Ashok; Dhanavel, Chakravarthy; Selvendran, Kasiswamy E; Praveen, Nehrudhas

    2018-04-01

    Aim: The aim of the present study was to compare the antibacterial activity of a self-etching primer containing antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB) (Clearfil protect bond) with a conventional self-etching primer without MDPB (Clearfil SE bond) against Streptococcus mutans and the effect of incorporation of MDPB on the tensile bond strength of the experimental self-etching primer (Clearfil protect bond). Materials and methods: The antibacterial activity of the self-etching primers was assessed using agar disk diffusion method and the diameters of the zones of inhibition were measured and ranked. For tensile bond strength testing, 20 noncarious human molars were selected and randomly divided into two groups comprising 10 teeth in each group. Group I specimens were treated with Clearfil SE bond (without MDPB). Group II specimens were treated with Clearfil protect bond (with MDPB). Composite material was placed incrementally and cured for 40 seconds in all the specimens. Tensile bond strength was estimated using the Instron Universal testing machine at a crosshead speed of 1 mm/min. Results: The addition of MDPB into a self-etching primer exerts potential antibacterial effect against S. mutans. The tensile bond strength of MDPB containing self-etching primer was slightly lower than that of the conventional self-etching Clearfil protect bond primer, but the difference was not statistically significant. Conclusion: Thus, a self-etching primer containing MDPB will be a boon to adhesive dentistry as it has bactericidal property with adequate tensile bond strength. Clinical significance: The concept of prevention of extension in adhesive dentistry would result in micro/nanoleakage due to the presence of residual bacteria in the cavity. Self-etching primers with MDPB would improve the longevity of such restorations by providing adequate antibacterial activity without compromising the bond strength. Keywords: Antibacterial property

  12. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  13. Effects of Etching Time and NaOH Concentration on the Production of Alumina Nanowires Using Porous Anodic Alumina Template

    Science.gov (United States)

    Sadeghpour-Motlagh, M.; Mokhtari-Zonouzi, K.; Aghajani, H.; Kakroudi, M. Ghassemi

    2014-06-01

    In this work, two-step anodizing of commercial aluminum foil in acid oxalic solution was applied for producing alumina film. Then the anodic alumina film was etched in sodium hydroxide (NaOH) solution resulting dense and aligned alumina nanowires. This procedure leads to splitting of alumina nanotubes. Subsequently nanowires are produced. The effects of NaOH solution concentration (0.2-1 mol/L) and etching time (60-300 s) at constant temperature on characteristic of nanotubes and produced nanowires were investigated using scanning electron microscopy. The results show that an increase in NaOH solution concentration increases the rate of nanowires production and in turn the manipulation process will be more specific.

  14. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  15. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  16. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  17. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  18. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  19. Effect of Different Protocols in Preconditioning With EDTA in Sclerotic Dentin and Enamel Before Universal Adhesives Applied in Self-etch Mode.

    Science.gov (United States)

    Martini, E C; Parreiras, S O; Gutierrez, M F; Loguercio, A D; Reis, A

    The aim of this study was to investigate the effect of different protocols of 17% ethylene diamine tetra-acetic acid (EDTA) conditioning on the etching pattern and immediate bond strength of universal adhesives to enamel and sclerotic dentin. Forty bovine teeth with sclerotic dentin and 20 human third molars were randomly divided into eight groups resulting from the combination of the main factors surface treatment (none, two-minute EDTA conditioning manual application, 30-second EDTA manual application, 30-second EDTA sonic application) and adhesives systems (Scotchbond Universal Adhesive [SBU] and Prime & Bond Elect [PBE]). Resin-dentin and enamel-dentin bond specimens were prepared and tested under the microtensile bond strength (μTBS) and microshear bond strength (μSBS) tests, respectively. The etching pattern produced on the unground enamel and the sclerotic dentin surfaces under the different protocols and adhesive systems was evaluated under scanning electron microscopy. For enamel, only the main factor adhesive was significant (padhesives in the self-etch mode on sclerotic dentin, mainly when applied for 30 seconds with the aid of a sonic device. EDTA pretreatment also improves the retentive etching pattern of enamel, but it does not result in higher enamel bond strength.

  20. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    Science.gov (United States)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  1. Removal of carbamazepine and clofibric acid from water using double templates-molecularly imprinted polymers.

    Science.gov (United States)

    Dai, Chao-meng; Zhang, Juan; Zhang, Ya-lei; Zhou, Xue-fei; Duan, Yan-ping; Liu, Shu-guang

    2013-08-01

    A novel double templates-molecularly imprinted polymer (MIP) was prepared by precipitation polymerization using carbamazepine (CBZ) and clofibric acid (CA) as the double templates molecular and 2-vinylpyridine as functional monomer. The equilibrium data of MIP was well described by the Freundlich isotherm model. Two kinetic models were adopted to describe the experimental data, and the pseudo second-order model well-described adsorption of CBZ and CA on the MIP. Adsorption experimental results showed that the MIP had good selectivity and adsorption capacity for CBZ and CA in the presence of competitive compounds compared with non-imprinted polymer, commercial powdered activated carbon, and C18 adsorbents. The feasibility of removing CBZ and CA from water by the MIP was demonstrated using tap water, lake water, and river water.

  2. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  3. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  4. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  5. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  6. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  7. Influence of the anodic etching current density on the morphology of the porous SiC layer

    Directory of Open Access Journals (Sweden)

    Anh Tuan Cao

    2014-03-01

    Full Text Available In this report, we fabricated a porous layer in amorphous SiC thin films by using constant-current anodic etching in an electrolyte of aqueous diluted hydrofluoric acid. The morphology of the porous amorphous SiC layer changed as the anodic current density changed: At low current density, the porous layer had a low pore density and consisted of small pores that branched downward. At moderate current density, the pore size and depth increased, and the pores grew perpendicular to the surface, creating a columnar pore structure. At high current density, the porous structure remained perpendicular, the pore size increased, and the pore depth decreased. We explained the changes in pore size and depth at high current density by the growth of a silicon oxide layer during etching at the tips of the pores.

  8. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  9. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  10. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    International Nuclear Information System (INIS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-01-01

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  11. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Qingxue [School of Physics, Shandong University, Jinan, 250100 (China); Liu, Rong [Department of Fundamental Theories, Shandong Institute of Physical Education and Sports, Jinan 250063 (China); Xiao, Hongdi, E-mail: hdxiao@sdu.edu.cn [School of Physics, Shandong University, Jinan, 250100 (China); Cao, Dezhong; Liu, Jianqiang; Ma, Jin [School of Physics, Shandong University, Jinan, 250100 (China)

    2016-11-30

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  12. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  13. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  14. Creating double negative index materials using the Babinet principle with one metasurface

    Science.gov (United States)

    Zhang, Lei; Koschny, Thomas; Soukoulis, C. M.

    2013-01-01

    Metamaterials are patterned metallic structures which permit access to a novel electromagnetic response, negative index of refraction, impossible to achieve with naturally occurring materials. Using the Babinet principle, the complementary split ring resonator (SRR) is etched in a metallic plate to provide negative ɛ, with perpendicular direction. Here we propose a new design, etched in a metallic plate to provide negative magnetic permeability μ, with perpendicular direction. The combined electromagnetic response of this planar metamaterial, where the negative μ comes from the aperture and the negative ɛ from the remainder of the continuous metallic plate, allows achievement of a double negative index metamaterial (NIM) with only one metasurface and strong transmission. These designs can be used to fabricate NIMs at microwave and optical wavelengths and three-dimensional metamaterials.

  15. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  16. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  17. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  18. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  19. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  20. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in