WorldWideScience

Sample records for assembled monolayers sams

  1. Electrochemical characterization of a 1,8-octanedithiol self-assembled monolayer (ODT-SAM) on a Au(111) single crystal electrode

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Raya, Daniel; Madueno, Rafael; Sevilla, Jose Manuel; Blazquez, Manuel; Pineda, Teresa [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Cordoba (Spain)

    2008-11-15

    Recently, it has becoming increasingly important to control the organization of self-assembled monolayers (SAMs) of {omega}-functionalized thiols for its potential applications in the construction of more complex molecular architectures. In this paper, we report on the spontaneous formation of a SAM of octanedithiol (ODT) as a function of the modification time. Electrochemical techniques such as cyclic voltammetry, double layer capacitance and electrochemical impedance spectroscopy are used for the characterization of this monolayer. The increase in modification time brings about changes in the octanedithiol self-assembled monolayer (ODT-SAM) reductive desorption voltammograms that indicate an evolution toward a more ordered and compact monolayer. This trend has also been found by following the changes in the electron transfer processes of the redox probe K{sub 3}Fe(CN){sub 6}. In fact, the ODT-SAM formed at low-modification time does not significantly perturb the electrochemical response as it is typical of either a low coverage or of the presence of large defects in the layer. Upon increasing the modification time, the voltammograms of the redox probe adopt a sigmoidal shape indicating the existence of pinholes in the monolayer distributed as an array of microelectrodes. The surface coverage as well as the size and distribution of these pinholes have been determined by the impedance technique that gives a more reliable evaluation of these monolayer structural parameters. (author)

  2. Electrochemical characterization of a 1,8-octanedithiol self-assembled monolayer (ODT-SAM) on a Au(1 1 1) single crystal electrode

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Raya, Daniel; Madueno, Rafael; Sevilla, Jose Manuel; Blazquez, Manuel [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Ed. Marie Curie, E-14071 Cordoba (Spain); Pineda, Teresa [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Ed. Marie Curie, E-14071 Cordoba (Spain)], E-mail: tpineda@uco.es

    2008-11-15

    Recently, it has becoming increasingly important to control the organization of self-assembled monolayers (SAMs) of {omega}-functionalized thiols for its potential applications in the construction of more complex molecular architectures. In this paper, we report on the spontaneous formation of a SAM of octanedithiol (ODT) as a function of the modification time. Electrochemical techniques such as cyclic voltammetry, double layer capacitance and electrochemical impedance spectroscopy are used for the characterization of this monolayer. The increase in modification time brings about changes in the octanedithiol self-assembled monolayer (ODT-SAM) reductive desorption voltammograms that indicate an evolution toward a more ordered and compact monolayer. This trend has also been found by following the changes in the electron transfer processes of the redox probe K{sub 3}Fe(CN){sub 6}. In fact, the ODT-SAM formed at low-modification time does not significantly perturb the electrochemical response as it is typical of either a low coverage or of the presence of large defects in the layer. Upon increasing the modification time, the voltammograms of the redox probe adopt a sigmoidal shape indicating the existence of pinholes in the monolayer distributed as an array of microelectrodes. The surface coverage as well as the size and distribution of these pinholes have been determined by the impedance technique that gives a more reliable evaluation of these monolayer structural parameters.

  3. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    Science.gov (United States)

    Huo, Lixia; Du, Pengcheng; Zhou, Hui; Zhang, Kaifeng; Liu, Peng

    2017-02-01

    It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C6, C12, or C18) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (Ra) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al2O3 ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C12 alkyl chain (C12-SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C12-SAM with desirable alkyl chain length.

  4. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    International Nuclear Information System (INIS)

    Moldovan, Carmen; Mihailescu, Carmen; Stan, Dana; Ruta, Lavinia; Iosub, Rodica; Gavrila, Raluca; Purica, Munizer; Vasilica, Schiopu

    2009-01-01

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab') 2 fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  5. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    Energy Technology Data Exchange (ETDEWEB)

    Moldovan, Carmen, E-mail: carmen.moldovan@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Mihailescu, Carmen, E-mail: carmen_mihail28@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Stan, Dana, E-mail: dana_stan2005@yahoo.com [DDS Diagnostic, 1 Segovia Street, Bucharest (Romania); Ruta, Lavinia, E-mail: laviniacoco@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Iosub, Rodica, E-mail: rodica.iosub@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Gavrila, Raluca, E-mail: raluca.gavrila@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Purica, Munizer, E-mail: munizer.purica@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Vasilica, Schiopu, E-mail: vasilica.schiopu@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania)

    2009-08-30

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab'){sub 2} fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  6. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    International Nuclear Information System (INIS)

    Huo, Lixia; Du, Pengcheng; Zhou, Hui; Zhang, Kaifeng; Liu, Peng

    2017-01-01

    Highlights: • n-Alkyltrimethoxysilanes with various chain lengths were self-assembled on silicon. • Effect of alkyl chain lengths (C6, C12, or C18) on the SAMs was investigated. • Surface roughness of the SAMs decreased with increasing the alkyl chain lengths. • The C 12 -SAM possessed superior friction reduction and wear resistance. - Abstract: It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C 6 , C 12 , or C 18 ) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (R a ) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al 2 O 3 ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C 12 alkyl chain (C 12 -SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C 12 -SAM with desirable alkyl chain length.

  7. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    Energy Technology Data Exchange (ETDEWEB)

    Huo, Lixia [National Key Laboratory of Science and Technology on Vacuum Technology and Physics, Lanzhou Institute of Physics, Lanzhou, Gansu 730010 (China); Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China); Du, Pengcheng [Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China); Zhou, Hui; Zhang, Kaifeng [National Key Laboratory of Science and Technology on Vacuum Technology and Physics, Lanzhou Institute of Physics, Lanzhou, Gansu 730010 (China); Liu, Peng, E-mail: pliu@lzu.edu.cn [Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China)

    2017-02-28

    Highlights: • n-Alkyltrimethoxysilanes with various chain lengths were self-assembled on silicon. • Effect of alkyl chain lengths (C6, C12, or C18) on the SAMs was investigated. • Surface roughness of the SAMs decreased with increasing the alkyl chain lengths. • The C{sub 12}-SAM possessed superior friction reduction and wear resistance. - Abstract: It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C{sub 6}, C{sub 12}, or C{sub 18}) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (R{sub a}) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al{sub 2}O{sub 3} ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C{sub 12} alkyl chain (C{sub 12}-SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C{sub 12}-SAM with desirable alkyl chain length.

  8. Characterization of self-assembled monolayers on a ruthenium surface

    NARCIS (Netherlands)

    Shaheen, Amrozia; Sturm, Jacobus Marinus; Ricciardi, R.; Huskens, Jurriaan; Lee, Christopher James; Bijkerk, Frederik

    2017-01-01

    We have modified and stabilized the ruthenium surface by depositing a self-assembled monolayer (SAM) of 1-hexadecanethiol on a polycrystalline ruthenium thin film. The growth mechanism, dynamics, and stability of these monolayers were studied. SAMs, deposited under ambient conditions, on

  9. Functional Molecular Junctions Derived from Double Self-Assembled Monolayers.

    Science.gov (United States)

    Seo, Sohyeon; Hwang, Eunhee; Cho, Yunhee; Lee, Junghyun; Lee, Hyoyoung

    2017-09-25

    Information processing using molecular junctions is becoming more important as devices are miniaturized to the nanoscale. Herein, we report functional molecular junctions derived from double self-assembled monolayers (SAMs) intercalated between soft graphene electrodes. Newly assembled molecular junctions are fabricated by placing a molecular SAM/(top) electrode on another molecular SAM/(bottom) electrode by using a contact-assembly technique. Double SAMs can provide tunneling conjugation across the van der Waals gap between the terminals of each monolayer and exhibit new electrical functions. Robust contact-assembled molecular junctions can act as platforms for the development of equivalent contact molecular junctions between top and bottom electrodes, which can be applied independently to different kinds of molecules to enhance either the structural complexity or the assembly properties of molecules. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Self-assembled monolayers of perfluoroalkylsilane on plasma-hydroxylated silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lin; Cai, Lu; Liu, Anqi; Wang, Wei; Yuan, Yanhua [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); National Engineering Laboratory for Modern Silk, Suzhou 215123 (China); Li, Zhanxiong, E-mail: lizhanxiong@suda.edu.cn [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); State Key Laboratory of Disaster Prevention & Mitigation of Explosion & Impact, Nanjing 210007 (China)

    2015-09-15

    Highlights: • A novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain length was synthesized. • The fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates were chemically fabricated using the liquid phase deposition method. • Fluoroalkylsilanes were used for the self-assembly rather than the silane coupling agents and fluorochemicals to fabricate controllable, ordered SAMs. • The angle-dependent XPS study was conducted to investigate the changes of surface structures as well as elemental compositions of the SAMs. • The results indicated that fluoroalkyl groups would migrate from the inner part of the monolayers to the outermost interface after heat treatment, resulting into the microphase separation of the SAMs surface. - Abstract: In this study, a novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain lengths was synthesized via three steps method and characterized by Fourier transform infrared (FT-IR) spectroscopy, {sup 1}H and {sup 19}F nuclear magnetic resonance ({sup 1}H NMR and {sup 19}F NMR), and mass spectra (MS). Fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates (O{sub 2} plasma treatment) were chemically fabricated via –Si–O– covalent bonds using the liquid phase deposition method (LPD). The wetabilities of the SAMs were characterized by water contact angles (CA), surface free energies and adhesive force (AF) measurements. 3-(1H,1H,2H,2H-perfluorooctyloxycarbonyl) -propionamidepropyl-triethoxysilane (PFOPT) assembled monolayer was chosen for in-depth investigation as its CA was higher than the others. Attenuated total reflection infrared spectroscopy (ATR-IR) and X-ray photoelectron spectroscopy (XPS) were used to validate the attachment of PFOPT on the silicon substrate, together with the chemical composition and structure of the SAMs. The surface morphologies and roughness of the monolayers were obtained and

  11. Patterning of self-assembled monolayers by phase-shifting mask and its applications in large-scale assembly of nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Fan; Zhang, Dakuan; Wang, Jianyu; Sheng, Yun; Wang, Xinran; Chen, Kunji; Zhou, Minmin [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Yan, Shancheng [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); School of Geography and Biological Information, Nanjing University of Posts and Telecommunications, Nanjing 210046 (China); Shen, Jiancang; Pan, Lijia; Shi, Yi, E-mail: yshi@nju.edu.cn [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Collaborative Innovation Center of Advanced Micro-structures, Nanjing University, Nanjing 210093 (China)

    2015-01-26

    A nonselective micropatterning method of self-assembled monolayers (SAMs) based on laser and phase-shifting mask (PSM) is demonstrated. Laser beam is spatially modulated by a PSM, and periodic SAM patterns are generated sequentially through thermal desorption. Patterned wettability is achieved with alternating hydrophilic/hydrophobic stripes on octadecyltrichlorosilane monolayers. The substrate is then used to assemble CdS semiconductor nanowires (NWs) from a solution, obtaining well-aligned NWs in one step. Our results show valuably the application potential of this technique in engineering SAMs for integration of functional devices.

  12. Self-assembled monolayers on metal oxides : applications in nanotechnology

    NARCIS (Netherlands)

    Yildirim, O.

    2010-01-01

    The thesis describes the use of phosph(on)ate-based self-assembled monolayers (SAMs) to modify and pattern metal oxides. Metal oxides have interesting electronic and magnetic properties such as insulating, semiconducting, metallic, ferromagnetic etc. and SAMs can tailor the surface properties. FePt

  13. Electrical resistivity of nanoporous gold modified with thiol self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Hakamada, Masataka, E-mail: hakamada.masataka.3x@kyoto-u.ac.jp; Kato, Naoki, E-mail: katou.naoki.75w@st.kyoto-u.ac.jp; Mabuchi, Mamoru, E-mail: mabuchi@energy.kyoto-u.ac.jp

    2016-11-30

    Highlights: • Nanoporous gold is modified with thiol-containing self-assembled monolayers. • The electrical resistivity of the thiol-modified nanoporous gold increases. • The electrical resistivity increases with increasing thiol concentration. • Monolayer tail groups enhance the atmosphere dependence of electrical resistivity. - Abstract: The electrical resistivity of nanoporous gold (NPG) modified with thiol self-assembled monolayers (SAMs) has been measured at 298 K using a four-probe method. We found that the adsorption of thiol SAMs increases the electrical resistivity of NPG by up to 22.2%. Dependence of the electrical resistivity on the atmosphere (air or water) was also observed in SAMs-modified NPG, suggesting that the electronic states of the tail groups affect the electrons of the binding sulfur and adjacent surface gold atoms. The present results suggest that adsorption of thiol molecules can influence the behavior of the conducting electrons in NPG and that modification of NPG with SAMs may be useful for environmental sensing.

  14. 11-Hydroxyundecyl octadecyl disulfide self-assembled monolayers on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Albayrak, Erol [Department of Materials and Metallurgical Engineering, Ahi Evran University, Kırşehir 40000 (Turkey); Karabuga, Semistan [Department of Chemistry, Kahramanmaraş Sütçü İmam University, Kahramanmaraş 46030 (Turkey); Bracco, Gianangelo [CNR-IMEM and Department of Physics, University of Genoa, via Dodecaneso 33, Genoa 16146 (Italy); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2014-08-30

    Highlights: • 11-Hydroxyundecyl octadecyl disulfide self-assembled monolayers on Au(1 1 1) surface were grown by supersonic molecular beam deposition. • Two different lying down monolayer phases were observed depending on the substrate temperature. • High temperature monolayer phase has a diffraction pattern similar to that of mercaptoundecanol SAMs. • Desorption from several different chemisorbed and physisorbed states were observed. - Abstract: Here, we report a helium atom diffraction study of 11-hydroxyundecyl octadecyl disulfide (CH{sub 3}-(CH{sub 2}){sub 17}-S-S-(CH{sub 2}){sub 11}-OH, HOD) self-assembled monolayers (SAMs) produced by supersonic molecular beam deposition (SMBD). Two different lying down monolayer phases were observed depending on the substrate temperature. At low temperatures a poorly ordered phase was observed, while the diffraction patterns of the film grown at high temperatures were similar to that of mercaptoundecanol (MUD) SAMs reported previously in the literature. The transition from the low temperature phase to the high temperature phase is due to S-S bond cleavage at the surface. Desorption from several different chemisorbed and physisorbed states were observed with energies in the same range as observed for MUD and octadecanelthiol (ODT) SAMs.

  15. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  16. Growth and Functionality of Cells Cultured on Conducting and Semi-Conducting Surfaces Modified with Self-Assembled Monolayers (SAMs

    Directory of Open Access Journals (Sweden)

    Rajendra K. Aithal

    2016-02-01

    Full Text Available Bioengineering of dermal and epidermal cells on surface modified substrates is an active area of research. The cytotoxicity, maintenance of cell phenotype and long-term functionality of human dermal fibroblast (HDF cells on conducting indium tin oxide (ITO and semi-conducting, silicon (Si and gallium arsenide (GaAs, surfaces modified with self-assembled monolayers (SAMs containing amino (–NH2 and methyl (–CH3 end groups have been investigated. Contact angle measurements and infrared spectroscopic studies show that the monolayers are conformal and preserve their functional end groups. Morphological analyses indicate that HDFs grow well on all substrates except GaAs, exhibiting their normal spindle-shaped morphology and exhibit no visible signs of stress or cytoplasmic vacuolation. Cell viability analyses indicate little cell death after one week in culture on all substrates except GaAs, where cells died within 6 h. Cells on all surfaces proliferate except on GaAs and GaAs-ODT. Cell growth is observed to be greater on SAM modified ITO and Si-substrates. Preservation of cellular phenotype assessed through type I collagen immunostaining and positive staining of HDF cells were observed on all modified surfaces except that on GaAs. These results suggest that conducting and semi-conducting SAM-modified surfaces support HDF growth and functionality and represent a promising area of bioengineering research.

  17. Controlling the stereochemistry and regularity of butanethiol self-assembled monolayers on Au(111)

    DEFF Research Database (Denmark)

    Yan, Jiawei; Ouyang, Runhai; Jensen, Palle Skovhus

    2014-01-01

    The rich stereochemistry of the self-assembled monolayers (SAMs) of four butanethiols on Au(111) is described, the SAMs containing up to 12 individual C, S, or Au chiral centers per surface unit cell. This is facilitated by synthesis of enantiomerically pure 2-butanethiol (the smallest unsubstitu......The rich stereochemistry of the self-assembled monolayers (SAMs) of four butanethiols on Au(111) is described, the SAMs containing up to 12 individual C, S, or Au chiral centers per surface unit cell. This is facilitated by synthesis of enantiomerically pure 2-butanethiol (the smallest...... when R is achiral, while adatom binding leads to rectangular plane groups that suppress long-range expression of chirality. Binding as RS• also inhibits the pitting intrinsically associated with adatom binding, desirably producing more regularly structured SAMs....

  18. High-Efficiency Colloidal Quantum Dot Photovoltaics via Robust Self-Assembled Monolayers

    KAUST Repository

    Kim, Gi-Hwan; Garcí a de Arquer, F. Pelayo; Yoon, Yung Jin; Lan, Xinzheng; Liu, Mengxia; Voznyy, Oleksandr; Yang, Zhenyu; Fan, Fengjia; Ip, Alexander H.; Kanjanaboos, Pongsakorn; Hoogland, Sjoerd; Kim, Jin Young; Sargent, Edward H.

    2015-01-01

    to voltage. With this goal in mind, self-assembled monolayers (SAMs) can be used to modify interface energy levels locally. However, to be effective SAMs must be made robust to treatment using the various solvents and ligands required for to fabricate high

  19. Fabrication of Silicon nanostructures by UHV-STM lithography in Self-Assembled Monolayers

    International Nuclear Information System (INIS)

    Sundermann, M.; Brechling, A.; Rott, K.; Meyners, D.; Kleineberg, U.; Heinzmann, U.; Knueller, A.; Eck, W.; Goelzhueuser, A.; Grunze, M.

    2002-01-01

    Our approach utilizes UHV-STM writing in Self-Assembled Monolayers (SAM). SAMs form highly-ordered ultrathin (∼2-3 nm) monomolecular layers on top of pre-activated Si(100) or Si(111) surfaces. After patterning by UHV-STM writing in constant-current mode at different write parameters (gap voltage, electron dose) the modified Self-Assembled Monolayer serves as an etch mask for an anisotropic wet etch transfer (two-step etch process in aqueous solutions of 5 % HF and 1 M KOH), of the write structure into the silicon substrate. The corresponding silicon nano-structures have been analyzed afterwards by AFM or SEM to characterize the pattern accuracy. We have studied the suitability of three different types of SAMs on silicon single-crystals. Alkyl-chain-type SAMs like Octadecylsilane (ODS) monolayer have been formed by immersion of hydroxylated Si(100) in Octadecyltrichlorosilane (CH 3 (CH 27 SiCl 3 ) while SAMs with aromatic spacer groups such as Hydroxybiphenyl (HBP, (C 6 H 6 ) 2 OH) and Ethoxybiphenyl silane (EBP, (C 6 H 6 ) 2 O(CH 2 ) 3 Si(OCH 3 ) 3 ) are formed on Si(111). (Authors)

  20. Mixed carboranethiol self-assembled monolayers on gold surfaces

    Science.gov (United States)

    Yavuz, Adem; Sohrabnia, Nima; Yilmaz, Ayşen; Danışman, M. Fatih

    2017-08-01

    Carboranethiol self-assembled monolayers on metal surfaces have been shown to be very convenient systems for surface engineering. Here we have studied pure and mixed self-assembled monolayers (SAMs) of three different carboranethiol (CT) isomers on gold surfaces. The isomers were chosen with dipole moments pointing parallel to (m-1-carboranethiol, M1), out of (m-9-carboranethiol, M9) and into (o-1-carboranethiol, O1) the surface plane, in order to investigate the effect of dipole moment orientation on the film properties. In addition, influence of the substrate surface morphology on the film properties was also studied by using flame annealed (FA) and template stripped (TS) gold surfaces. Contact angle measurements indicate that in M1/M9 and M1/O1 mixed SAMs, M1 is the dominant species on the surface even for low M1 ratio in the growth solution. Whereas for O1/M9 mixed SAMs no clear evidence could be observed indicating dominance of one of the species over the other one. Though contact angle values were lower and hysteresis values were higher for SAMs grown on TS gold surfaces, the trends in the behavior of the contact angles with changing mixing ratio were identical for SAMs grown on both substrates. Atomic force microscopy images of the SAMs on TS gold surfaces indicate that the films have similar morphological properties regardless of mixing ratio.

  1. High-Efficiency Colloidal Quantum Dot Photovoltaics via Robust Self-Assembled Monolayers

    KAUST Repository

    Kim, Gi-Hwan

    2015-11-11

    © 2015 American Chemical Society. The optoelectronic tunability offered by colloidal quantum dots (CQDs) is attractive for photovoltaic applications but demands proper band alignment at electrodes for efficient charge extraction at minimal cost to voltage. With this goal in mind, self-assembled monolayers (SAMs) can be used to modify interface energy levels locally. However, to be effective SAMs must be made robust to treatment using the various solvents and ligands required for to fabricate high quality CQD solids. We report robust self-assembled monolayers (R-SAMs) that enable us to increase the efficiency of CQD photovoltaics. Only by developing a process for secure anchoring of aromatic SAMs, aided by deposition of the SAMs in a water-free deposition environment, were we able to provide an interface modification that was robust against the ensuing chemical treatments needed in the fabrication of CQD solids. The energy alignment at the rectifying interface was tailored by tuning the R-SAM for optimal alignment relative to the CQD quantum-confined electron energy levels. This resulted in a CQD PV record power conversion efficiency (PCE) of 10.7% with enhanced reproducibility relative to controls.

  2. Lipid dip-pen nanolithography on self-assembled monolayers

    International Nuclear Information System (INIS)

    Gavutis, Martynas; Navikas, Vytautas; Rakickas, Tomas; Vaitekonis, Šarūnas; Valiokas, Ramūnas

    2016-01-01

    Dip-pen nanolithography (DPN) with lipids as an ink enables functional micro/nanopatterning on different substrates at high process speeds. However, only a few studies have addressed the influence of the physicochemical properties of the surface on the structure and phase behavior of DPN-printed lipid assemblies. Therefore, by combining the scanning probe and optical imaging techniques in this work we have analyzed lipid microdomain formation on the self-assembled monolayers (SAMs) on gold as well-defined model surfaces that displayed hydrophilic (protein-repellent) or hydrophobic (protein-adhesive) characteristics. We have found that on the tri(ethylene glycol)-terminated SAM the lipid ink transfer was fast (∼10 –1 μm 3 s −1 ), quasi-linear and it yielded unstable, sparsely packed lipid microspots. Contrary to this, on the methyl-terminated SAM the lipid transfer was ∼20 times slower, nonlinear, and the obtained stable dots of ∼1 μm in diameter consisted of lipid multilayers. Our comparative analysis indicated that the measured lipid transfer was consistent with the previously reported so-called polymer transfer model (Felts et al 2012, Nanotechnology 23 215301). Further on, by employing the observed distinct contrast in the DPN ink behavior we constructed confined lipid microdomains on pre-patterned SAMs, in which the lipids assembled either into monolayer or multilamellar phases. Such microdomains can be further utilized for lipid membrane mimetics in microarray and lab-on-a-chip device formats. (paper)

  3. Improving Charge Injection in Organic Electronic Devices Using Self-Assembled Monolayers

    Science.gov (United States)

    Campbell, I. H.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1997-03-01

    Organic electronic devices consist of one or more insulating organic layers contacted by metallic conductors. The Schottky energy barrier between the metal and the organic material is determined by the work function of the metal contact as described in the ideal Schottky model. The magnitude of the metal/organic Schottky energy barrier controls charge injection from the metal into the organic layer. Previously, polar alkane-thiol based self-assembled monolayers (SAMs) were used to change the Schottky energy barrier between the metal and an organic film by more than 1 eV. In these SAMs, the large energy gap of the alkane molecules blocks charge injection into the organic layer despite the decrease of the Schottky energy barrier. Here, we demonstrate improved charge injection into the organic material by using conjugated self-assembled monolayers. The conjugated SAMs have modest energy gaps which allow improved charge injection into the organic layer. We present measurements of current-voltage characteristics and metal/organic Schottky energy barriers for device structures both with and without conjugated SAMs.

  4. Mixed carboranethiol self-assembled monolayers on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yavuz, Adem [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Sohrabnia, Nima [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey); Yilmaz, Ayşen [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2017-08-15

    Highlights: • M1 binds to the gold surface preferentially when co-deposited with M9 or O1. • Contact angles show similar trends regardless of the gold substrate roughness. • Contact angles were lower, with higher hysteresis, on template stripped gold. • Mixed carboranethiol SAMs have similar morphological properties regardless of mixing ratio. - Abstract: Carboranethiol self-assembled monolayers on metal surfaces have been shown to be very convenient systems for surface engineering. Here we have studied pure and mixed self-assembled monolayers (SAMs) of three different carboranethiol (CT) isomers on gold surfaces. The isomers were chosen with dipole moments pointing parallel to (m-1-carboranethiol, M1), out of (m-9-carboranethiol, M9) and into (o-1-carboranethiol, O1) the surface plane, in order to investigate the effect of dipole moment orientation on the film properties. In addition, influence of the substrate surface morphology on the film properties was also studied by using flame annealed (FA) and template stripped (TS) gold surfaces. Contact angle measurements indicate that in M1/M9 and M1/O1 mixed SAMs, M1 is the dominant species on the surface even for low M1 ratio in the growth solution. Whereas for O1/M9 mixed SAMs no clear evidence could be observed indicating dominance of one of the species over the other one. Though contact angle values were lower and hysteresis values were higher for SAMs grown on TS gold surfaces, the trends in the behavior of the contact angles with changing mixing ratio were identical for SAMs grown on both substrates. Atomic force microscopy images of the SAMs on TS gold surfaces indicate that the films have similar morphological properties regardless of mixing ratio.

  5. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  6. On the Hopping Efficiency of Nanoparticles in the Electron Transfer across Self‐Assembled Monolayers

    DEFF Research Database (Denmark)

    Liu, Feng; Khan, Kamran; Liang, Jing‐Hong

    2013-01-01

    Redox reactions of solvated molecular species at gold‐electrode surfaces modified by electrochemically inactive self‐assembled molecular monolayers (SAMs) are found to be activated by introducing Au nanoparticles (NPs) covalently bound to the SAM to form a reactive Au–alkanedithiol–NP–molecule hy...

  7. Click functionalization of phenyl-capped bithiophene on azide-terminated self-assembled monolayers

    International Nuclear Information System (INIS)

    Zheng, Yijun; Cui, Jiaxi; Ikeda, Taichi

    2015-01-01

    Graphical abstract: - Highlights: • Electrochemically-active self-assembled monolayers with phenyl-capped bithiophene were prepared. • Post-functionalization method based on click chemistry solved the solubility issue of phenyl-capped thiophene alkanethiol. • The capture and release of the counter anions during the redox reaction were detectable by E-QCM. - Abstract: We immobilized tetra(ethylene glycol)-substituted phenyl-capped bithiophene with alkyne terminals (Ph2TPh-alkyne) on azide-terminated self-assembled monolayers (N 3 -SAMs) by Cu-catalyzed azide-alkyne cycloaddition reaction. Ph2TPh-functionalized SAMs on a gold substrate showed reversible electrochemical response. The surface densities of the azide groups in N 3 -SAMs and Ph2TPh units in Ph2TPh-functionalized SAMs were estimated to be 7.3 ± 0.3 × 10 −10 mol cm −2 and 4.6 ± 0.3 × 10 −10 mol cm −2 , respectively, by quartz crystal microbalance (QCM). Most of Ph2TPh-alkynes are considered to be anchored on N 3 -SAMs via both terminal groups. Ph2TPh-functionalized SAMs exhibited reversible redox peaks in cyclic voltammetry (CV). In redox reaction, reversible capture and release of the counter anion could be monitored by electrochemical QCM (E-QCM).

  8. Click functionalization of phenyl-capped bithiophene on azide-terminated self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Yijun; Cui, Jiaxi [Max Planck Institute for Polymer Research (MPIP), Ackermannweg 10, Mainz 55128 (Germany); Ikeda, Taichi, E-mail: IKEDA.Taichi@nims.go.jp [Max Planck Institute for Polymer Research (MPIP), Ackermannweg 10, Mainz 55128 (Germany); Polymer Materials Unit, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2015-11-15

    Graphical abstract: - Highlights: • Electrochemically-active self-assembled monolayers with phenyl-capped bithiophene were prepared. • Post-functionalization method based on click chemistry solved the solubility issue of phenyl-capped thiophene alkanethiol. • The capture and release of the counter anions during the redox reaction were detectable by E-QCM. - Abstract: We immobilized tetra(ethylene glycol)-substituted phenyl-capped bithiophene with alkyne terminals (Ph2TPh-alkyne) on azide-terminated self-assembled monolayers (N{sub 3}-SAMs) by Cu-catalyzed azide-alkyne cycloaddition reaction. Ph2TPh-functionalized SAMs on a gold substrate showed reversible electrochemical response. The surface densities of the azide groups in N{sub 3}-SAMs and Ph2TPh units in Ph2TPh-functionalized SAMs were estimated to be 7.3 ± 0.3 × 10{sup −10} mol cm{sup −2} and 4.6 ± 0.3 × 10{sup −10} mol cm{sup −2}, respectively, by quartz crystal microbalance (QCM). Most of Ph2TPh-alkynes are considered to be anchored on N{sub 3}-SAMs via both terminal groups. Ph2TPh-functionalized SAMs exhibited reversible redox peaks in cyclic voltammetry (CV). In redox reaction, reversible capture and release of the counter anion could be monitored by electrochemical QCM (E-QCM).

  9. Monolayer assembly and striped architecture of Co nanoparticles on organic functionalized Si surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bae, S.-S.; Lim, D.K.; Park, J.-I.; Kim, S. [Korea Advanced Institute of Science and Technology, Department of Chemistry and School of Molecular Science (BK 21), Daejeon (Korea); Cheon, J. [Yonsei University, Department of Chemistry, College of Sciences, Seoul (Korea); Jeon, I.C. [Chonbuk National University, Department of Chemistry, College of Natural Sciences, Chonbuk (Korea)

    2005-03-01

    We present a new strategy to fabricate a monolayer assembly of Br-terminated Co nanoparticles on functionalized Si surfaces by using chemical covalent bonding and microcontact printing method. Self-assembled monolayers (SAMs) of the Co nanoparticles formed on the hydroxyl-terminated Si surface exhibit two-dimensional island networks with locally ordered arrays via covalent linkage between nanoparticles and surface. On the other hand, SAMs of the nanoparticles on the aminopropyl-terminated Si surface show an individual and random distribution over an entire surface. Furthermore, we have fabricated striped architectures of Co nanoparticles using a combination of microcontact printing and covalent linkage. Microcontact printing of octadecyltrichlorosilane and selective covalent linkage between nanoparticles and functionalized Si surfaces lead to a hybrid nanostructure with selectively assembled nanoparticles stripes on the patterned functionalized Si surfaces. (orig.)

  10. Influence of the solution pH in the 6-mercaptopurine self-assembled monolayer (6MP-SAM) on a Au(111) single-crystal electrode.

    Science.gov (United States)

    Madueño, Rafael; García-Raya, Daniel; Viudez, Alfonso J; Sevilla, José M; Pineda, Teresa; Blázquez, Manuel

    2007-10-23

    Self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) have been prepared on a Au(111) single-crystal electrode by immersion of the metal surface in a 100 microM 6MP and 0.01 M HClO4 solution. The 6MP-SAM Au(111) single-crystal electrodes were transferred to the cell and allowed to equilibrate with the different aqueous working solutions before the electrochemical experiments. The influence of the solution pH was studied by cyclic voltammetry, double layer capacitance curves, and electrochemical impedance spectroscopy. The electrochemical behavior of the 6MP-SAM in acetic acid at pH 4 presents important differences in comparison to that obtained in 0.1 M KOH solutions. Cyclic voltammograms for the reductive desorption process in acid medium are broad and show some features that can be explained by a phase transition between a chemisorbed and a physisorbed state of the 6MP molecules. The low solubility of these molecules in acid medium could explain this phenomenon and the readsorption of the complete monolayer when the potential is scanned in the positive direction. The variation of the double-layer capacitance values in the potential range of monolayer stability with the pH suggests that the acid-base chemistry of the 6MP molecules is playing a role. This fact has been studied by following the variations of the electron-transfer rate constant of the highly charged redox probes as are Fe(CN)(6)-3/-4 and Ru(NH3)(6)+3/+2 as a function of solution pH. The apparent surface pKa value for the 6MP-SAM (pKa approximately 8) is explained by the total conversion of the different 6MP tautomers that exist in solution to the thiol species in the adsorbed state.

  11. UV/Vis and NIR Light-Responsive Spiropyran Self-Assembled Monolayers

    NARCIS (Netherlands)

    Ivashenko, Oleksii; Herpt, Jochem T. van; Feringa, Ben L.; Rudolf, Petra; Browne, Wesley R.

    2013-01-01

    Self-assembled monolayers of a 6-nitro BIPS spiropyran (SP) modified with a disulfide-terminated aliphatic chain were prepared on polycrystalline gold surfaces and characterized by UV/vis absorption, surface-enhanced Raman scattering (SEAS), and X-ray photoelectron spectroscopies (XPS). The SAMs

  12. Deposition of metal Islands, metal clusters and metal containing single molecules on self-assembled monolayers

    NARCIS (Netherlands)

    Speets, Emiel Adrianus

    2005-01-01

    The central topic of this thesis is the deposition of metals on Self-Assembled Monolayers (SAMs). Metals are deposited in the form of submicron scale islands, nanometer scale clusters, and as supramolecular, organometallic coordination cages. Several SAMs on various substrates were prepared and

  13. Chemically Transformable Configurations of Mercaptohexadecanoic Acid Self-Assembled Monolayers Adsorbed on Au(111)

    International Nuclear Information System (INIS)

    van Buuren, T; Bostedt, C; Nelson, A J; Terminello, L J; Vance, A L; Fadley, C S; Willey, T M

    2003-01-01

    Carboxyl terminated Self-Assembled Monolayers (SAMs) are commonly used in a variety of applications, with the assumption that the molecules form well ordered monolayers. In this work, NEXAFS verifies well ordered monolayers can be formed using acetic acid in the solvent. Disordered monolayers with unbound molecules present in the result using only ethanol. A stark reorientation occurs upon deprotonation of the endgroup by rinsing in a KOH solution. This reorientation of the endgroup is reversible with tilted over, hydrogen bound carboxyl groups while carboxylate-ion endgroups are upright. C1s photoemission shows that SAMs formed and rinsed with acetic acid in ethanol, the endgroups are protonated, while without, a large fraction of the molecules on the surface are carboxylate terminated

  14. Monolayer-directed Assembly and Magnetic Properties of FePt Nanoparticles on Patterned Aluminum Oxide

    NARCIS (Netherlands)

    Yildirim, O.; Gang, T.; Kinge, S.S.; Reinhoudt, David; Blank, David H.A.; van der Wiel, Wilfred Gerard; Rijnders, Augustinus J.H.M.; Huskens, Jurriaan

    2010-01-01

    FePt nanoparticles (NPs) were assembled on aluminum oxide substrates, and their ferromagnetic properties were studied before and after thermal annealing. For the first time, phosph(on)ates were used as an adsorbate to form self-assembled monolayers (SAMs) on alumina to direct the assembly of NPs

  15. Self-assembled monolayers of a disulphide-derivatised cobalt-porphyrin on gold

    International Nuclear Information System (INIS)

    Viana, A.S.; Leupold, S.; Montforts, F.-P.; Abrantes, L.M.

    2005-01-01

    A self-assembled monolayer (SAM) of a novel cobalt(II)porphyrin disulphide derivative was prepared on flat gold(1 1 1) electrode. Evidence for surface modification was provided by electrochemical reductive desorption of the monolayer and ellipsometry, consistent with a coverage of 2.5 x 10 -10 mol cm -2 and a thickness of 13 A, respectively. Both results support the presence of SAMs where the molecules share an intermediate position between perpendicular and flat orientation. Scanning tunnelling microscopy have also proven the formation of CoPSS SAMs, however high-resolution images could only be obtained when the CoPSS molecules were diluted in an hexanethiol SAM. The electrocatalytic activity of the surface confined Co-porphyrin was evaluated for the oxygen reduction. Voltammetric data indicate that reaction involves two electrons consistent with the formation of hydrogen peroxide. Under similar experimental conditions the data obtained for an iron-porphyrin analogue points for a full reduction of dioxygen to water

  16. Controlled modification of octadecyltrichlorosilane self-assembled monolayer by CO2 plasma

    International Nuclear Information System (INIS)

    Delorme, Nicolas; Bardeau, Jean-Francois; Bulou, Alain; Poncin-Epaillard, Fabienne

    2006-01-01

    CO 2 -plasma is used to introduce functional groups on the uppermost surface of an alkoxy silane self-assembled monolayer (Sam). The structural and chemical modifications of the material surface were monitored by X-ray reflectometry, atomic force microscopy, X-ray photoelectrons spectroscopy and water contact angle measurements. Optimization of the plasma parameters is performed in order to achieve a maximum functionalization and to prevent degradation of the SAM. Finally, the ability of grafting organic compounds onto the plasma modified SAMS was demonstrated by the formation of an alkoxysilane bilayer

  17. Characterization of manganese tetraarylthiosubstituted phthalocyanines self assembled monolayers

    International Nuclear Information System (INIS)

    Matemadombo, Fungisai; Durmus, Mahmut; Togo, Chamunorwa; Limson, Janice; Nyokong, Tebello

    2009-01-01

    Manganese tetraarylthiosubstituted phthalocyanines (complexes 1-5) have been deposited on Au electrode surfaces through the self assembled monolayer (SAM) technique. SAM characteristics reported in this work are: ion barrier factor (∼1); interfacial capacitance (303-539 μF cm -2 ) and surface coverage (1.06 x 10 -10 -2.80 x 10 -10 mol cm -2 ). Atomic force microscopy was employed in characterizing a SAM. SAMs of complexes 1-5 were employed to detect L-cysteine (with limit of detection ranging from 2.83 x 10 -7 to 3.14 x 10 -7 M at potentials of 0.68-0.75 V vs. Ag|AgCl) and nitrite (limit of detection ranging from 1.78 x 10 -7 to 3.02 x 10 -7 M at potentials of 0.69-0.76 V vs. Ag|AgCl).

  18. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    International Nuclear Information System (INIS)

    Cai, Qi; Xu, Baojian; Ye, Lin; Di, Zengfeng; Huang, Shanluo; Du, Xiaowei; Zhang, Jishen; Jin, Qinghui; Zhao, Jianlong

    2015-01-01

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  19. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Qi [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Xu, Baojian, E-mail: xbj@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Shanghai Internet of Things Co., LTD, No. 1455, Pingcheng Road, Shanghai 201899 (China); Ye, Lin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Di, Zengfeng [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Huang, Shanluo; Du, Xiaowei [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Zhang, Jishen; Jin, Qinghui [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Zhao, Jianlong, E-mail: jlzhao@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China)

    2015-10-30

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  20. Method for selective immobilization of macromolecules on self assembled monolayer surfaces

    Science.gov (United States)

    Laskin, Julia [Richland, WA; Wang, Peng [Billerica, MA

    2011-11-29

    Disclosed is a method for selective chemical binding and immobilization of macromolecules on solid supports in conjunction with self-assembled monolayer (SAM) surfaces. Immobilization involves selective binding of peptides and other macromolecules to SAM surfaces using reactive landing (RL) of mass-selected, gas phase ions. SAM surfaces provide a simple and convenient platform for tailoring chemical properties of a variety of substrates. The invention finds applications in biochemistry ranging from characterization of molecular recognition events at the amino acid level and identification of biologically active motifs in proteins, to development of novel biosensors and substrates for stimulated protein and cell adhesion.

  1. Triazolobithiophene Light Absorbing Self-Assembled Monolayers: Synthesis and Mass Spectrometry Applications

    Directory of Open Access Journals (Sweden)

    Denis Séraphin

    2011-10-01

    Full Text Available The synthesis of five light absorbing triazolobithiophenic thiols, which were utilized for producing self-assembled monolayers (SAMs on gold surfaces, is presented. The monolayer formation was monitored by cyclic voltammetry, indicating excellent surface coverage. The new triazolobithiophenic compounds exhibited an absorption maximum around 340 nm, which is close to the emission wavelength of a standard nitrogen laser. Consequently these compounds could be used to aid ionization in laser desorption mass spectrometry (MS.

  2. Molecular dynamics of contact behavior of self-assembled monolayers on gold using nanoindentation

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Te-Hua [Institute of Mechanical and Electromechanical Engineering National Formosa University, Yunlin 632, Taiwan (China); Chang, Win-Jin, E-mail: changwj@mail.ksu.edu.tw [Department of Mechanical Engineering Kun Shan University, Tainan 710, Taiwan (China); Fan, Yu-Cheng [Institute of Mechanical and Electromechanical Engineering National Formosa University, Yunlin 632, Taiwan (China); Weng, Cheng-I [Department of Mechanical Engineering National Cheng Kung University, Tainan, 710, Taiwan (China)

    2009-08-15

    Molecular dynamics simulation is used to study nanoindentation of the self-assembled monolayers (SAMs) on an Au surface. The interaction of SAM atoms is described by a general universal force field (UFF), the tight-binding second-moment approximation (TB-SMA) is used for Au substrate, and the Lennard-Jones potential function is employed to describe interaction among the indenter, the SAMs, and the Au substrate atoms. The model consists of a planar Au substrate with n-hexadecanethiol SAM chemisorbed to the substrate. The simulation results show that the contact pressure increases as the SAMs temperature increases. In addition, the contact pressure also increases as the depth and velocity of indentation increase.

  3. Molecular dynamics of contact behavior of self-assembled monolayers on gold using nanoindentation

    International Nuclear Information System (INIS)

    Fang, Te-Hua; Chang, Win-Jin; Fan, Yu-Cheng; Weng, Cheng-I

    2009-01-01

    Molecular dynamics simulation is used to study nanoindentation of the self-assembled monolayers (SAMs) on an Au surface. The interaction of SAM atoms is described by a general universal force field (UFF), the tight-binding second-moment approximation (TB-SMA) is used for Au substrate, and the Lennard-Jones potential function is employed to describe interaction among the indenter, the SAMs, and the Au substrate atoms. The model consists of a planar Au substrate with n-hexadecanethiol SAM chemisorbed to the substrate. The simulation results show that the contact pressure increases as the SAMs temperature increases. In addition, the contact pressure also increases as the depth and velocity of indentation increase.

  4. The Thioacetate-Functionalized Self-Assembled Monolayers on Au: Toward High-Performance Ion-Selective Electrode for Ag{sup +}

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jian; Zhou, Weijie; Chen, Ying; Liu, Yilong; Sun, Xiaoqiang; Xi Haitao [Changzhou Univ., Changzhou (China)

    2014-02-15

    Two classes of morpholino-substituted thioacetate have been successfully synthesized and their electrochemical properties of self-assembled monolayers (SAMs) on Au electrode are measured by cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS). The barrier property of the SAMs-modified surfaces is evaluated by using potassium ferro/ferri cyanide. The results suggest that the arenethioacetate forms higher-quality close-packed blocking monolayers in comparison with alkanethioacetate. Furthermore, it has shown that the barrier properties of these monolayers can be significantly improved by mixed SAMs formation with decanethiol. From our experimental results we find that the electron transfer reaction of [Fe(CN){sub 6}]{sup 3-/4-} redox couple occurs predominantly through the pinholes and defects present in the SAM and both SAMs show a good and fast capacity in recognition for Ag{sup +}. The morphological and elementary composition have also been examined by scanning electron microscope (SEM) and energy dispersive spectrometer (EDS)

  5. Synthesis of high quality single-walled carbon nanotubes via a catalytic layer reinforced by self-assembled monolayers

    International Nuclear Information System (INIS)

    Adhikari, Prashanta Dhoj; Song, Wooseok; Cha, Myoung-Jun; Park, Chong-Yun

    2013-01-01

    This work reports the synthesis of high quality single-walled carbon nanotubes (SWCNT) using a catalytic layer reinforced by self-assembled monolayers (SAM). Amine-SAM was introduced on a SiO 2 /Si substrate and then an iron nanoparticles solution was dropped on the substrate by spin-coating. This catalytic template was used to grow carbon nanotubes by chemical vapor deposition and the synthesized SWCNT were observed to be prominent, based on the size distribution. Highly dense SWCNT with a diameter of about 1.1-1.2 nm were produced at 800-850 °C. Moreover, the diameter distribution of the SWCNT was more selective at a growth temperature of 900 °C. These findings provide important insights for a SAM support layer that can play the role as a restriction for the agglomeration of iron catalyst and is promising for the synthesis of high quality SWCNT. - Highlights: • Fe nanoparticles on self-assembled monolayers (SAM) containing template is underlined. • Its catalytic behavior to synthesis single-walled carbon nanotubes is studied. • The role of SAM on catalytic template is explored

  6. Toward tunable doping in graphene FETs by molecular self-assembled monolayers

    Science.gov (United States)

    Li, Bing; Klekachev, Alexander V.; Cantoro, Mirco; Huyghebaert, Cedric; Stesmans, André; Asselberghs, Inge; de Gendt, Stefan; de Feyter, Steven

    2013-09-01

    In this paper, we report the formation of self-assembled monolayers (SAMs) of oleylamine (OA) on highly oriented pyrolytic graphite (HOPG) and graphene surfaces and demonstrate the potential of using such organic SAMs to tailor the electronic properties of graphene. Molecular resolution Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) images reveal the detailed molecular ordering. The electrical measurements show that OA strongly interacts with graphene leading to n-doping effects in graphene devices. The doping levels are tunable by varying the OA deposition conditions. Importantly, neither hole nor electron mobilities are decreased by the OA modification. As a benefit from this noncovalent modification strategy, the pristine characteristics of the device are recoverable upon OA removal. From this study, one can envision the possibility to correlate the graphene-based device performance with the molecular structure and supramolecular ordering of the organic dopant.In this paper, we report the formation of self-assembled monolayers (SAMs) of oleylamine (OA) on highly oriented pyrolytic graphite (HOPG) and graphene surfaces and demonstrate the potential of using such organic SAMs to tailor the electronic properties of graphene. Molecular resolution Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) images reveal the detailed molecular ordering. The electrical measurements show that OA strongly interacts with graphene leading to n-doping effects in graphene devices. The doping levels are tunable by varying the OA deposition conditions. Importantly, neither hole nor electron mobilities are decreased by the OA modification. As a benefit from this noncovalent modification strategy, the pristine characteristics of the device are recoverable upon OA removal. From this study, one can envision the possibility to correlate the graphene-based device performance with the molecular structure and supramolecular ordering of the organic

  7. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  8. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    Science.gov (United States)

    Campbell, I. H.; Rubin, S.; Zawodzinski, T. A.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1996-11-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy, 5-(2'-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM's) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM's on the Ag surface potential. Ab initio Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices.

  9. Effects of interface roughness on cohesive strength of self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chen [Department of Aerospace Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States); Awasthi, Amnaya P. [Department of Mechanical and Aerospace Engineering, University of Florida, Gainesville, 32611 (United States); Geubelle, Philippe H., E-mail: geubelle@illinois.edu [Department of Aerospace Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States); Grady, Martha E.; Sottos, Nancy R. [Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States)

    2017-03-01

    Highlights: • Self-assembled monolayer/transfer-printed gold interface modeled using continuum-level simulation. • Initial deformed film profile analyzed and instability assessed. • Effective cohesive response of SAM-enhanced interface extracted from spallation model. • Reduction of up to 70% cohesive strength of the interface from incorporation of roughness demonstrated. - Abstract: Self-assembled monolayers (SAMs) are aggregates of small molecular chains that have the property to form highly ordered assemblies. The choice of terminal groups on the chains makes them excellent contenders of molecular-level tailoring. Molecular dynamics (MD) simulations and experimental observations of spallation of two SAM-enhanced gold-film/silicon-substrate interfaces have shown that the cohesive strength of SAM-enriched transfer-printed interfaces is strongly dependent on the choice of terminal groups. Though the MD results of perfectly ordered atomistic surfaces show the same qualitative trend as the experiments, they over-predict the interfacial cohesive strengths by a factor of about 50. Results from AFM studies have revealed that the roughness of these interfaces is of the same order (∼1 nm) as the range of atomistic interactions. Hence, surface roughness is a key contributor in significantly reducing interfacial cohesive strength in these systems. In this manuscript, a continuum-level study is performed to investigate the influence of surface roughness on the cohesive strength of the interface between a Si/SAM substrate and a transfer-printed gold film. We approximate the film as a deformable continuum interacting with a rough substrate of SAMs represented by a harmonic function. Using a cohesive law derived from MD, spallation is simulated to evaluate the effective traction-separation characteristics for the rough SAM–gold interface. Our analysis shows that incorporating roughness may reduce the interfacial cohesive strength by an order of magnitude depending

  10. Formation of high-quality self-assembled monolayers of conjugated dithiols on gold: base matters.

    Science.gov (United States)

    Valkenier, Hennie; Huisman, Everardus H; van Hal, Paul A; de Leeuw, Dago M; Chiechi, Ryan C; Hummelen, Jan C

    2011-04-06

    This Article reports a systematic study on the formation of self-assembled monolayers (SAMs) of conjugated molecules for molecular electronic (ME) devices. We monitored the deprotection reaction of acetyl protected dithiols of oligophenylene ethynylenes (OPEs) in solution using two different bases and studied the quality of the resulting SAMs on gold. We found that the optimal conditions to reproducibly form dense, high-quality monolayers are 9-15% triethylamine (Et(3)N) in THF. The deprotection base tetrabutylammonium hydroxide (Bu(4)NOH) leads to less dense SAMs and the incorporation of Bu(4)N into the monolayer. Furthermore, our results show the importance of the equilibrium concentrations of (di)thiolate in solution on the quality of the SAM. To demonstrate the relevance of these results for molecular electronics applications, large-area molecular junctions were fabricated using no base, Et(3)N, and Bu(4)NOH. The magnitude of the current-densities in these devices is highly dependent on the base. A value of β=0.15 Å(-1) for the exponential decay of the current-density of OPEs of varying length formed using Et(3)N was obtained. © 2011 American Chemical Society

  11. Better Organic Ternary Memory Performance through Self-Assembled Alkyltrichlorosilane Monolayers on Indium Tin Oxide (ITO) Surfaces.

    Science.gov (United States)

    Hou, Xiang; Cheng, Xue-Feng; Zhou, Jin; He, Jing-Hui; Xu, Qing-Feng; Li, Hua; Li, Na-Jun; Chen, Dong-Yun; Lu, Jian-Mei

    2017-11-16

    Recently, surface engineering of the indium tin oxide (ITO) electrode of sandwich-like organic electric memory devices was found to effectively improve their memory performances. However, there are few methods to modify the ITO substrates. In this paper, we have successfully prepared alkyltrichlorosilane self-assembled monolayers (SAMs) on ITO substrates, and resistive random access memory devices are fabricated on these surfaces. Compared to the unmodified ITO substrates, organic molecules (i.e., 2-((4-butylphenyl)amino)-4-((4-butylphenyl)iminio)-3-oxocyclobut-1-en-1-olate, SA-Bu) grown on these SAM-modified ITO substrates have rougher surface morphologies but a smaller mosaicity. The organic layer on the SAM-modified ITO further aged to eliminate the crystalline phase diversity. In consequence, the ternary memory yields are effectively improved to approximately 40-47 %. Our results suggest that the insertion of alkyltrichlorosilane self-assembled monolayers could be an efficient method to improve the performance of organic memory devices. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Self assembled monolayer based liquid crystal biosensor for free cholesterol detection

    Energy Technology Data Exchange (ETDEWEB)

    Tyagi, Mukta; Agrawal, V. V. [Department of Science and Technology, Centre on Bimolecular Electronics, Biomedical Instrumentation Section, CSIR—National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Chandran, Achu; Joshi, Tilak [Polymeric and Soft Materials Section, CSIR—National Physical Laboratory (CSIR), Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Prakash, Jai [Centre for Physical and Mathematical Sciences, School of Basic and Applied Sciences, Central University of Punjab, City Campus, Mansa Road, Bathinda 151 001 (India); Biradar, A. M., E-mail: abiradar@mail.nplindia.ernet.in [Department of Science and Technology, Centre on Bimolecular Electronics, Biomedical Instrumentation Section, CSIR—National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Polymeric and Soft Materials Section, CSIR—National Physical Laboratory (CSIR), Dr. K. S. Krishnan Road, New Delhi 110 012 (India)

    2014-04-14

    A unique cholesterol oxidase (ChOx) liquid crystal (LC) biosensor, based on the disruption of orientation in LCs, is developed for cholesterol detection. A self-assembled monolayer (SAM) of Dimethyloctadecyl[3-(trimethoxysilyl)propyl]ammonium chloride (DMOAP) and (3-Aminopropyl)trimethoxy-silane (APTMS) is prepared on a glass plate by adsorption. The enzyme (ChOx) is immobilized on SAM surface for 12 h before utilizing the film for biosensing purpose. LC based biosensing study is conducted on SAM/ChOx/LC (5CB) cells for cholesterol concentrations ranging from 10 mg/dl to 250 mg/dl. The sensing mechanism has been verified through polarizing optical microscopy, scanning electron microscopy, and spectrometric techniques.

  13. Self assembled monolayer based liquid crystal biosensor for free cholesterol detection

    International Nuclear Information System (INIS)

    Tyagi, Mukta; Agrawal, V. V.; Chandran, Achu; Joshi, Tilak; Prakash, Jai; Biradar, A. M.

    2014-01-01

    A unique cholesterol oxidase (ChOx) liquid crystal (LC) biosensor, based on the disruption of orientation in LCs, is developed for cholesterol detection. A self-assembled monolayer (SAM) of Dimethyloctadecyl[3-(trimethoxysilyl)propyl]ammonium chloride (DMOAP) and (3-Aminopropyl)trimethoxy-silane (APTMS) is prepared on a glass plate by adsorption. The enzyme (ChOx) is immobilized on SAM surface for 12 h before utilizing the film for biosensing purpose. LC based biosensing study is conducted on SAM/ChOx/LC (5CB) cells for cholesterol concentrations ranging from 10 mg/dl to 250 mg/dl. The sensing mechanism has been verified through polarizing optical microscopy, scanning electron microscopy, and spectrometric techniques

  14. Formation of high-quality self-assembled monolayers of conjugated dithiols on gold : Base matters

    NARCIS (Netherlands)

    Valkenier, Hennie; Huisman, Everardus H.; Hal, Paul A. van; de Leeuw, Dagobert; Chiechi, Ryan C.; Hummelen, Jan C.

    2011-01-01

    This Article reports a systematic study on the formation of self-assembled monolayers (SAMs) of conjugated molecules for molecular electronic (ME) devices. We monitored the deprotection reaction of acetyl protected dithiols of oligophenylene ethynylenes (OPEs) in solution using two different bases

  15. Trapping dynamics of diindenoperylene (DIP) in self-assembled monolayers using molecular simulation

    KAUST Repository

    Kaushik, Ananth P.

    2011-07-01

    All-atom Molecular Dynamics simulation methods employing a well-tested intermolecular potential model, MM3 (Molecular Mechanics 3), demonstrate the propensity for diindenoperylene (DIP) molecules to insert between molecules of a self-assembled monolayer (SAM) during a deposition process intended to grow a thin film of this organic semiconductor molecule onto the surface of self-assembled monolayers. The tendency to insert between SAM molecules is fairly prevalent at normal growth temperatures and conditions, but is most strongly dependent on the density and the nature of the SAM. We posit the existence of an optimal density to favor surface adsorption over insertion for this system. DIP is less likely to insert in fluorinated SAMs, like FOTS (fluorooctatrichlorosilane), than its unfluorinated analog, OTS (octatrichlorosilane). It is also less likely to insert between shorter SAMs (e.g., less insertion in OTS than ODTS (octadecyltrichlorosilane)). Very short length, surface-coating molecules, like HDMS (hexamethyldisilazane), are more likely to scatter energetic incoming DIP molecules with little insertion on first impact (depending on the incident energy of the DIP molecule). Grazing angles of incidence of the depositing molecules generally favor surface adsorption, at least in the limit of low coverage, but are shown to be dependent on the nature of the SAM. The validity of these predictions is confirmed by comparison of the predicted sticking coefficients of DIP at a variety of incident energies on OTS, ODTS, and FOTS SAMs with results obtained experimentally by Desai et al. (2010) [23]. The simulation predictions of the tendency of DIP to insert can be explained, in large part, in terms of binding energies between SAM and DIP molecules. However, we note that entropic and stochastic events play a role in the deposition outcomes. Preliminary studies of multiple deposition events, emulating growth, show an unexpected diffusion of DIP molecules inserted within the

  16. Controlling charge injection in organic electronic devices using self-assembled monolayers

    Science.gov (United States)

    Campbell, I. H.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1997-12-01

    We demonstrate control and improvement of charge injection in organic electronic devices by utilizing self-assembled monolayers (SAMs) to manipulate the Schottky energy barrier between a metal electrode and the organic electronic material. Hole injection from Cu electrodes into the electroluminescent conjugated polymer poly[2-methoxy,5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] was varied by using two conjugated-thiol based SAMs. The chemically modified electrodes were incorporated in organic diode structures and changes in the metal/polymer Schottky energy barriers and current-voltage characteristics were measured. Decreasing (increasing) the Schottky energy barrier improves (degrades) charge injection into the polymer.

  17. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, I.H.; Rubin, S.; Zawodzinski, T.A.; Kress, J.D.; Martin, R.L.; Smith, D.L. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Barashkov, N.N.; Ferraris, J.P. [The University of Texas at Dallas, Richardson, Texas 75083 (United States)

    1996-11-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy], 5-(2{prime}-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM{close_quote}s) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM{close_quote}s on the Ag surface potential. {ital Ab} {ital initio} Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices. {copyright} {ital 1996 The American Physical Society.}

  18. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    International Nuclear Information System (INIS)

    Campbell, I.H.; Rubin, S.; Zawodzinski, T.A.; Kress, J.D.; Martin, R.L.; Smith, D.L.; Barashkov, N.N.; Ferraris, J.P.

    1996-01-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy], 5-(2'-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM close-quote s) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM close-quote s on the Ag surface potential. Ab initio Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices. copyright 1996 The American Physical Society

  19. Transition voltages respond to synthetic reorientation of embedded dipoles in self-assembled monolayers

    NARCIS (Netherlands)

    Kovalchuk, Andrii; Abu-Husein, Tarek; Fracasso, Davide; Egger, David A.; Zojer, Egbert; Zharnikov, Michael; Terfort, Andreas; Chiechi, Ryan C.

    2016-01-01

    We studied the influence of embedded dipole moments in self-assembled monolayers (SAMs) formed on template stripped Au surfaces with liquid eutectic Ga-In alloy as a top electrode. We designed three molecules based on a p-terphenyl structure in which the central aromatic ring is either phenyl or a

  20. Surface characterization of sulfur and alkanethiol self-assembled monolayers on Au(111)

    International Nuclear Information System (INIS)

    Vericat, C; Vela, M E; Benitez, G A; Gago, J A Martin; Torrelles, X; Salvarezza, R C

    2006-01-01

    In the last two decades surface science techniques have decisively contributed to our present knowledge of alkanethiol self-assembled monolayers (SAMs) on solid surfaces. These organic layers have been a challenge for surface scientists, in particular because of the soft nature of the organic material (which can be easily damaged by irradiation), the large number of atoms present in the molecules, and the complex physical chemistry involved in the self-assembly process. This challenge has been motivated by the appealing technological applications of SAMs that cover many fields of the emerging area of nanotechnology. Sulfur (S) is closely related to alkanethiols and can be used to understand basic aspects of the surface structure of SAMs. In this review we focus on the atomic/molecular structures of S-containing SAMs on Au(111). Particular emphasis is given to the substrate, adsorption sites, chemical state of the S-metal bond and also to the experimental and theoretical tools used to study these structures at the atomic or molecular levels. (topical review)

  1. Surface characterization of sulfur and alkanethiol self-assembled monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Vericat, C [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Vela, M E [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Benitez, G A [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Gago, J A Martin [Centro de AstrobiologIa (CSIC-INTA), 28850 Torrejon de Ardoz Madrid (Spain); Torrelles, X [Instituto de Ciencia de Materiales de Barcelona (ICMAB), Barcelona (Spain); Salvarezza, R C [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina)

    2006-12-06

    In the last two decades surface science techniques have decisively contributed to our present knowledge of alkanethiol self-assembled monolayers (SAMs) on solid surfaces. These organic layers have been a challenge for surface scientists, in particular because of the soft nature of the organic material (which can be easily damaged by irradiation), the large number of atoms present in the molecules, and the complex physical chemistry involved in the self-assembly process. This challenge has been motivated by the appealing technological applications of SAMs that cover many fields of the emerging area of nanotechnology. Sulfur (S) is closely related to alkanethiols and can be used to understand basic aspects of the surface structure of SAMs. In this review we focus on the atomic/molecular structures of S-containing SAMs on Au(111). Particular emphasis is given to the substrate, adsorption sites, chemical state of the S-metal bond and also to the experimental and theoretical tools used to study these structures at the atomic or molecular levels. (topical review)

  2. IMPACT OF POLYCYCLIC AROMATIC HYDROCARBONS OF THE ELECTROCHEMICAL RESPONSES OF A FERRICYNIDE PROBE AT TEMPLATE-MODIFIED SELF ASSEMBLED MONOLAYERS ON GOLD ELECTRODES

    Science.gov (United States)

    The impact of pyrene on the electrochemical response of the ferricyanide probe using Self Assembled Monolayer (SAM)-modified gold electrodes was investigated using Cyclic Voltammetry (CV) and Square Wave Voltammetry (SWV). These results suggest the feasibility of using SAMs, par...

  3. Graphene growth by conversion of aromatic self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Turchanin, Andrey [Institute of Physical Chemistry, Friedrich Schiller University Jena (Germany); Jena Center for Soft Matter (JCSM), Jena (Germany); Center for Energy and Environmental Chemistry Jena (CEEC), Jena (Germany); Abbe Center of Photonics (ACP), Jena (Germany)

    2017-11-15

    Despite present diversity of graphene production methods there is still a high demand for improvement of the existing production schemes or development of new. Here a method is reviewed to produce graphene employing aromatic self-assembled monolayers (SAMs) as molecular precursors. This method is based on electron irradiation induced crosslinking of aromatic SAMs resulting in their conversion into carbon nanomembranes (CNMs) with high thermal stability and subsequent pyrolysis of CNMs into graphene in vacuum or in the inert atmosphere. Depending on the production conditions, such as chemical structure of molecular precursors, irradiation and annealing parameters, various properties of the produced graphene sheets including shape, crystallinity, thickness, optical properties and electric transport can be adjusted. The assembly of CNM/graphene van der Waals heterostructures opens a flexible route to non-destructive chemical functionalization of graphene for a variety of applications in electronic and photonic devices. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Metallic Contact Formation for Molecular Electronics : Interactions between Vapor-Deposited Metals and Self-Assembled Monolayers of Conjugated Mono- and Dithiols

    NARCIS (Netherlands)

    Boer, Bert de; Frank, Martin M.; Chabal, Yves J.; Jiang, Weirong; Garfunkel, Eric; Bao, Zhenan

    2004-01-01

    We present grazing-incidence Fourier transform infrared and AFM data of Au, Al, and Ti vapor-deposited onto self-assembled monolayers (SAMs) of conjugated mono- and dithiols. SAMs of 4,4'''-dimercapto-p-quaterphenyl, 4,4''-dimercapto-p-terphenyl, and 4,4'-dimercapto-p-biphenyl have reactive thiols

  5. Self-assembled monolayer of ammonium pyrrolidine dithiocarbamate on copper detected using electrochemical methods, surface enhanced Raman scattering and quantum chemistry calculations

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Q.-Q., E-mail: liaoqq1971@yahoo.com.cn [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Yue, Z.-W.; Yang, D. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Wang, Z.-H. [Department of Chemistry, Tongji University, Shanghai 200092 (China); Li, Z.-H. [Department of Chemistry, Fudan University, Shanghai 200433 (China); Ge, H.-H. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Li, Y.-J. [Department of Chemistry, Tongji University, Shanghai 200092 (China)

    2011-07-29

    Ammonium pyrrolidine dithiocarbamate (APDTC) monolayer was self-assembled on fresh copper surface obtained after oxidation-reduction cycle treatment in 0.1 mol L{sup -1} potassium chloride solution at ambient temperature. The APDTC self-assembled monolayer (SAM) on copper surface was investigated by surface enhanced Raman scattering spectroscopy and the results show that APDTC SAM is chemisorbed on copper surface by its sulfur atoms with perpendicular orientation. The optimum immersing period for SAM formation is 4 h at 0.01 mol L{sup -1} concentration of APDTC. The impedance results indicate that APDTC SAM has good corrosion inhibition effects for copper in 0.5 mol L{sup -1} hydrochloric acid solution and its maximum inhibition efficiency could reach 95%. Quantum chemical calculations show that APDTC has relatively small {Delta}E between the highest occupied molecular orbital and the lowest unoccupied molecular orbital and large negative charge in its two sulfur atoms, which facilitate formation of an insulating Cu/APDTC film on copper surface.

  6. Controlling charge injection in organic electronic devices using self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, I.H.; Kress, J.D.; Martin, R.L.; Smith, D.L. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Barashkov, N.N.; Ferraris, J.P. [The University of Texas at Dallas, Richardson, Texas 75083 (United States)

    1997-12-01

    We demonstrate control and improvement of charge injection in organic electronic devices by utilizing self-assembled monolayers (SAMs) to manipulate the Schottky energy barrier between a metal electrode and the organic electronic material. Hole injection from Cu electrodes into the electroluminescent conjugated polymer poly[2-methoxy,5-(2{sup {prime}}-ethyl-hexyloxy)-1,4-phenylene vinylene] was varied by using two conjugated-thiol based SAMs. The chemically modified electrodes were incorporated in organic diode structures and changes in the metal/polymer Schottky energy barriers and current{endash}voltage characteristics were measured. Decreasing (increasing) the Schottky energy barrier improves (degrades) charge injection into the polymer. {copyright} {ital 1997 American Institute of Physics.}

  7. Monolayer-directed Assembly and Magnetic Properties of FePt Nanoparticles on Patterned Aluminum Oxide

    Directory of Open Access Journals (Sweden)

    Guus Rijnders

    2010-03-01

    Full Text Available FePt nanoparticles (NPs were assembled on aluminum oxide substrates, and their ferromagnetic properties were studied before and after thermal annealing. For the first time, phosph(onates were used as an adsorbate to form self-assembled monolayers (SAMs on alumina to direct the assembly of NPs onto the surface. The Al2O3 substrates were functionalized with aminobutylphosphonic acid (ABP or phosphonoundecanoic acid (PNDA SAMs or with poly(ethyleneimine (PEI as a reference. FePt NPs assembled on all of these monolayers, but much less on unmodified Al2O3, which shows that ligand exchange at the NPs is the most likely mechanism of attachment. Proper modification of the Al2O3 surface and controlling the immersion time of the modified Al2O3 substrates into the FePt NP solution resulted in FePt NPs assembly with controlled NP density. Alumina substrates were patterned by microcontact printing using aminobutylphosphonic acid as the ink, allowing local NP assembly. Thermal annealing under reducing conditions (96%N2/4%H2 led to a phase change of the FePt NPs from the disordered FCC phase to the ordered FCT phase. This resulted in ferromagnetic behavior at room temperature. Such a process can potentially be applied in the fabrication of spintronic devices.

  8. Membrane protein resistance of oligo(ethylene oxide) self-assembled monolayers.

    Science.gov (United States)

    Vaish, Amit; Vanderah, David J; Vierling, Ryan; Crawshaw, Fay; Gallagher, D Travis; Walker, Marlon L

    2014-10-01

    As part of an effort to develop biointerfaces for structure-function studies of integral membrane proteins (IMPs) a series of oligo(ethylene oxide) self-assembled monolayers (OEO-SAMs) were evaluated for their resistance to protein adsorption (RPA) of IMPs on Au and Pt. Spectroscopic ellipsometry (SE) was used to determine SAM thicknesses and compare the RPA of HS(CH2)3O(CH2CH2O)6CH3 (1), HS(CH2)3O(CH2CH2O)6H (2), [HS(CH2)3]2CHO(CH2CH2O)6CH3 (3) and [HS(CH2)3]2CHO(CH2CH2O)6H (4), assembled from water. For both substrates, SAM thicknesses for 1 to 4 were found to be comparable indicating SAMs with similar surface coverages and OEO chain order and packing densities. Fibrinogen (Fb), a soluble plasma protein, and rhodopsin (Rd), an integral membrane G-protein coupled receptor, adsorbed to the SAMs of 1, as expected from previous reports, but not to the hydroxy-terminated SAMs of 2 and 4. The methoxy-terminated SAMs of 3 were resistant to Fb but, surprisingly, not to Rd. The stark difference between the adsorption of Rd to the SAMs of 3 and 4 clearly indicate that a hydroxy-terminus of the OEO chain is essential for high RPA of IMPs. The similar thicknesses and high RPA of the SAMs of 2 and 4 show the conditions of protein resistance (screening the underlying substrate, packing densities, SAM order, and conformational mobility of the OEO chains) defined from previous studies on Au are applicable to Pt. In addition, the SAMs of 4, exhibiting the highest resistance to Fb and Rd, were placed in contact with undiluted fetal bovine serum for 2h. Low protein adsorption (≈12.4ng/cm(2)), obtained under these more challenging conditions, denote a high potential of the SAMs of 4 for various applications requiring the suppression of non-specific protein adsorption. Published by Elsevier B.V.

  9. Inhibition of copper corrosion in sodium chloride solution by the self-assembled monolayer of sodium diethyldithiocarbamate

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Q.Q., E-mail: liaoqq1971@yahoo.com.c [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Yue, Z.W.; Yang, D. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Wang, Z.H. [Department of Chemistry, Tongji University, Shanghai 200092 (China); Li, Z.H. [Department of Chemistry, Fudan University, Shanghai 200433 (China); Ge, H.H. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Li, Y.J. [Department of Chemistry, Tongji University, Shanghai 200092 (China)

    2011-05-15

    Research highlights: DDTC is of low toxicity. DDTC SAM had good corrosion inhibition effects on copper in 3% NaCl solution. DDTC SAM was chemisorbed on copper surface by its S atoms. - Abstract: Sodium diethyldithiocarbamate (DDTC) self-assembled monolayer (SAM) on copper surface has been investigated by SERS and EDS and the results show that DDTC SAM is chemisorbed on copper surface by its S atoms with tilted orientation. Corrosion inhibition ability of DDTC SAM was measured in 3% NaCl solution using electrochemical methods. The impedance results indicate that the maximum inhibition efficiency of DDTC SAM can reach 99%. Quantum chemical calculations show that DDTC has relatively small {Delta}E between HOMO and LUMO and large negative charge in its two sulfur atoms, which facilitates the formation of a DDTC SAM on copper surface.

  10. Patterning of self-assembled monolayers based on differences in molecular conductance.

    Science.gov (United States)

    Shen, Cai; Buck, Manfred

    2009-06-17

    Scanning tunneling microscopy (STM) is used for replacement patterning of self-assembled monolayers (SAMs) of thiols on a sub-10 nm scale. Contrasting other schemes of scanning probe patterning of SAMs, the exchange of molecules relies on differences in conductance and, thus, occurs under tunneling conditions where the resolution of the tip is maintained. Exchange takes place at the boundary between different thiols but only when the tip moves from areas of lower to higher conductance. In combination with SAMs which exhibit excellent structural quality, patterns with a contour definition of +/- 1 molecule, lines as thin as 2.5 nm and islands with an area of less than 20 nm2 are straightforwardly produced. It is suggested that the shear force exerted onto the molecules with the lower conductance triggers displacement of the one with higher conductance.

  11. Vascular endothelial growth factor attachment to hydroxyapatite via self-assembled monolayers promotes angiogenic activity of endothelial cells

    International Nuclear Information System (INIS)

    Solomon, Kimberly D.; Ong, Joo L.

    2013-01-01

    Currently, tissue engineered constructs for critical sized bone defects are non-vascularized. There are many strategies used in order to promote vascularization, including delivery of growth factors such as vascular endothelial growth factor (VEGF). In this study, hydroxyapatite (HA) was coated with self-assembled monolayers (SAMs). The SAMs were in turn used to covalently bind VEGF to the surface of HA. The different SAM chain length ratios (phosphonoundecanoic acid (11-PUDA):16-phosphonohexadecanoic acid (16-PHDA) utilized in this study were 0:100, 25:75, 50:50, 75:25, and 100:0. Surfaces were characterized by contact angle (CA) and atomic force microscopy, and an in vitro VEGF release study was performed. It was observed that CA and root-mean-squared roughness were not significantly affected by the addition of SAMs, but that CA was significantly lowered with the addition of VEGF. VEGF release profiles of bound VEGF groups all demonstrated less initial burst release than adsorbed control, indicating that VEGF was retained on the HA surface when bound by SAMs. An in vitro study using human aortic endothelial cells (HAECs) demonstrated that bound VEGF increased metabolic activity and caused sustained production of angiopoietin-2, an angiogenic marker, over 28 days. In conclusion, SAMs provide a feasible option for growth factor delivery from HA surfaces, enhancing angiogenic activity of HAECs in vitro. - Highlights: • Vascular endothelial growth factor (VEGF) is attached to hydroxyapatite (HA). • Self-assembled monolayers (SAMs) delay the release of VEGF from hydroxyapatite. • SAM chain length ratio affects the total mass of VEGF released. • VEGF on HA up-regulates proliferation and angiogenic activity of endothelial cells

  12. Influence of molecular packing on the corrosion inhibition properties of self-assembled octadecyltrichlorosilane monolayers on silicon

    International Nuclear Information System (INIS)

    Hsieh, Shuchen; Chao, Wei-Jay; Lin, Pei-Ying; Hsieh, Chiung-Wen

    2014-01-01

    Highlights: •Molecular packing plays an important role in determining SAM film properties. •Loose-packed OTS monolayers on silicon were corroded by exposure to KMnO 4 . •Dense-packed OTS SAM films exhibited excellent corrosion protection efficacy. -- Abstract: The corrosion inhibition properties of octadecyltrichlorosilane (OTS) self-assembled monolayers (SAMs) on silicon were investigated. Atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle (CA), and lateral force microscopy (LFM) were used to determine the OTS film formation time, packing density, and corrosion protection efficacy. The OTS films reached adsorption saturation after 15 s; however, the molecular density continued to increase up to 24 h. The films were exposed to the strong oxidant KMnO 4 , and while 15-s film samples exhibited corrosion after a 1 min exposure, samples with films grown for 24 h were protected even after 24 h

  13. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    NARCIS (Netherlands)

    Brondijk, J. J.; Li, X.; Akkerman, H. B.; Blom, P. W. M.; de Boer, B.

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were

  14. Fabrication of an electrically conductive mixed self-assembled monolayer and its application in an electrochemical immunosensor

    International Nuclear Information System (INIS)

    Lee, Jung Bae; Namgung, Miok; Lee, Sang-Baek; Oh, Se Young

    2008-01-01

    Oligophenylethynylene thiol containing carboxylic acid in the tail group as a conducting wire bioreceptor was synthesized, and then its electrical property was investigated from the measurement of scanning tunneling microscopy (STM). Mixed self-assembled monolayer (SAM) consisting of 4-(2-(4-acetylthio)phenyl)ethynyl) benzoic acid (APBA) and butanethiol was fabricated in order to improve the electrical conductivity owing to the molecular orientation. We have examined the molecular orientation and the electrochemical activity of mixed SAM via X-ray photoelectron spectroscopy (XPS) and cyclic voltammetry (CV). Especially, the prepared mixed SAM used as a bioreceptor in electrochemical prostate specific antigen (PSA) immunosensor showed higher electrochemical activity than that of the other SAMs

  15. Self-assembled monolayer of designed and synthesized triazinedithiolsilane molecule as interfacial adhesion enhancer for integrated circuit

    Directory of Open Access Journals (Sweden)

    Wang Fang

    2011-01-01

    Full Text Available Abstract Self-assembled monolayer (SAM with tunable surface chemistry and smooth surface provides an approach to adhesion improvement and suppressing deleterious chemical interactions. Here, we demonstrate the SAM comprising of designed and synthesized 6-(3-triethoxysilylpropylamino-1,3,5-triazine-2,4-dithiol molecule, which can enhance interfacial adhesion to inhibit copper diffusion used in device metallization. The formation of the triazinedithiolsilane SAM is confirmed by X-ray photoelectron spectroscopy. The adhesion strength between SAM-coated substrate and electroless deposition copper film was up to 13.8 MPa. The design strategy of triazinedithiolsilane molecule is expected to open up the possibilities for replacing traditional organosilane to be applied in microelectronic industry.

  16. Effect of Structure and Disorder on the Charge Transport in Defined Self-Assembled Monolayers of Organic Semiconductors.

    Science.gov (United States)

    Schmaltz, Thomas; Gothe, Bastian; Krause, Andreas; Leitherer, Susanne; Steinrück, Hans-Georg; Thoss, Michael; Clark, Timothy; Halik, Marcus

    2017-09-26

    Self-assembled monolayer field-effect transistors (SAMFETs) are not only a promising type of organic electronic device but also allow detailed analyses of structure-property correlations. The influence of the morphology on the charge transport is particularly pronounced, due to the confined monolayer of 2D-π-stacked organic semiconductor molecules. The morphology, in turn, is governed by relatively weak van-der-Waals interactions and is thus prone to dynamic structural fluctuations. Accordingly, combining electronic and physical characterization and time-averaged X-ray analyses with the dynamic information available at atomic resolution from simulations allows us to characterize self-assembled monolayer (SAM) based devices in great detail. For this purpose, we have constructed transistors based on SAMs of two molecules that consist of the organic p-type semiconductor benzothieno[3,2-b][1]benzothiophene (BTBT), linked to a C 11 or C 12 alkylphosphonic acid. Both molecules form ordered SAMs; however, our experiments show that the size of the crystalline domains and the charge-transport properties vary considerably in the two systems. These findings were confirmed by molecular dynamics (MD) simulations and semiempirical molecular-orbital electronic-structure calculations, performed on snapshots from the MD simulations at different times, revealing, in atomistic detail, how the charge transport in organic semiconductors is influenced and limited by dynamic disorder.

  17. Self-assembled monolayers of bimetallic Au/Ag nanospheres with superior surface-enhanced Raman scattering activity for ultra-sensitive triphenylmethane dyes detection.

    Science.gov (United States)

    Tian, Yue; Zhang, Hua; Xu, Linlin; Chen, Ming; Chen, Feng

    2018-02-15

    The bimetallic Au/Ag self-assembled monolayers (SAMs) were constructed by using mono-dispersed Au/Ag nanospheres (Ag: 4.07%-34.53%) via evaporation-based assembly strategy. The composition-dependent surface-enhanced Raman scattering (SERS) spectroscopy revealed that the Au/Ag (Ag: 16.83%) SAMs provide maximized activity for triphenylmethane dyes detection. With the inter-metallic synergy, the optimized SAMs enable the Raman intensity of crystal violet molecules to be about 223 times higher than that of monometallic Au SAMs. Moreover, the SERS signals with excellent uniformity (<5% variation) are sensitive down to 10 -13   M concentrations because of the optimal matching between bimetallic plasmon resonance and the incident laser wavelength.

  18. Calculation of Quasi-Particle Energies of Aromatic Self-Assembled Monolayers on Au(111).

    Science.gov (United States)

    Li, Yan; Lu, Deyu; Galli, Giulia

    2009-04-14

    We present many-body perturbation theory calculations of the electronic properties of phenylene diisocyanide self-assembled monolayers (SAMs) on a gold surface. Using structural models obtained within density functional theory (DFT), we have investigated how the SAM molecular energies are modified by self-energy corrections and how they are affected by the presence of the surface. We have employed a combination of GW (G = Green's function; W = screened Coulomb interaction) calculations of the SAM quasi-particle energies and a semiclassical image potential model to account for surface polarization effects. We find that it is essential to include both quasi-particle corrections and surface screening in order to provide a reasonable estimate of the energy level alignment at a SAM-metal interface. In particular, our results show that within the GW approximation the energy distance between phenylene diisocyanide SAM energy levels and the gold surface Fermi level is much larger than that found within DFT, e.g., more than double in the case of low packing densities of the SAM.

  19. Chemical resistivity of self-assembled monolayer covalently attached to silicon substrate to hydrofluoric acid and ammonium fluoride

    Science.gov (United States)

    Saito, N.; Youda, S.; Hayashi, K.; Sugimura, H.; Takai, O.

    2003-06-01

    Self-assembled monolayers (SAMs) were prepared on hydrogen-terminated silicon substrates through chemical vapor deposition using 1-hexadecene (HD) as a precursor. The HD-SAMs prepared in an atmosphere under a reduced pressure (≈50 Pa) showed better chemical resistivities to hydrofluoric acid and ammonium fluoride (NH 4F) solutions than that of an organosilane SAM formed on oxide-covered silicon substrates. The surface covered with the HD-SAM was micro-patterned by vacuum ultraviolet photolithography and consequently divided into two areas terminated with HD-SAM or silicon dioxide. This micro-patterned sample was immersed in a 40 vol.% NH 4F aqueous solution. Surface images obtained by an optical microscopy clearly show that the micro-patterns of HD-SAM/silicon dioxide were successfully transferred into the silicon substrate.

  20. Electrochemical detection of Cd2+ ions by a self-assembled monolayer of 1,9-nonanedithiol on gold

    International Nuclear Information System (INIS)

    Malel, Esteban; Sinha, Jatin K.; Zawisza, Izabella; Wittstock, Gunther; Mandler, Daniel

    2008-01-01

    The application of 1,9-nonanedithiol (NDT) self-assembled monolayer (SAM) on gold for the electrochemical determination of Cd 2+ was studied. Interestingly, we found that a NDT SAM strongly affects the stripping wave of Cd, resulting in a sharp peak that was used for electroanalytical determination of Cd 2+ in aqueous solutions. The different parameters, such as potential and time of deposition of Cd, were examined. Furthermore, polarization-modulated infrared reflection absorption spectroscopy (PM IRRAS) and X-ray photoelectron spectroscopy (XPS) were used for exploring the interaction between the deposited Cd and the thiol groups on Au. FTIR measurements clearly indicate that NDT is assembled in a disordered liquid type monolayer interacting with the Au electrode via both thiol moieties. XPS reveals that Cd is stripped at two different potentials and that the signal of sulfur is almost unchanged by deposition and desorption of Cd. All these finding allude to the interesting conclusion that Cd is deposited on Au lifting to some extent the thiol groups

  1. Effect of Time and Deposition Method on Quality of Phosphonic Acid Modifier Self-Assembled Monolayers on Indium Zinc Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Sang, Lingzi; Knesting, Kristina M.; Bulusu, Anuradha; Sigdel, Ajaya K.; Giordano, Anthony J.; Marder, Seth R.; Berry, Joseph J.; Graham, Samuel; Ginger, David S.; Pemberton, Jeanne E.

    2016-12-15

    Phosphonic acid (PA) self-assembled monolayers (SAMs) are utilized at critical interfaces between transparent conductive oxides (TCO) and organic active layers in organic photovoltaic devices (OPVs). The effects of PA deposition method and time on the formation of close-packed, high-quality monolayers is investigated here for SAMs fabricated by solution deposition, micro-contact printing, and spray coating. The solution deposition isotherm for pentafluorinated benzylphosphonic acid (F5BnPA) on indium-doped zinc oxide (IZO) is studied using polarization modulation-infrared reflection-absorption spectroscopy (PM-IRRAS) at room temperature as a model PA/IZO system. Fast surface adsorption occurs within the first min; however, well-oriented high-quality SAMs are reached only after -48 h, presumably through a continual process of molecular adsorption/desorption and monolayer filling accompanied by molecular reorientation. Two other rapid, soak-free deposition techniques, micro-contact printing and spray coating, are also explored. SAM quality is compared for deposition of phenyl phosphonic acid (PPA), F13-octylphosphonic acid (F13OPA), and pentafluorinated benzyl phosphonic acid (F5BnPA) by solution deposition, micro-contact printing and spray coating using PM-IRRAS. In contrast to micro-contact printing and spray coating techniques, 48-168 h solution deposition at both room temperature and 70 degrees C result in contamination- and surface etch-free close-packed monolayers with good reproducibility. SAMs fabricated by micro-contact printing and spray coating are much less well ordered.

  2. The SAM, not the electrodes, dominates charge transport in metal-monolayer//Ga2O3/gallium-indium eutectic junctions.

    Science.gov (United States)

    Reus, William F; Thuo, Martin M; Shapiro, Nathan D; Nijhuis, Christian A; Whitesides, George M

    2012-06-26

    The liquid-metal eutectic of gallium and indium (EGaIn) is a useful electrode for making soft electrical contacts to self-assembled monolayers (SAMs). This electrode has, however, one feature whose effect on charge transport has been incompletely understood: a thin (approximately 0.7 nm) film-consisting primarily of Ga(2)O(3)-that covers its surface when in contact with air. SAMs that rectify current have been measured using this electrode in Ag(TS)-SAM//Ga(2)O(3)/EGaIn (where Ag(TS) = template-stripped Ag surface) junctions. This paper organizes evidence, both published and unpublished, showing that the molecular structure of the SAM (specifically, the presence of an accessible molecular orbital asymmetrically located within the SAM), not the difference between the electrodes or the characteristics of the Ga(2)O(3) film, causes the observed rectification. By examining and ruling out potential mechanisms of rectification that rely either on the Ga(2)O(3) film or on the asymmetry of the electrodes, this paper demonstrates that the structure of the SAM dominates charge transport through Ag(TS)-SAM//Ga(2)O(3)/EGaIn junctions, and that the electrical characteristics of the Ga(2)O(3) film have a negligible effect on these measurements.

  3. Phosphonate self-assembled monolayers as organic linkers in solid-state quantum dot sensetized solar cells

    KAUST Repository

    Ardalan, Pendar

    2010-06-01

    We have employed X-ray photoelectron spectroscopy (XPS), ultraviolet-visible (UV-vis) spectroscopy, infrared (IR) spectroscopy, water contact angle (WCA) measurements, ellipsometry, and electrical measurements to study the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state quantum dot sensitized solar cells (QDSSCs). ∼2 to ∼6 nm size CdS quantum dots (QDs) were grown on the SAM-passivated TiO2 surfaces by successive ionic layer adsorption and reaction (SILAR). Our results show differences in the bonding of the CdS QDs at the TiO2 surfaces with a SAM linker. Moreover, our data indicate that presence of a SAM increases the CdS uptake on TiO2 as well as the performance of the resulting devices. Importantly, we observe ∼2 times higher power conversion efficiencies in the devices with a SAM compared to those that lack a SAM. © 2010 IEEE.

  4. Onset wear in self-assembled monolayers

    International Nuclear Information System (INIS)

    D'Acunto, Mario

    2006-01-01

    Self-assembled monolayers (SAMs) are very useful for the systematic modification of the physical, chemical and structural properties of a surface by varying the chain length, tail group and composition. Many of these properties can be studied making use of atomic force microscopy (AFM), and the interaction between the AFM probe tip and the SAMs can also be considered an excellent reference to study the fundamental properties of dissipation phenomena and onset wear for viscoelastic materials on the nanoscale. We have performed a numerical study showing that the fundamental mechanism for the onset wear is a process of nucleation of domains starting from initial defects. An SAM surface repeatedly sheared by an AFM probe tip with enough applied loads shows the formation of progressive damages nucleating in domains. The AFM induced surface damages involve primarily the formation of radicals from the carbon chain backbones, but the deformations of the chains resulting in changes of period lattice also have to be taken into consideration. The nucleation of the wear domains generally starts at the initial surface defects where the energy cohesion between chains is lower. Moreover, the presence of surface defects is consistent with the changes in lateral force increasing the probability of the activation for the removal of carbon debris from the chain backbone. The quantification of the progressive worn area is performed making use of the Kolmogorov-Johnson-Mehl-Avrami (KJMA) theory for phase transition kinetic processes. The advantage of knowing the general conditions for onset wear on the SAM surfaces can help in studying the fundamental mechanisms for the tribological properties of viscoelastic materials, in solid lubrication applications and biopolymer mechanics

  5. Organic surfaces exposed by self-assembled organothiol monolayers: Preparation, characterization, and application

    Science.gov (United States)

    Kind, Martin; Wöll, Christof

    2009-07-01

    Organic surfaces play a major role in materials science. Most surfaces that we touch in our daily lives are made from organic materials, e.g., vegetables, fruit, skin, wood, and textiles made from natural fibers. In the context of biology, organic surfaces play a prominent role too, proteins docking onto cell surfaces are a good example. To better understand the characteristics of organic surfaces, including physico-chemical properties like wettability or chemical reactivities and physical properties like friction and lubrication, a structurally well-defined model system that can be investigated with numerous analytical techniques is desirable. In the last two decades, one particular system, self-assembled monolayers or SAMs, have demonstrated their suitability for this purpose. In particular, organothiols consisting of an organic molecule with an attached SH-group are well suited to fabricating structurally well-defined adlayers of monolayer thickness on gold substrates using a simple preparation procedure. These ultrathin monolayers expose an organic surface with properties that can be tailored by varying the type of organothiol employed. After a short introduction into the preparation of SAMs, this article provides an overview of the possibilities and limitations of organic surfaces exposed by Au-thiolate SAMs. Applications are as diverse as the metallization of organic surfaces, a fundamental problem in materials science, and the fabrication of surfaces that resist the adsorption of proteins. In addition to a number of different case studies, we will also discuss the most powerful analytical techniques needed to characterize these important model systems.

  6. Determination of low levels of cadmium ions by the under potential deposition on a self-assembled monolayer on gold electrode

    Energy Technology Data Exchange (ETDEWEB)

    Noyhouzer, Tomer [Institute of Chemistry, Hebrew University of Jerusalem, Jerusalem 91904 (Israel); Mandler, Daniel, E-mail: mandler@vms.huji.ac.il [Institute of Chemistry, Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2011-01-17

    The electrochemical determination of low levels of Cd using a self-assembled monolayer (SAM) modified Au electrode is reported. Determination was based on the stripping of Cd, which was deposited by under potential deposition (UPD). A series of short alkanethiol SAMs bearing different end groups, i.e., sulfonate, carboxylate and ammonium, were examined. Lowest level of detection (ca. 50 ng L{sup -1}) was achieved with a 3-mercaptopropionic acid (MPA) monolayer using subtractive anodic square wave voltammetry (SASV). Additional surface methods, namely, reductive desorption and X-ray photoelectron spectroscopy, were applied to determine the interfacial structure of the electrodeposited Cd on the modified electrodes. We conclude that the deposited Cd forms a monoatomic layer, which bridges between the gold surface and the alkanethiol monolayer associating with both the gold and the sulfur atoms.

  7. Cyclic voltammetry on n-alkylphosphonic acid self-assembled monolayer modified large area indium tin oxide electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Habich, Dana Berlinde [Siemens AG, CT T DE HW 3 Organic Electronics, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Halik, Marcus [Lehrstuhl fuer Polymerwerkstoffe, Department Werkstoffwissenschaften, Friedrich-Alexander-Universitaet Erlangen-Nuernberg, Martensstrasse 7, 91058 Erlangen (Germany); Schmid, Guenter, E-mail: guenter.schmid@siemens.com [Siemens AG, CT T DE HW 3 Organic Electronics, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany)

    2011-09-01

    We show stable bonding of n-alkylphosphonic acid self-assembled monolayers (SAMs) to indium tin oxide electrodes and their direct electrical characterization by cyclic voltammetry (CV). The functional coatings were investigated with regards to the addressability and stability of the electrodes, which are related to small changes in molecular layer thickness. The response of a redox active compound in solution to the faradic current is indirectly proportional to the molecular chain length of the SAMs. We observed a decrease of the electrode sensitivity with enhanced surface protection and slow long term degradation of the SAM under electrochemical stress by CV, and therefore conclude a trade-off optimum for molecules with the C10 chain.

  8. Study of Alkylthiolate Self-assembled Monolayers on Au(111) Using a Semilocal meta-GGA Density Functional

    DEFF Research Database (Denmark)

    Ferrighi, Lara; Pan, Yun-xiang; Grönbeck, Henrik

    2012-01-01

    We present a density functional theory study of the structure and stability of self-assembled monolayers (SAMs) of alkylthiolate on Au(111) as a function of the alkyl chain length. The most favorable structure of the SAMs involves an RS–Au–SR complex (S being sulfur, R being an alkyl chain) forme....... In particular, the use of M06-L yields an increased stability of the SAMs with increasing alkyl chain length and an increased attractive interaction between RS–Au–SR complexes at shorter distances....... through sandwiching one Au adatom by two alkylthiolates (RSs). Comparing a generalized gradient (GGA-PBE) and a meta-GGA (MGGA-M06-L) exchange-correlation functional we find that only the meta-GGA functional predicts the experimentally observed attractive intermolecular interactions within the SAMs...

  9. Formation and Characterization of Self-Assembled Phenylboronic Acid Derivative Monolayers toward Developing Monosaccaride Sensing-Interface

    Directory of Open Access Journals (Sweden)

    Kwangnak Koh

    2007-08-01

    Full Text Available We designed and synthesized phenylboronic acid as a molecular recognitionmodel system for saccharide detection. The phenylboronic acid derivatives that haveboronic acid moiety are well known to interact with saccharides in aqueous solution; thus,they can be applied to a functional interface of saccharide sensing through the formation ofself-assembled monolayer (SAM. In this study, self-assembled phenylboronic acidderivative monolayers were formed on Au surface and carefully characterized by atomicforce microscopy (AFM, Fourier transform infrared reflection absorption spectroscopy(FTIR-RAS, surface enhanced Raman spectroscopy (SERS, and surface electrochemicalmeasurements. The saccharide sensing application was investigated using surface plasmonresonance (SPR spectroscopy. The phenylboronic acid monolayers showed goodsensitivity of monosaccharide sensing even at the low concentration range (1.0 × 10-12 M.The SPR angle shift derived from interaction between phenylboronic acid andmonosaccharide was increased with increasing the alkyl spacer length of synthesizedphenylboronic acid derivatives.

  10. Surface-Enhanced Raman Spectroscopy of Carbon Nanomembranes from Aromatic Self-Assembled Monolayers.

    Science.gov (United States)

    Zhang, Xianghui; Mainka, Marcel; Paneff, Florian; Hachmeister, Henning; Beyer, André; Gölzhäuser, Armin; Huser, Thomas

    2018-02-27

    Surface-enhanced Raman scattering spectroscopy (SERS) was employed to investigate the formation of self-assembled monolayers (SAMs) of biphenylthiol, 4'-nitro-1,1'-biphenyl-4-thiol, and p-terphenylthiol on Au surfaces and their structural transformations into carbon nanomembranes (CNMs) induced by electron irradiation. The high sensitivity of SERS allows us to identify two types of Raman scattering in electron-irradiated SAMs: (1) Raman-active sites exhibit similar bands as those of pristine SAMs in the fingerprint spectral region, but with indications of an amorphization process and (2) Raman-inactive sites show almost no Raman-scattering signals, except a very weak and broad D band, indicating a lack of structural order but for the presence of graphitic domains. Statistical analysis showed that the ratio of the number of Raman-active sites to the total number of measurement sites decreases exponentially with increasing the electron irradiation dose. The maximum degree of cross-linking ranged from 97 to 99% for the three SAMs. Proof-of-concept experiments were conducted to demonstrate potential applications of Raman-inactive CNMs as a supporting membrane for Raman analysis.

  11. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  12. Sulfonic acid-functionalized golf nanoparticles: A colloid-bound catalyst for soft lithographic application on self-assembled monolayers

    NARCIS (Netherlands)

    Li, X.; Paraschiv, V.; Huskens, Jurriaan; Reinhoudt, David

    2003-01-01

    In this report, we present a new lithographic approach to prepare patterned surfaces. Self-assembled monolayers (SAMs) of the acid-labile trimethylsilyl ether (TMS-OC11H22S)2 (TMS adsorbate) was formed on gold. 5-Mercapto-2-benzimidazole sulfonic acid sodium salt (MBS-Na+) was used as a ligand for

  13. Comparative Study of Electroless Copper Film on Different Self-Assembled Monolayers Modified ABS Substrate

    Directory of Open Access Journals (Sweden)

    Jiushuai Xu

    2014-04-01

    Full Text Available Copper films were grown on (3-Mercaptopropyltrimethoxysilane (MPTMS, (3-Aminopropyltriethoxysilane (APTES and 6-(3-(triethoxysilylpropylamino-1,3,5- triazine-2,4-dithiol monosodium (TES self-assembled monolayers (SAMs modified acrylonitrile-butadiene-styrene (ABS substrate via electroless copper plating. The copper films were examined using scanning electron microscopy (SEM and X-ray diffraction (XRD. Their individual deposition rate and contact angle were also investigated to compare the properties of SAMs and electroless copper films. The results indicated that the formation of copper nuclei on the TES-SAMs modified ABS substrate was faster than those on the MPTMS-SAMs and APTES-SAMs modified ABS substrate. SEM images revealed that the copper film on TES-SAM modified ABS substrate was smooth and uniform, and the density of copper nuclei was much higher. Compared with that of TES-SAMs modified resin, the coverage of copper nuclei on MPTMS and APTES modified ABS substrate was very limited and the copper particle size was too big. The adhesion property test demonstrated that all the SAMs enhanced the interfacial interaction between copper plating and ABS substrate. XRD analysis showed that the copper film deposited on SAM-modified ABS substrate had a structure with Cu(111 preferred orientation, and the copper film deposited on TES-SAMs modified ABS substrate is better than that deposited on MPTMS-SAMs or APTES-SAMs modified ABS resins in electromigrtion resistance.

  14. Efficient surface enhanced Raman scattering on confeito-like gold nanoparticle-adsorbed self-assembled monolayers.

    Science.gov (United States)

    Chang, Chia-Chi; Imae, Toyoko; Chen, Liang-Yih; Ujihara, Masaki

    2015-12-28

    Confeito-like gold nanoparticles (AuNPs; average diameter = 80 nm) exhibiting a plasmon absorption band at 590 nm were adsorbed through immersion-adsorption on two self-assembled monolayers (SAMs) of 3-aminopropyltriethoxysilane (APTES-SAM) and polystyrene spheres coated with amine-terminated poly(amido amine) dendrimers (DEN/PS-SAM). The surface enhanced Raman scattering (SERS) effect on the SAM substrates was examined using the molecules of a probe dye, rhodamine 6G (R6G). The Raman scattering was strongly intensified on both substrates, but the enhancement factor (>10,000) of the AuNP/DEN/PS-SAM hierarchy substrate was 5-10 times higher than that of the AuNP/APTES-SAM substrate. This strong enhancement is attributed to the large surface area of the substrate and the presence of hot spots. Furthermore, analyzing the R6G concentration dependence of SERS suggested that the enhancement mechanism effectively excited the R6G molecules in the first layer on the hot spots and invoked the strong SERS effect. These results indicate that the SERS activity of confeito-like AuNPs on SAM substrates has high potential in molecular electronic devices and ultrasensitive analyses.

  15. Surface characterization on binary nano/micro-domain composed of alkyl- and amino-terminated self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.H. [Faculty of Engineering, Shinshu University, 4-17-1 Wakasato, Nagano 380-8553 (Japan); Ishizaki, T. [Materials Research Institute for Sustainable Development, National Institute of Advanced Industrial Science and Technology, 2266-98 Anagahora, Shimo-Shidami, Moriyama-ku, Nagoya 463-8560 (Japan); Saito, N. [Department of Molecular Design and Engineering, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa, Nagano 464-8603 (Japan)], E-mail: hiro@eco-t.esi.nagoya-u.ac.jp; Takai, O. [EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan)

    2008-09-15

    The binary alkyl- and amino-terminated self-assembled monolayers (SAMs) composed of nano/micro-sized domains was prepared though a self-assembly technique. In addition, the wetting and electrostatic property of the binary SAMs was investigated by the analysis of the static and dynamic water contact angle and zeta-potentials measurement. The binary SAMs were also characterized by atomic force microscope (AFM), Kelvin probe force microscope (KPFM) and X-ray photoelectron spectroscopy (XPS). The domains on the binary SAMs were observed in topographic and surface potential images. The height of domain and the surface potential between octadecyltrichlorosilanes (OTS)-domain and n-(6-aminohexl)aminopropyl-trimethoxysilane (AHAPS)-SAM were about 1.1 nm and -30 mV. These differences of height and surface potential correspond to the ones between OTS and AHAPS. In XPS N 1s spectra, we confirmed the formation of binary SAMs by an amino peak observed at 399.15 eV. The dynamic and the static water contact angles indicated that the wetting property of the binary SAMs was depended on the OTS domain size. In addition, static water contact angles were measured under the conditions of different pH water and zeta-potential also indicated that the electrostatic property of the binary SAMs depended on OTS domain size. Thus, these results showed that the wetting and electrostatic property on the binary SAMs could be regulated by controlling the domain size.

  16. Interfacial engineering of self-assembled monolayer modified semi-roll-to-roll planar heterojunction perovskite solar cells on flexible substrates

    DEFF Research Database (Denmark)

    Gu, Zhuowei; Zuo, Lijian; Larsen-Olsen, Thue Trofod

    2015-01-01

    The morphologies of the perovskite (e.g. CH3NH3PbI3) layer are demonstrated to be critically important for highly efficient perovskite solar cells. This work applies 3-aminopropanoic acid as a self-assembled monolayer (C3-SAM) on a poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT...... temperature conditions (processing temperature deposition. The roll-coated perovskite film on C3-SAM modified PEDOT:PSS presents a similar trend of improvement and results in enhanced PCE from...

  17. Low-temperature poly(oxymethylene) direct bonding via self-assembled monolayer

    Science.gov (United States)

    Fu, Weixin; Ma, Bo; Kuwae, Hiroyuki; Shoji, Shuichi; Mizuno, Jun

    2018-02-01

    A direct bonding of poly(oxymethylene) (POM) was feasible at 100 °C by using self-assembled monolayer (SAM) as a surface modification method. (3-aminopropyl)triethoxysilane (APTES) and (3-glycidyloxypropyl)trimethoxysilane (GOPTS) were used in our work. X-ray photoelectron spectroscopy showed that both APTES and GOPTS modified the POM surface successfully. Bonding strength evaluation revealed that surface modification was affected by pretreatment (VUV/O3) process time. In addition, the bonding condition with highest strength had an average strength of 372 kPa. This technology is expected to be used in packaging for micro-/nano-electromechanical systems, such as biomedical devices.

  18. Adsorption of hydrophobin on different self-assembled monolayers: the role of the hydrophobic dipole and the electric dipole.

    Science.gov (United States)

    Peng, Chunwang; Liu, Jie; Zhao, Daohui; Zhou, Jian

    2014-09-30

    In this work, the adsorptions of hydrophobin (HFBI) on four different self-assembled monolayers (SAMs) (i.e., CH3-SAM, OH-SAM, COOH-SAM, and NH2-SAM) were investigated by parallel tempering Monte Carlo and molecular dynamics simulations. Simulation results indicate that the orientation of HFBI adsorbed on neutral surfaces is dominated by a hydrophobic dipole. HFBI adsorbs on the hydrophobic CH3-SAM through its hydrophobic patch and adopts a nearly vertical hydrophobic dipole relative to the surface, while it is nearly horizontal when adsorbed on the hydrophilic OH-SAM. For charged SAM surfaces, HFBI adopts a nearly vertical electric dipole relative to the surface. HFBI has the narrowest orientation distribution on the CH3-SAM, and thus can form an ordered monolayer and reverse the wettability of the surface. For HFBI adsorption on charged SAMs, the adsorption strength weakens as the surface charge density increases. Compared with those on other SAMs, a larger area of the hydrophobic patch is exposed to the solution when HFBI adsorbs on the NH2-SAM. This leads to an increase of the hydrophobicity of the surface, which is consistent with the experimental results. The binding of HFBI to the CH3-SAM is mainly through hydrophobic interactions, while it is mediated through a hydration water layer near the surface for the OH-SAM. For the charged SAM surfaces, the adsorption is mainly induced by electrostatic interactions between the charged surfaces and the oppositely charged residues. The effect of a hydrophobic dipole on protein adsorption onto hydrophobic surfaces is similar to that of an electric dipole for charged surfaces. Therefore, the hydrophobic dipole may be applied to predict the probable orientations of protein adsorbed on hydrophobic surfaces.

  19. Electron transfer kinetics of cytochrome c immobilized on a phenolic terminated thiol self assembled monolayer determined by scanning electrochemical microscopy

    International Nuclear Information System (INIS)

    Alizadeh, Vali; Mousavi, Mir Fazlollah; Mehrgardi, Masoud Ayatollahi; Kazemi, Sayed Habib; Sharghi, Hashem

    2011-01-01

    Highlights: → Preparing a thiolated phenolic self-assembled monolayer surface (SAM). → Application of this SAM to immobilize cytochrome C. → Scanning electrochemical microscopy used for these studies. → Determination of both tunneling electron transfer and bimolecular rate constants between the immobilized protein-substrate and probe. - Abstract: In the present manuscript, the electrochemical behavior of cytochrome c (cyt-c) immobilized onto a phenolic terminated self assembled monolayer (SAM) on a gold electrode is investigated using cyclic voltammetry (CV) and scanning electrochemical microscopy (SECM). The tunneling electron transfer (ET) rate constant between the immobilized protein and the underlying electrode surface, and also the bimolecular ET rate constant between the immobilized protein and a probe has been obtained using approach curves that were obtained by SECM. The approach curves were recorded at different substrate overpotentials in the presence of various concentrations of ferrocyanide as a probe and various surface concentrations of cyt-c; then the standard tunneling ET and bimolecular rate constants are obtained as 3.4 ± 0.3 s -1 and (2.0 ± 0.5) x 10 7 cm 3 mol -1 s -1 , respectively.

  20. Electron transfer kinetics of cytochrome c immobilized on a phenolic terminated thiol self assembled monolayer determined by scanning electrochemical microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Vali [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Mousavi, Mir Fazlollah, E-mail: mousavim@modares.ac.ir [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Mehrgardi, Masoud Ayatollahi [Department of Chemistry, University of Isfahan, Isfahan (Iran, Islamic Republic of); Kazemi, Sayed Habib [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan (Iran, Islamic Republic of); Sharghi, Hashem [Department of Chemistry, Shiraz University, Shiraz (Iran, Islamic Republic of)

    2011-07-01

    Highlights: > Preparing a thiolated phenolic self-assembled monolayer surface (SAM). > Application of this SAM to immobilize cytochrome C. > Scanning electrochemical microscopy used for these studies. > Determination of both tunneling electron transfer and bimolecular rate constants between the immobilized protein-substrate and probe. - Abstract: In the present manuscript, the electrochemical behavior of cytochrome c (cyt-c) immobilized onto a phenolic terminated self assembled monolayer (SAM) on a gold electrode is investigated using cyclic voltammetry (CV) and scanning electrochemical microscopy (SECM). The tunneling electron transfer (ET) rate constant between the immobilized protein and the underlying electrode surface, and also the bimolecular ET rate constant between the immobilized protein and a probe has been obtained using approach curves that were obtained by SECM. The approach curves were recorded at different substrate overpotentials in the presence of various concentrations of ferrocyanide as a probe and various surface concentrations of cyt-c; then the standard tunneling ET and bimolecular rate constants are obtained as 3.4 {+-} 0.3 s{sup -1} and (2.0 {+-} 0.5) x 10{sup 7} cm{sup 3} mol{sup -1} s{sup -1}, respectively.

  1. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Brondijk, J.J.; Li, X.; Akkerman, H.B.; Blom, P.W.M.; Boer, B. de [University of Groningen, Molecular Electronics, Zernike Institute for Advanced Materials, Groningen (Netherlands)

    2009-04-15

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only {proportional_to}1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly(p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays. (orig.)

  2. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    Science.gov (United States)

    Brondijk, J. J.; Li, X.; Akkerman, H. B.; Blom, P. W. M.; de Boer, B.

    2009-04-01

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only ˜1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly( p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays.

  3. Effect of time and deposition method on quality of phosphonic acid modifier self-assembled monolayers on indium zinc oxide

    Energy Technology Data Exchange (ETDEWEB)

    Sang, Lingzi [Department of Chemistry and Biochemistry, University of Arizona, Tucson, AZ 85721 (United States); Knesting, Kristina M. [Department of Chemistry, University of Washington, Seattle, WA 98195-1700 (United States); Bulusu, Anuradha [School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Sigdel, Ajaya K. [National Renewable Energy Laboratory, Golden, CO 80401 (United States); Giordano, Anthony J.; Marder, Seth R. [School of Chemistry and Biochemistry and Center for Organic Photonics and Electronics, Georgia Institute of Technology, Atlanta, GA 30332-0400 (United States); Berry, Joseph J. [National Renewable Energy Laboratory, Golden, CO 80401 (United States); Graham, Samuel [School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Ginger, David S. [Department of Chemistry, University of Washington, Seattle, WA 98195-1700 (United States); Pemberton, Jeanne E., E-mail: pembertn@email.arizona.edu [Department of Chemistry and Biochemistry, University of Arizona, Tucson, AZ 85721 (United States)

    2016-12-15

    Highlights: • Deposition of phosphonic acid monolayers on oxides from ethanol solutions occurs by rapid adsorption within 10 s with slower equilibration complete in 48 h. • The slower equilibration step involves molecular reorientation and vacancy filling on the oxide surface. • Soak-free deposition by spray coating and microcontact printing do not provide reproducible, fully-covered, uniform monolayers without substrate etching. • Adjustments to exposure time, substrate temperature, and solution/substrate contact efficiency are necessary to optimize soak-free methods. - Abstract: Phosphonic acid (PA) self-assembled monolayers (SAMs) are utilized at critical interfaces between transparent conductive oxides (TCO) and organic active layers in organic photovoltaic devices (OPVs). The effects of PA deposition method and time on the formation of close-packed, high-quality monolayers is investigated here for SAMs fabricated by solution deposition, micro-contact printing, and spray coating. The solution deposition isotherm for pentafluorinated benzylphosphonic acid (F{sub 5}BnPA) on indium-doped zinc oxide (IZO) is studied using polarization modulation-infrared reflection-absorption spectroscopy (PM-IRRAS) at room temperature as a model PA/IZO system. Fast surface adsorption occurs within the first min; however, well-oriented high-quality SAMs are reached only after ∼48 h, presumably through a continual process of molecular adsorption/desorption and monolayer filling accompanied by molecular reorientation. Two other rapid, soak-free deposition techniques, micro-contact printing and spray coating, are also explored. SAM quality is compared for deposition of phenyl phosphonic acid (PPA), F{sub 13}-octylphosphonic acid (F{sub 13}OPA), and pentafluorinated benzyl phosphonic acid (F{sub 5}BnPA) by solution deposition, micro-contact printing and spray coating using PM-IRRAS. In contrast to micro-contact printing and spray coating techniques, 48–168 h solution

  4. Efficient inverted bulk-heterojunction polymer solar cells with self-assembled monolayer modified zinc oxide.

    Science.gov (United States)

    Kim, Wook Hyun; Lyu, Hong-Kun; Han, Yoon Soo; Woo, Sungho

    2013-10-01

    The performance of poly(3-hexylthiophen) (P3HT) and [6, 6]phenyl C61 butyric acid methyl ester ([60]PCBM)-based inverted bulk-heterojunction (BHJ) polymer solar cells (PSCs) is enhanced by the modification of zinc oxide (ZnO)/BHJ interface with carboxylic-acid-functionalized self-assembled monolayers (SAMs). Under simulated solar illumination of AM 1.5 (100 mW/cm2), the inverted devices fabricated with SAM-modified ZnO achieved an enhanced power conversion efficiency (PCE) of 3.34% due to the increased fill factor and photocurrent density as compared to unmodified cells with PCE of 2.60%. This result provides an efficient method for interface engineering in inverted BHJ PSCs.

  5. Multiple-trapping in pentacene field-effect transistors with a nanoparticles self-assembled monolayer

    Directory of Open Access Journals (Sweden)

    Keanchuan Lee

    2012-06-01

    Full Text Available A silver nanoparticles self-assembled monolayer (SAM was incorporated in pentacene field-effect transistor and its effects on the carrier injection and transport were investigated using the current-voltage (I − V and impedance spectroscopy (IS measurements. The I − V results showed that there was a significant negative shift of the threshold voltage, indicating the hole trapping inside the devices with about two orders higher in the contact resistance and an order lower in the effective mobility when a SAM was introduced. The IS measurements with the simulation using a Maxwell-Wagner equivalent circuit model revealed the existence of multiple trapping states for the devices with NPs, while the devices without NPs exhibited only a single trap state.

  6. Patterned self-assembled monolayers of alkanethiols on copper nanomembranes by submerged laser ablation

    Science.gov (United States)

    Rhinow, Daniel; Hampp, Norbert A.

    2012-06-01

    Self-assembled monolayers (SAMs) of alkanethiols are major building blocks for nanotechnology. SAMs provide a functional interface between electrodes and biomolecules, which makes them attractive for biochip fabrication. Although gold has emerged as a standard, copper has several advantages, such as compatibility with semiconductors. However, as copper is easily oxidized in air, patterning SAMs on copper is a challenging task. In this work we demonstrate that submerged laser ablation (SLAB) is well-suited for this purpose, as thiols are exchanged in-situ, avoiding air exposition. Using different types of ω-substituted alkanethiols we show that alkanethiol SAMs on copper surfaces can be patterned using SLAB. The resulting patterns were analyzed by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Both methods indicate that the intense laser beam promotes the exchange of thiols at the copper surface. Furthermore, we present a procedure for the production of free-standing copper nanomembranes, oxidation-protected by alkanethiol SAMs. Incubation of copper-coated mica in alkanethiol solutions leads to SAM formation on both surfaces of the copper film due to intercalation of the organic molecules. Corrosion-protected copper nanomembranes were floated onto water, transferred to electron microscopy grids, and subsequently analyzed by electron energy loss spectroscopy (EELS).

  7. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin; Zeng, Hua Chun

    2008-01-01

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a

  8. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    Directory of Open Access Journals (Sweden)

    Ulrich C. Fischer

    2014-09-01

    Full Text Available A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM of (3-aminopropyltriethoxysilane (APTES is explored with three different processes: 1 a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2 a chemical process induced by oxygen plasma etching as well as 3 a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL, which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern.

  9. Self-assembling monolayers of helical oligopeptides with applications in molecular electronics

    International Nuclear Information System (INIS)

    Strong, A.E.

    1997-01-01

    The aim of this project was to develop a generic method of preparing a 'molecular architecture' containing functional groups on a surface at predetermined relative positions several nm apart. This would be of great utility in molecular electronics, chemical sensors and other fields. It was proposed that such an architecture could be prepared on gold using linked, helical oligopeptides that contained the components of interest and sulphur functions able to form monolayers on gold by the self-assembly technique. Towards this ultimate aim Self-Assembled Monolayers (SAMs) of monomeric oligopeptides (13-17 residues) were prepared and characterised. Peptides containing three Met residues spaced in the sequence so that their side-chains lay on the same side of the helix were shown by circular dichroism (CD) to be strongly helical in organic solvents. Their self-assembled films on gold were characterised by Reflection-Absorption Infrared Spectroscopy (RAIRS) which showed the peptides adsorbed with the helix axes parallel to the surface, the orientation expected for self-assembly. However the surface coverage measured by cyclic voltammetry (CV) of the peptides' ferrocenyl derivatives on gold electrodes were less than expected for monolayers. Comparison of the films of ferrocenyl derivatives of Met and Cys showed that the thiolate bound more strongly than the thioether. Accordingly an oligopeptide containing two Cys residues at i, i+3, designed to be 3 10 -helical, was prepared. Transformation of the two (Trt)Cys residues of the resin-bound peptide to the intramolecular disulphide by iodine was achieved in acetonitrile but not in DMF. CD suggested that the conformation of this peptide was a mixture of helix and random coil. Films of the peptide-disulphide and the peptide-dithiol adsorbed from protic solvents were characterised as multilayers by ellipsometry. However CV and ellipsometry showed that a monolayer was successfully prepared from acetonitrile. Future targets for

  10. Measurement of molecular length of self-assembled monolayer probed by localized surface plasmon resonance

    Science.gov (United States)

    Ito, Juri; Kajikawa, Kotaro

    2016-02-01

    We propose a method to measure the variation of the molecular length of self-assembled monolayers (SAMs) when it is exposed to solutions at different pH conditions. The surface immobilized gold nanospheres (SIGNs) shows strong absorption peak at the wavelengths of 600-800 nm when p-polarized light is illuminated. The peak wavelength depends on the length of the gap distance between the SIGNs and the substrate. The gap is supported by the SAM molecules. According to the analytical calculation based on multiple expansion, the relation between the peak wavelength of the SIGN structures and the gap distance is calculated, to evaluate the molecular length of the SAM through the optical absorption spectroscopy for the SIGN structures. The molecular length of the SIGN structure was measured in air, water, acidic, and basic solutions. It was found that the molecular lengths are longer in acidic solutions.

  11. Support-Free Transfer of Ultrasmooth Graphene Films Facilitated by Self-Assembled Monolayers for Electronic Devices and Patterns.

    Science.gov (United States)

    Wang, Bin; Huang, Ming; Tao, Li; Lee, Sun Hwa; Jang, A-Rang; Li, Bao-Wen; Shin, Hyeon Suk; Akinwande, Deji; Ruoff, Rodney S

    2016-01-26

    We explored a support-free method for transferring large area graphene films grown by chemical vapor deposition to various fluoric self-assembled monolayer (F-SAM) modified substrates including SiO2/Si wafers, polyethylene terephthalate films, and glass. This method yields clean, ultrasmooth, and high-quality graphene films for promising applications such as transparent, conductive, and flexible films due to the absence of residues and limited structural defects such as cracks. The F-SAM introduced in the transfer process can also lead to graphene transistors with enhanced field-effect mobility (up to 10,663 cm(2)/Vs) and resistance modulation (up to 12×) on a standard silicon dioxide dielectric. Clean graphene patterns can be realized by transfer of graphene onto only the F-SAM modified surfaces.

  12. Reversible switching in self-assembled monolayers of azobenzene thiolates on Au (111) probed by threshold photoemission

    Energy Technology Data Exchange (ETDEWEB)

    Heinemann, Nils, E-mail: heinemann@physik.uni-kiel.de [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany); Grunau, Jan; Leissner, Till; Andreyev, Oleksiy; Kuhn, Sonja; Jung, Ulrich [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany); Zargarani, Dordaneh; Herges, Rainer [Otto-Diels-Institut fuer Organische Chemie, Christian-Albrechts-Universitaet zu Kiel, Otto-Hahn-Platz 4, 24098 Kiel (Germany); Magnussen, Olaf; Bauer, Michael [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany)

    2012-06-19

    Highlights: Black-Right-Pointing-Pointer Photoelectron spectroscopy of liquid phase prepared SAMs of azobenzene derivative. Black-Right-Pointing-Pointer Photo-induced reversible switching in densely packed SAM is monitored. Black-Right-Pointing-Pointer Maximum density of switched molecules in SAM is derived from photoemission data. Black-Right-Pointing-Pointer Switching reaction only enabled at defects sites within the molecular layer. - Abstract: The reversible photo- and thermally activated isomerization of the molecular switch 3-(4-(4-Hexyl-phenylazo)-phenoxy)-propane-1-thiol (ABT, short for AzoBenzeneThiol) deposited by self-assembly from solution on Au (111) was studied using laser-based photoelectron spectroscopy. Differences in the molecular dipole moment characteristic for the trans and the cis isomer of ABT were monitored via changes in the sample work function, accessible by detection of the threshold energy for photoemission. A quantitative analysis of our data shows that the fraction of molecules within the densely packed monolayer that undergoes a switching process is of the order of 1%. This result indicates the relevance of substrate and film defects required to overcome the steric or electronic hindrance of the isomerization reaction in a densely packed monolayer.

  13. Surface Structures and Thermal Desorption Behaviors of Cyclopentanethiol Self-Assembled Monolayers on Au(111)

    International Nuclear Information System (INIS)

    Kang, Hun Gu; Kim, You Young; Park, Tae Sun; Noh, Jae Geun; Park, Joon B.; Ito, Eisuke; Hara, Masahiko

    2011-01-01

    The surface structures, adsorption conditions, and thermal desorption behaviors of cyclopentanethiol (CPT) self-assembled monolayers (SAMs) on Au(111) were investigated by scanning tunneling microscopy (STM), X-ray photoelectron spectroscopy (XPS), and thermal desorption spectroscopy (TDS). STM imaging revealed that although the adsorption of CPT on Au(111) at room temperature generates disordered SAMs, CPT molecules at 50 .deg. C formed well-ordered SAMs with a (2√3 x √5)R41".deg. packing structure. XPS measurements showed that CPT SAMs at room temperature were formed via chemical reactions between the sulfur atoms and gold surfaces. TDS measurements showed two dominant TD peaks for the decomposed fragments (C_5H_9 "+, m/e = 69) generated via C-S bond cleavage and the parent molecular species (C_5H_9SH"+, m/e = 102) derived from a recombination of the chemisorbed thiolates and hydrogen atoms near 440 K. Interestingly, dimerization of sulfur atoms in n-alkanethiol SAMs usually occurs during thermal desorption and the same reaction did not happen for CPT SAMs, which may be due to the steric hindrance of cyclic rings of the CPT molecules. In this study, we demonstrated that the alicyclic ring of organic thiols strongly affected the surface structure and thermal desorption behavior of SAMs, thus providing a good method for controlling chemical and physical properties of organic thiol SAMs

  14. Molecular Fin Effect from Heterogeneous Self-Assembled Monolayer Enhances Thermal Conductance across Hard-Soft Interfaces.

    Science.gov (United States)

    Wei, Xingfei; Zhang, Teng; Luo, Tengfei

    2017-10-04

    Thermal transport across hard-soft interfaces is critical to many modern applications, such as composite materials, thermal management in microelectronics, solar-thermal phase transition, and nanoparticle-assisted hyperthermia therapeutics. In this study, we use equilibrium molecular dynamics (EMD) simulations combined with the Green-Kubo method to study how molecularly heterogeneous structures of the self-assembled monolayer (SAM) affect the thermal transport across the interfaces between the SAM-functionalized gold and organic liquids (hexylamine, propylamine and hexane). We focus on a practically synthesizable heterogeneous SAM featuring alternating short and long molecular chains. Such a structure is found to improve the thermal conductance across the hard-soft interface by 46-68% compared to a homogeneous nonpolar SAM. Through a series of further simulations and analyses, it is found that the root reason for this enhancement is the penetration of the liquid molecules into the spaces between the long SAM molecule chains, which increase the effective contact area. Such an effect is similar to the fins used in macroscopic heat exchanger. This "molecular fin" structure from the heterogeneous SAM studied in this work provides a new general route for enhancing thermal transport across hard-soft material interfaces.

  15. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  16. Molecular dynamics simulations of peptide adsorption on self-assembled monolayers

    International Nuclear Information System (INIS)

    Xie Yun; Liu Meifeng; Zhou Jian

    2012-01-01

    All-atom molecular dynamics simulations are performed to investigate the neuromedin-B peptide adsorption on the self-assembled monolayers (SAMs) of SH(CH 2 ) 10 N + (CH 3 ) 2 CH 2 CH(OH)CH 2 SO 3 - (SBT), SH(CH 2 ) 10 OH and SH(CH 2 ) 10 CH 3 . The force-distance profiles show that the surface resistance to peptide adsorption is mainly generated by the water molecules tightly bound to surfaces via hydrogen bonds (hydration water molecules); but surfaces themselves may also set an energy barrier for the approaching peptide. For the SBT-SAM, the surface first exerts a relatively high repulsive force and then a rather week attractive force on the approaching peptide; meanwhile the hydration water molecules exert a strong repulsive force on the peptide. Therefore, SBT-SAM has an excellent performance on resisting protein adsorption. For the OH-SAM and CH 3 -SAM, surfaces show low or little energy barrier but strong affinity to the peptide; and the hydration water molecules apply merely a repulsive force within a much narrower range and with lower intensity compared with the case for the SBT-SAM. The analysis of structural and dynamical properties of the peptide, surface and water indicates that possible factors contributing to surface resistance include the hydrogen-bond formation capability of surfaces, mobility of water molecules near surfaces, surface packing density and chain flexibility of SAMs. There are a large number of hydrogen bonds formed between the hydration water molecules and the functional groups of the SBT-SAM, which greatly lowers the mobility of water molecules near the surface. This tightly-bound water layer effectively reduces the direct contact between the surface and the peptide. Furthermore, the SBT-SAM also has a high flexibility and a low surface packing density, which allows water molecules to penetrate into the surface to form tightly-bound networks and therefore reduces the affinity between the peptide and the surface. The results show that

  17. Gold Mining by Alkanethiol Radicals: Vacancies and Pits in the Self-Assembled Monolayers of 1-Propanethiol and 1-Butanethiol on Au(111)

    DEFF Research Database (Denmark)

    Wang, Yun; Chi, Qijin; Hush, Noel S.

    2011-01-01

    Scanning-tunneling microscopy (STM) under electrochemical control (in situ STM) in aqueous solution, combined with a priori density functional theory (DFT) image simulations at room temperature, reveals the atomic nature of the interface between Au(111) and self-assembled monolayers (SAMs) of 1-p...

  18. Screening of self-assembled monolayer for aflatoxin B1 detection using immune-capacitive sensor

    Directory of Open Access Journals (Sweden)

    Alvaro V. Gutierrez R

    2015-12-01

    Full Text Available A capacitive biosensor was used for detection of aflatoxin B1. Two different methods for cleaning gold electrodes were evaluated using cyclic voltammetry in the presence of ferricyanide as redox couple. The methods involve use of a sequence of cleaning steps avoiding the use of Piranha solution and plasma cleaner. Anti-aflatoxin B1 was immobilized on self-assembled monolayers (SAM. The immune-capacitive biosensor is able to detect aflatoxin B1 concentrations in a linear range of 3.2 × 10−12 M to 3.2 × 10−9 M when thiourea was used to form the SAM; 3.2 × 10−9 M to 3.2 × 10−7 M when thioctic acid was used. When the gold surface was isolated with tyramine-electropolymerization linear ranges of 3.2 × 10−13 M to 3.2 × 10−7 M and 3.2 × 10−9 M to 3.2 × 10−7 M where obtained, respectively. The results obtained show the difference in linear range, limit of detection, and limit of quantification when different self-assembled monolayers are used for aflatoxin B1 detection.

  19. One-pot reaction for the preparation of biofunctionalized self-assembled monolayers on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Raigoza, Annette F.; Fies, Whitney; Lim, Amber; Onyirioha, Kristeen; Webb, Lauren J., E-mail: lwebb@cm.utexas.edu

    2017-02-01

    Highlights: • One-pot synthesis of α-helical-terminated self-assembled monolayers on Au(111). • Synthesis of high density, structured, and covalently bound α-helices on Au(111). • Characterization by surface-averaged and single molecule techniques. • Peptide-terminated surfaces for fabrication of biomaterials and sensors. - Abstract: The Huisgen cycloaddition reaction (“click” chemistry) has been used extensively to functionalize surfaces with macromolecules in a straightforward manner. We have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to a well-ordered azide-terminated alkanethiol self-assembled monolayer (SAM) on a Au(111) surface. While convenient, click-based strategies potentially pose significant problems from reagents, solvents, and reaction temperatures that may irreversibly damage some molecules or substrates. Tuning click chemistry conditions would allow individual optimization of reaction conditions for a wide variety of biomolecules and substrate materials. Here, we explore the utility of simultaneous SAM formation and peptide-attachment chemistry in a one-pot reaction. We demonstrate that a formerly multistep reaction can be successfully carried out concurrently by mixing azide-terminated alkanethiols, CuCl, and a propargylglycine-containing peptide over a bare gold surface in ethanol and reacting at 70 °C. X-ray photoelectron spectroscopy (XPS), surface infrared spectroscopy, surface circular dichroic (CD) spectroscopy, and scanning tunneling microscopy (STM) were used to determine that this one-pot reaction strategy resulted in a high density of surface-bound α-helices without aggregation. This work demonstrates the simplicity and versatility of a SAM-plus-click chemistry strategy for functionalizing Au surfaces with structured biomolecules.

  20. Thermal stability of thiol and silane monolayers: A comparative study

    International Nuclear Information System (INIS)

    Chandekar, Amol; Sengupta, Sandip K.; Whitten, James E.

    2010-01-01

    The stability of self-assembled monolayers (SAMs) at elevated temperatures is of considerable technological importance. The thermal stability of 1-octadecanethiol (ODT), 16-mercaptohexadecanoic acid (MHDA) and 1H,1H,2H,2H-perfluorodecanethiol (PFDT) SAMs on gold surfaces, and of 4-aminobutyltriethoxysilane (ABTES) and 1H, 1H, 2H, 2H-perfluorodecyltriethoxysilane (PFDS) assembled on hydroxylated silicon surfaces, was studied by X-ray photoelectron spectroscopy (XPS). The samples were heated in ultrahigh vacuum to temperatures in excess of that required for SAM degradation. ODT monolayers were stable to ca. 110 deg. C, while MHDA and PFDT SAMs were stable to ca. 145 deg. C. ABTES SAMs were found to be indefinitely stable to 250 deg. C, while PFDS SAMs were stable to 350 deg. C. These studies demonstrate the advantages of using silane monolayers for moderate to high temperature applications and illustrate differences that arise due to the nature of the tail group. To demonstrate the feasibility of silanes for template-directed patterning, a hydroxylated silicon oxide surface containing microcontact-printed PFDS patterns was spin-coated with a mainly hydrophilic block copolymer. Annealing the surface at 90 deg. C for 2 h caused the block copolymer to dewet the hydrophobic PFDS-patterned regions and adsorb exclusively on the unpatterned regions of the surface.

  1. Self-assembled monolayers of alkyl-thiols on InAs: A Kelvin probe force microscopy study

    Science.gov (United States)

    Szwajca, A.; Wei, J.; Schukfeh, M. I.; Tornow, M.

    2015-03-01

    We report on the preparation and characterization of self-assembled monolayers from aliphatic thiols with different chain length and termination on InAs (100) planar surfaces. This included as first step the development and investigation of a thorough chemical InAs surface preparation step using a dedicated bromine/NH4OH-based etching process. Ellipsometry, contact angle measurements and atomic force microscopy (AFM) indicated the formation of smooth, surface conforming monolayers. The molecular tilt angles were obtained as 30 ± 10° with respect to the surface normal. Kelvin probe force microscopy (KPFM) measurements in hand with Parameterized Model number 5 (PM5) calculations of the involved molecular dipoles allowed for an estimation of the molecular packing densities on the surface. We obtained values of up to n = 1014 cm- 2 for the SAMs under study. These are close to what is predicted from a simple geometrical model that would calculate a maximum density of about n = 2.7 × 1014 cm- 2. We take this as additional conformation of the substrate smoothness and quality of our InAs-SAM hybrid layer systems.

  2. Surface Structures and Thermal Desorption Behaviors of Cyclopentanethiol Self-Assembled Monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Hun Gu; Kim, You Young; Park, Tae Sun; Noh, Jae Geun [Hanyang University, Seoul (Korea, Republic of); Park, Joon B. [Chonbuk National University, Jeonju (Korea, Republic of); Ito, Eisuke; Hara, Masahiko [RIKEN-HYU Collaboration Center, Saitama (Japan)

    2011-04-15

    The surface structures, adsorption conditions, and thermal desorption behaviors of cyclopentanethiol (CPT) self-assembled monolayers (SAMs) on Au(111) were investigated by scanning tunneling microscopy (STM), X-ray photoelectron spectroscopy (XPS), and thermal desorption spectroscopy (TDS). STM imaging revealed that although the adsorption of CPT on Au(111) at room temperature generates disordered SAMs, CPT molecules at 50 .deg. C formed well-ordered SAMs with a (2√3 x √5)R41{sup .}deg. packing structure. XPS measurements showed that CPT SAMs at room temperature were formed via chemical reactions between the sulfur atoms and gold surfaces. TDS measurements showed two dominant TD peaks for the decomposed fragments (C{sub 5}H{sub 9} {sup +}, m/e = 69) generated via C-S bond cleavage and the parent molecular species (C{sub 5}H{sub 9}SH{sup +}, m/e = 102) derived from a recombination of the chemisorbed thiolates and hydrogen atoms near 440 K. Interestingly, dimerization of sulfur atoms in n-alkanethiol SAMs usually occurs during thermal desorption and the same reaction did not happen for CPT SAMs, which may be due to the steric hindrance of cyclic rings of the CPT molecules. In this study, we demonstrated that the alicyclic ring of organic thiols strongly affected the surface structure and thermal desorption behavior of SAMs, thus providing a good method for controlling chemical and physical properties of organic thiol SAMs.

  3. Electroactive oligoaniline-containing self-assembled monolayers for tissue engineering applications.

    Science.gov (United States)

    Guo, Yi; Li, Mengyan; Mylonakis, Andreas; Han, Jingjia; MacDiarmid, Alan G; Chen, Xuesi; Lelkes, Peter I; Wei, Yen

    2007-10-01

    A novel electroactive silsesquioxane precursor, N-(4-aminophenyl)-N'-(4'-(3-triethoxysilyl-propyl-ureido) phenyl-1,4-quinonenediimine) (ATQD), was successfully synthesized from the emeraldine form of amino-capped aniline trimers via a one-step coupling reaction and subsequent purification by column chromatography. The physicochemical properties of ATQD were characterized using mass spectrometry as well as by nuclear magnetic resonance and UV-vis spectroscopy. Analysis by cyclic voltammetry confirmed that the intrinsic electroactivity of ATQD was maintained upon protonic acid doping, exhibiting two distinct reversible oxidative states, similar to polyaniline. The aromatic amine terminals of self-assembled monolayers (SAMs) of ATQD on glass substrates were covalently modified with an adhesive oligopeptide, cyclic Arg-Gly-Asp (RGD) (ATQD-RGD). The mean height of the monolayer coating on the surfaces was approximately 3 nm, as measured by atomic force microscopy. The biocompatibility of the novel electroactive substrates was evaluated using PC12 pheochromocytoma cells, an established cell line of neural origin. The bioactive, derivatized electroactive scaffold material, ATQD-RGD, supported PC12 cell adhesion and proliferation, similar to control tissue-culture-treated polystyrene surfaces. Importantly, electroactive surfaces stimulated spontaneous neuritogenesis in PC12 cells, in the absence of neurotrophic growth factors, such as nerve growth factor (NGF). As expected, NGF significantly enhanced neurite extension on both control and electroactive surfaces. Taken together, our results suggest that the newly electroactive SAMs grafted with bioactive peptides, such as RGD, could be promising biomaterials for tissue engineering.

  4. On the influence of the aliphatic linker on fabrication of highly ordered and orientated self-assembled monolayers of aromatic selenols on AU(111)

    KAUST Repository

    Azzam, Waleed

    2014-03-06

    Self-assembled monolayers (SAMs) formed by adsorption of 1,2-dibenzyldiselenide (DPMSe) and 1,2-diphenyldiselenide (DBSe) on Au(111) substrates at room temperature have been characterized using scanning tunnelling microscopy, X-ray photoelectron spectroscopy, infrared reflection absorption spectroscopy, near-edge X-ray absorption fine structure spectroscopy, and low-energy electron diffraction. Upon adsorption, the Se-Se bonds in DPMSe and DBSe were cleaved on the gold surface to form phenylmethaneselenolate (PMSe) and benzeneselenolate (BSe) species, respectively. Although both PMSe and BSe molecular entities only differ in their structure (an additional methyl group in PMSe), the resulting monolayer films revealed noteworthy dissimilarities regarding their adlayer SAM structure and surface morphology. The molecular adlayer structure and orientation of PMSe and BSe species were found to vary significantly with the immersion time (IT). The resulting PMSe films were poorly organized, and the structure was described by a (4√3 × 2) rectangular unit cell for the SAMs prepared with 24 h of IT. Moreover, the PMSe-SAMs were found to be unstable upon exposure to air for a long time. Our results showed that exposure to air for 48 h results in the formation of small bright ad-islands, which have a height corresponding to that of a single atomic step on the Au(111). Contrary, BSe-SAMs exhibited densely packed and well-ordered monolayers, and two different structural phases were resolved at short and long ITs. The most densely packed structure was obtained for SAMs prepared with very short ITs (10 min). Upon increasing the IT, the SAMs exhibited structural changes to a lower density of molecular packing structure. The spectroscopic data also confirmed this structural transformation by suggesting an upright orientation for BSe-SAMs prepared after short ITs and strongly inclined adsorption geometry for SAMs prepared after long ITs. © 2014 American Chemical Society.

  5. Study of the helium cross-section of unsymmetric disulfide self-assembled monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Albayrak, Erol [Department of Materials and Metallurgical Engineering, Ahi Evran University, Kırşehir 40000 (Turkey); Karabuga, Semistan [Department of Chemistry, Kahramanmaraş Sütçü İmam University, Kahramanmaraş 46030 (Turkey); Bracco, Gianangelo [CNR-IMEM and Department of Physics, University of Genoa, Via Dodecaneso 33, Genoa 16146 (Italy); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2016-12-30

    Highlights: • Unsymmetrtic disulfide (HDD and HOD) self assembled monolayers were grown on Au(111) by supersonic molecular beam deposition. • Helium scattering cross sections for these two different unsymmetric disulfides were determined. • A common low temperature film phase was observed for the studied disulfides. - Abstract: We have investigated the formation of self-assembled monolayers (SAMs) of 11-hydroxyundecyl decyl disulfide (CH{sub 3}-(CH{sub 2}){sub 9}-S-S-(CH{sub 2}){sub 11}-OH, HDD) and 11-hydroxyundecyl octadecyl disulfide (CH{sub 3}-(CH{sub 2}){sub 17}-S-S-(CH{sub 2}){sub 11}-OH, HOD) produced by supersonic molecular beam deposition (SMBD). The study has been carried out by means of helium diffraction at very low film coverage. In this regime helium single molecule cross sections have been estimated in a temperature range between 100 K and 450 K. The results show a different behavior above 300 K that has been interpreted as the starting of mobility with the formation of two thiolate moieties either linked by a gold adatom or distant enough to prevent cross section overlapping. Finally, helium diffraction patterns measured at 80 K for the SAMs grown at 200 K are discussed and the results support the proposed hypothesis of molecular dissociation based on the cross section data.

  6. Effects of a self-assembled monolayer on the sliding friction and adhesion of an Au surface

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C.D.; Lin, J.F. [Department of Mechanical Engineering, National Cheng Kung University and Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan (China); Fang, T.H. [National Formosa University, Institute of Mechanical and Electromechanical Engineering, Yunlin, Taiwan (China); Lin, H.Y.; Chang, S.H. [Industrial Technology Research Institute, Taiwan (China)

    2008-06-15

    The friction and adhesion mechanisms with and without a self-assembled monolayer (SAM) in nanotribology were studied using molecular dynamics (MD) simulation. The MD model consisted of two gold planes with and without n-hexadecanethiol SAM chemisorbed to the substrate, respectively. The molecular trajectories, tilt angles, normal forces, and frictional forces of the SAM and gold molecules were evaluated during the frictional and relaxation processes for various parameters, including the number of CH{sub 2} molecules, the interference magnitude, and whether or not the SAM lubricant was used. The various parameters are discussed with regard to frictional and adhesion forces, mechanisms, and molecular or atomic structural transitions. The stick-slip behavior of SAM chains can be completely attributed to the van der Waals forces of the chain/chain interaction. When the number of CH{sub 2} molecules was increased, the SAM chains appeared to have bigger tilt angles at deformation. The magnitude of the strain energy that was saved and relaxed is proportional to the elastic deformable extent of the SAM molecules. The frictional force was higher for long chain molecules. With shorter SAM molecules, the adhesion force behavior was more stable during the compression and relaxation processes. A surface coated with a SAM can increase nano-device lifetimes by avoiding interface effects like friction and adhesion. (orig.)

  7. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar; Brennan, Thomas P.; Lee, Han-Bo-Ram; Bakke, Jonathan R.; Ding, I-Kang; McGehee, Michael D.; Bent, Stacey F.

    2011-01-01

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  8. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar

    2011-02-22

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  9. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Directory of Open Access Journals (Sweden)

    Tatjana Ladnorg

    2013-10-01

    Full Text Available Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE. The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy.

  10. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Science.gov (United States)

    Ladnorg, Tatjana; Welle, Alexander; Heißler, Stefan; Wöll, Christof

    2013-01-01

    Summary Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE). The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy. PMID:24205458

  11. Nanoscale patterning of a self-assembled monolayer by modification of the molecule–substrate bond

    Directory of Open Access Journals (Sweden)

    Cai Shen

    2014-03-01

    Full Text Available The intercalation of Cu at the interface of a self-assembled monolayer (SAM and a Au(111/mica substrate by underpotential deposition (UPD is studied as a means of high resolution patterning. A SAM of 2-(4'-methylbiphenyl-4-ylethanethiol (BP2 prepared in a structural phase that renders the Au substrate completely passive against Cu-UPD, is patterned by modification with the tip of a scanning tunneling microscope. The tip-induced defects act as nucleation sites for Cu-UPD. The lateral diffusion of the metal at the SAM–substrate interface and, thus, the pattern dimensions are controlled by the deposition time. Patterning down to the sub-20 nm range is demonstrated. The difference in strength between the S–Au and S–Cu bond is harnessed to develop the latent Cu-UPD image into a patterned binary SAM. Demonstrated by the exchange of BP2 by adamantanethiol (AdSH this is accomplished by a sequence of reductive desorption of BP2 in Cu free areas followed by adsorption of AdSH. The appearance of Au adatom islands upon the thiol exchange suggests that the interfacial structures of BP2 and AdSH SAMs are different.

  12. Scanning Tunneling Microscopic Observation of Adatom-Mediated Motifs on Gold-Thiol Self-assembled Monolayers at High Coverage

    DEFF Research Database (Denmark)

    Wang, Yun; Chi, Qijin; Hush, Noel S.

    2009-01-01

    the structural motifs observed on surfaces at low coverage and on gold nanoparticles to the observed spectroscopic properties of high-coverage SAMs formed by methanethiol. However, the significant role attributed to intermolecular steric packing effects suggests a lack of generality for the adatom-mediated motif......Self-assembled monolayers (SAMs) formed by chemisorption of a branched-chain alkanethiol, 2-methyl-1-propanethiol, on Au(111) surfaces were studied by in situ scanning tunneling microscopy (STM) under electrochemical potential control and analyzed using extensive density functional theory (DFT...... two R−S−Au−S−R adatom-mediated motifs per surface cell, with steric-induced variations in the adsorbate alignment inducing the observed STM image contrasts. Observed pits covering 5.6 ± 0.5% of the SAM surface are consistent with this structure. These results provide the missing link from...

  13. Random Walk Model for the Growth of Monolayer in Dip Pen Nanolithography

    International Nuclear Information System (INIS)

    Kim, H; Ha, S; Jang, J

    2013-01-01

    By using a simple random-walk model, we simulate the growth of a self-assembled monolayer (SAM) pattern generated in dip pen nanolithography (DPN). In this model, the SAM pattern grows mainly via the serial pushing of molecules deposited from the tip. We examine various SAM patterns, such as lines, crosses, and letters by changing the tip scan speed.

  14. Partial ion yield and NEXAFS of 2-(perfluorooctyl)ethanethiol self-assembled monolayer: Comparison with PTFE results

    CERN Document Server

    Setoyama, H; Murase, T; Imamura, M; Mase, K; Okudaira, K K; Hara, M; Ueno, N

    2003-01-01

    Partial-ion-yield (PIY) spectra using ion time-of-flight (TOF) method and near-edge absorption fine structure (NEXAFS) spectra were measured for 2-(perfluorooctyl)ethanethiol [CF sub 3 (CF sub 2) sub 7 (CH sub 2) sub 2 SH] self-assembled monolayer (F8-SAM) on Au(1 1 1) near carbon K-edge. The PIY spectra of the F8-SAM at the magic angle, where -CF sub 3 groups exist at the surface were compared with those of the rubbed polytetrafluoroethylene (PTFE) thin film. The F sup + intensity from the F8-SAM at the photon energy of the sharp peak of the NEXAFS, which originates from the excitation of C1s electron to sigma sup * (C-F) states at -CF sub 2 - chain, was extremely smaller than that from the rubbed PTFE film. This result clearly indicates that the ions observed by PIY do not originate from the film inside but from the surface. This was confirmed by changes in ion-TOF mass spectra during soft X-ray induced etching of the F8-SAM. The NEXAFS peaks of the F8-SAM were also assigned by considering PIY results.

  15. Threshold-Voltage Shifts in Organic Transistors Due to Self-Assembled Monolayers at the Dielectric: Evidence for Electronic Coupling and Dipolar Effects.

    Science.gov (United States)

    Aghamohammadi, Mahdieh; Rödel, Reinhold; Zschieschang, Ute; Ocal, Carmen; Boschker, Hans; Weitz, R Thomas; Barrena, Esther; Klauk, Hagen

    2015-10-21

    The mechanisms behind the threshold-voltage shift in organic transistors due to functionalizing of the gate dielectric with self-assembled monolayers (SAMs) are still under debate. We address the mechanisms by which SAMs determine the threshold voltage, by analyzing whether the threshold voltage depends on the gate-dielectric capacitance. We have investigated transistors based on five oxide thicknesses and two SAMs with rather diverse chemical properties, using the benchmark organic semiconductor dinaphtho[2,3-b:2',3'-f]thieno[3,2-b]thiophene. Unlike several previous studies, we have found that the dependence of the threshold voltage on the gate-dielectric capacitance is completely different for the two SAMs. In transistors with an alkyl SAM, the threshold voltage does not depend on the gate-dielectric capacitance and is determined mainly by the dipolar character of the SAM, whereas in transistors with a fluoroalkyl SAM the threshold voltages exhibit a linear dependence on the inverse of the gate-dielectric capacitance. Kelvin probe force microscopy measurements indicate this behavior is attributed to an electronic coupling between the fluoroalkyl SAM and the organic semiconductor.

  16. Gold cleaning methods for preparation of cell culture surfaces for self-assembled monolayers of zwitterionic oligopeptides.

    Science.gov (United States)

    Enomoto, Junko; Kageyama, Tatsuto; Myasnikova, Dina; Onishi, Kisaki; Kobayashi, Yuka; Taruno, Yoko; Kanai, Takahiro; Fukuda, Junji

    2018-05-01

    Self-assembled monolayers (SAMs) have been used to elucidate interactions between cells and material surface chemistry. Gold surfaces modified with oligopeptide SAMs exhibit several unique characteristics, such as cell-repulsive surfaces, micropatterns of cell adhesion and non-adhesion regions for control over cell microenvironments, and dynamic release of cells upon external stimuli under culture conditions. However, basic procedures for the preparation of oligopeptide SAMs, including appropriate cleaning methods of the gold surface before modification, have not been fully established. Because gold surfaces are readily contaminated with organic compounds in the air, cleaning methods may be critical for SAM formation. In this study, we examined the effects of four gold cleaning methods: dilute aqua regia, an ozone water, atmospheric plasma, and UV irradiation. Among the methods, UV irradiation most significantly improved the formation of oligopeptide SAMs in terms of repulsion of cells on the surfaces. We fabricated an apparatus with a UV light source, a rotation table, and HEPA filter, to treat a number of gold substrates simultaneously. Furthermore, UV-cleaned gold substrates were capable of detaching cell sheets without serious cell injury. This may potentially provide a stable and robust approach to oligopeptide SAM-based experiments for biomedical studies. Copyright © 2017 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  17. Tribological properties of self-assembled monolayers of catecholic imidazolium and the spin-coated films of ionic liquids.

    Science.gov (United States)

    Liu, Jianxi; Li, Jinlong; Yu, Bo; Ma, Baodong; Zhu, Yangwen; Song, Xinwang; Cao, Xulong; Yang, Wu; Zhou, Feng

    2011-09-20

    A novel compound of an imidazolium type of ionic liquid (IL) containing a biomimetic catecholic functional group normally seen in mussel adhesive proteins was synthesized. The IL can be immobilized on a silicon surface and a variety of other engineering material surfaces via the catecholic anchor, allowing the tribological protection of these substrates for engineering applications. The surface wetting and adhesive properties and the tribological property of the synthesized self-assembled monolayers (SAMs) are successfully modulated by altering the counteranions. The chemical composition and wettability of the IL SAMs were characterized by means of X-ray photoelectron spectroscopy (XPS) and contact angle (CA) measurements. The adhesive and friction forces were measured with an atomic force microscope (AFM) on the nanometer scale. IL composite films were prepared by spin coating thin IL films on top of the SAMs. The macrotribological properties of these IL composite films were investigated with a pin-on-disk tribometer. The results indicate that the presence of IL SAMs on a surface can improve the wettability of spin-coated ionic liquids and thus the film quality and the tribological properties. These films registered a reduced friction coefficient and a significantly enhanced durability and load-carrying capacity. The tribological properties of the composite films are better than those of pure IL films because the presence of the monolayers improves the adhesion and compatibility of spin-coated IL films with substrates. © 2011 American Chemical Society

  18. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers.

    Science.gov (United States)

    Kruszewski, Kristen M; Nistico, Laura; Longwell, Mark J; Hynes, Matthew J; Maurer, Joshua A; Hall-Stoodley, Luanne; Gawalt, Ellen S

    2013-05-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (-CH3) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an "active" antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. Copyright © 2013 Elsevier B.V. All rights reserved.

  19. Electroless Ni-Mo-P diffusion barriers with Pd-activated self-assembled monolayer on SiO2

    International Nuclear Information System (INIS)

    Liu Dianlong; Yang Zhigang; Zhang Chi

    2010-01-01

    Ternary Ni-based amorphous films can serve as a diffusion barrier layer for Cu interconnects in ultralarge-scale integration (ULSI) applications. In this paper, electroless Ni-Mo-P films deposited on SiO 2 layer without sputtered seed layer were prepared by using Pd-activated self-assembled monolayer (SAM). The solutions and operating conditions for pretreatment and deposition were presented, and the formation of Pd-activated SAM was demonstrated by XPS (X-ray photoelectron spectroscopy) analysis and BSE (back-scattered electron) observation. The effects of the concentration of Na 2 MoO 4 added in electrolytes, pH value, and bath temperature on the surface morphology and compositions of Ni-Mo-P films were investigated. The microstructures, diffusion barrier property, electrical resistivity, and adhesion were also examined. Based on the experimental results, the Ni-Mo-P alloys produced by using Pd-activated SAM had an amorphous or amorphous-like structure, and possessed good performance as diffusion barrier layer.

  20. Mirror-finished superhydrophobic aluminum surfaces modified by anodic alumina nanofibers and self-assembled monolayers

    Science.gov (United States)

    Nakajima, Daiki; Kikuchi, Tatsuya; Natsui, Shungo; Suzuki, Ryosuke O.

    2018-05-01

    We demonstrate mirror-finished superhydrophobic aluminum surfaces fabricated via the formation of anodic alumina nanofibers and subsequent modification with self-assembled monolayers (SAMs). High-density anodic alumina nanofibers were formed on the aluminum surface via anodizing in a pyrophosphoric acid solution. The alumina nanofibers became tangled and bundled by further anodizing at low temperature because of their own weight, and the aluminum surface was completely covered by the long falling nanofibers. The nanofiber-covered aluminum surface exhibited superhydrophilic behavior, with a contact angle measuring less than 10°. As the nanofiber-covered aluminum surface was modified with n-alkylphosphonic acid SAMs, the water contact angle drastically shifted to superhydrophobicity, measuring more than 150°. The contact angle increased with the applied voltage during pyrophosphoric acid anodizing, the anodizing time, and the number of carbon atoms contained in the SAM molecules modified on the alumina nanofibers. By optimizing the anodizing and SAM-modification conditions, superhydrophobic behavior could be achieved with only a brief pyrophosphoric acid anodizing period of 3 min and subsequent simple immersion in SAM solutions. The superhydrophobic aluminum surface exhibited a high reflectance, measuring approximately 99% across most of the visible spectrum, similar to that of an electropolished aluminum surface. Therefore, our mirror-finished superhydrophobic aluminum surface based on anodic alumina nanofibers and SAMs can be used as a reflective mirror in various optical applications such as concentrated solar power systems.

  1. Hydration of Sulphobetaine (SB) and Tetra(ethylene glycol) (EG4)-Terminated Self-Assembled Monolayers Studied by Sum Frequency Generation (SFG) Vibrational Spectroscopy

    Science.gov (United States)

    Stein, M. Jeanette; Weidner, Tobias; McCrea, Keith; Castner, David G.; Ratner, Buddy D.

    2010-01-01

    Sum frequency generation (SFG) vibrational spectroscopy is used to study the surface and the underlying substrate of both homogeneous and mixed self-assembled monolayers (SAMs) of 11-mercaptoundecyl-1-sulphobetainethiol (HS(CH2)11N+(CH3)2(CH2)3SO3−, SB) and 1-mercapto-11-undecyl tetra(ethylene glycol) (HS(CH2)11O(CH2CH2O)4OH, EG4) with an 11-mercapto-1-undecanol (HS(CH2)11OH, MCU) diluent. SFG results on the C–H region of the dry and hydrated SAMs gave an in situ look into the molecular orientation and suggested an approach to maximize signal-to-noise ratio on these difficult to analyze hydrophilic SAMs. Vibrational fingerprint studies in the 3000–3600 cm−1 spectral range for the SAMs exposed serially to air, water, and deuterated water revealed that a layer of tightly-bound structured water was associated with the surface of a non-fouling monolayer but was not present on a hydrophobic N-undecylmercaptan (HS(CH2)10CH3, UnD) control. The percentage of water retained upon submersion in D2O correlated well with the relative amount of protein that was previously shown to absorb onto the monolayers. These results provide evidence supporting the current theory regarding the role of a tightly-bound vicinal water layer in the protein resistance of a non-fouling group. PMID:19639981

  2. Unfolding of cytochrome c immobilized on self-assembled monolayers. An electrochemical study

    International Nuclear Information System (INIS)

    Monari, Stefano; Ranieri, Antonio; Bortolotti, Carlo Augusto; Peressini, Silvia; Tavagnacco, Claudio; Borsari, Marco

    2011-01-01

    Highlights: → Denaturation involves intermediate and partially unfolded forms. → An unfolded species displaying the haem with Fe coordinated by two His is observed. → Under unfolding conditions the nature of the SAM influences conformation of protein. → Concentration of the unfolding agent affects redox properties of immobilized protein. - Abstract: The electron transfer (ET) process of progressively unfolded bovine cytochrome c immobilized on different self-assembled monolayers (SAMs) was investigated. Insight is gained on the role of the SAM surface on the functionality of the partially unfolded and non-native forms of the adsorbed protein. Direct electrochemical measurements were performed on cytochrome c adsorbed on mercaptopyridine (MP) and mixed 11-mercapto-1-undecanoic acid/11-mercapto-1-undecanol (MUA/MU) at varying temperature, in the presence of urea as unfolding agent. Under strongly unfolding conditions, a non-native form of cytochrome c, in which the methionine ligand is replaced by a histidine, was observed on both MP and MUA/MU SAMs. The E o ' of the native form, in which the haem is axially coordinated by methionine and histidine, slightly shifts to negative values upon increasing urea concentration. However, the non-native bis-histidinate species shows a much lower E o ' value (by approximately 0.4 V) which is by far enthalpic in origin and largely determined by axial ligand swapping. Analysis of the reduction enthalpies and entropies and of the ET rate constants indicate that the nature of the SAM (hydrophilic or anionic) results in changes in the conformational rearrangement of the cytochrome c under unfolding conditions.

  3. Unfolding of cytochrome c immobilized on self-assembled monolayers. An electrochemical study

    Energy Technology Data Exchange (ETDEWEB)

    Monari, Stefano; Ranieri, Antonio; Bortolotti, Carlo Augusto; Peressini, Silvia [Department of Chemistry, University of Modena and Reggio Emilia, via Campi 183, 41125 Modena (Italy); Tavagnacco, Claudio [Department of Chemistry, University of Trieste, via Giorgieri 1, 34127 Trieste (Italy); Borsari, Marco, E-mail: marco.borsari@unimore.it [Department of Chemistry, University of Modena and Reggio Emilia, via Campi 183, 41125 Modena (Italy)

    2011-08-01

    Highlights: > Denaturation involves intermediate and partially unfolded forms. > An unfolded species displaying the haem with Fe coordinated by two His is observed. > Under unfolding conditions the nature of the SAM influences conformation of protein. > Concentration of the unfolding agent affects redox properties of immobilized protein. - Abstract: The electron transfer (ET) process of progressively unfolded bovine cytochrome c immobilized on different self-assembled monolayers (SAMs) was investigated. Insight is gained on the role of the SAM surface on the functionality of the partially unfolded and non-native forms of the adsorbed protein. Direct electrochemical measurements were performed on cytochrome c adsorbed on mercaptopyridine (MP) and mixed 11-mercapto-1-undecanoic acid/11-mercapto-1-undecanol (MUA/MU) at varying temperature, in the presence of urea as unfolding agent. Under strongly unfolding conditions, a non-native form of cytochrome c, in which the methionine ligand is replaced by a histidine, was observed on both MP and MUA/MU SAMs. The E{sup o}' of the native form, in which the haem is axially coordinated by methionine and histidine, slightly shifts to negative values upon increasing urea concentration. However, the non-native bis-histidinate species shows a much lower E{sup o}' value (by approximately 0.4 V) which is by far enthalpic in origin and largely determined by axial ligand swapping. Analysis of the reduction enthalpies and entropies and of the ET rate constants indicate that the nature of the SAM (hydrophilic or anionic) results in changes in the conformational rearrangement of the cytochrome c under unfolding conditions.

  4. Novel determination of cadmium ions using an enzyme self-assembled monolayer with surface plasmon resonance

    International Nuclear Information System (INIS)

    May May, Lee; Russell, David A.

    2003-01-01

    The activity of the enzyme urease is known to be inhibited by the heavy metal cadmium. The binding of cadmium to urease and the consequent changes of the enzyme structure are the basis of the surface plasmon resonance (SPR) biosensing system reported herein. To facilitate the formation of a self-assembled monolayer (SAM) of the urease on gold-coated glass SPR sensor disks, the enzyme has been modified with N-succinimidyl 3-(2-pyridyldithiol) propionate (SPDP). The urease monolayer was exposed to trace levels of cadmium ions and monitored by SPR. From circular dichroism (CD) data, it is believed that the conformation of the active nickel site of the urease changes upon binding of the cadmium ions. It is this change of the enzyme monolayer, measured by SPR, which has been related to the cadmium ion concentration in the range of 0-10 mg l -1 . These data are the first report of a SPR biosensor capable of detecting metal ions

  5. Electron Processing at 50 eV of Terphenylthiol Self-Assembled Monolayers: Contributions of Primary and Secondary Electrons.

    Science.gov (United States)

    Houplin, Justine; Dablemont, Céline; Sala, Leo; Lafosse, Anne; Amiaud, Lionel

    2015-12-22

    Aromatic self-assembled monolayers (SAMs) can serve as platforms for development of supramolecular assemblies driven by surface templates. For many applications, electron processing is used to locally reinforce the layer. To achieve better control of the irradiation step, chemical transformations induced by electron impact at 50 eV of terphenylthiol SAMs are studied, with these SAMs serving as model aromatic SAMs. High-resolution electron energy loss spectroscopy (HREELS) and electron-stimulated desorption (ESD) of neutral fragment measurements are combined to investigate electron-induced chemical transformation of the layer. The decrease of the CH stretching HREELS signature is mainly attributed to dehydrogenation, without a noticeable hybridization change of the hydrogenated carbon centers. Its evolution as a function of the irradiation dose gives an estimate of the effective hydrogen content loss cross-section, σ = 2.7-4.7 × 10(-17) cm(2). Electron impact ionization is the major primary mechanism involved, with the impact electronic excitation contributing only marginally. Therefore, special attention is given to the contribution of the low-energy secondary electrons to the induced chemistry. The effective cross-section related to dissociative secondary electron attachment at 6 eV is estimated to be 1 order of magnitude smaller. The 1 eV electrons do not induce significant chemical modification for a 2.5 mC cm(-2) dose, excluding their contribution.

  6. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers

    International Nuclear Information System (INIS)

    Kruszewski, Kristen M.; Nistico, Laura; Longwell, Mark J.; Hynes, Matthew J.; Maurer, Joshua A.; Hall-Stoodley, Luanne; Gawalt, Ellen S.

    2013-01-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (− CH 3 ) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an “active” antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. - Highlights: ► SS316L was modified with glycol terminated SAMs in order to reduce biofilm growth. ► Antibiotics gentamicin and vancomycin were immobilized on SS316L via SAMs. ► Only the antibiotic modifications reduced biofilm development on SS316L

  7. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Kruszewski, Kristen M., E-mail: kruszewskik@duq.edu [Duquesne University, Department of Chemistry and Biochemistry, 600 Forbes Avenue, Pittsburgh, PA 15282 (United States); Nistico, Laura, E-mail: lnistico@wpahs.org [Allegheny General Hospital, Center for Genomic Sciences, Allegheny-Singer Research Institute, 320 East North Avenue, 11th floor, South Tower, Pittsburgh, PA 15212 (United States); Longwell, Mark J., E-mail: mlongwel@wpahs.org [Allegheny General Hospital, Center for Genomic Sciences, Allegheny-Singer Research Institute, 320 East North Avenue, 11th floor, South Tower, Pittsburgh, PA 15212 (United States); Hynes, Matthew J., E-mail: mjhynes@go.wustl.edu [Washington University in St. Louis, Department of Chemistry, One Brookings Drive, St. Louis, MO 63130 (United States); Maurer, Joshua A., E-mail: maurer@wustl.edu [Washington University in St. Louis, Department of Chemistry, One Brookings Drive, St. Louis, MO 63130 (United States); Hall-Stoodley, Luanne, E-mail: L.Hall-Stoodley@soton.ac.uk [Southampton Wellcome Trust Clinical Research Facility/NIHR Respiratory BRU, University of Southampton Faculty of Medicine, Southampton General Hospital, Tremona Road, Southampton, Hampshire SO16 6YD (United Kingdom); Gawalt, Ellen S., E-mail: gawalte@duq.edu [Duquesne University, Department of Chemistry and Biochemistry, McGowan Institute for Regenerative Medicine, 600 Forbes Avenue, Pittsburgh, PA 15282 (United States)

    2013-05-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (− CH{sub 3}) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an “active” antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. - Highlights: ► SS316L was modified with glycol terminated SAMs in order to reduce biofilm growth. ► Antibiotics gentamicin and vancomycin were immobilized on SS316L via SAMs. ► Only the antibiotic modifications reduced biofilm development on SS316L.

  8. Surface plasmon resonance spectroscopic study of UV-addressable phenylalanine sensing based on a self-assembled spirooxazine derivative monolayer

    International Nuclear Information System (INIS)

    Suk, Shinae; Suh, Hee-Jung; Gun An, Won; Kim, Jae-Ho; Jin, Sung-Ho; Kim, Sung-Hoon; Gal, Yeong-Soon; Koh, Kwangnak

    2004-01-01

    Light-addressable compounds are very interesting due to the possibilities of their practical use such as optical switches and memories or variable transmission materials. For example, transportation of phenylalanine across liposomal bilayers mediated by a photoresponsive carrier like spirooxazine through electrostatic interaction between phenylalanine and spirooxazine derivative. Thus, the spirooxazine is expected to form a UV-addressable phenylalanine sensing interface. In this study, we prepared phenylalanine sensing interface of a spirooxazine derivative by self-assembly technique and evaluated interaction between a spirooxazine moiety and phenylalanine with a surface plasmon resonance (SPR). The refractive index change of monolayer caused by interaction between a spirooxazine derivative and phenylalanine led to the SPR angle shifts upon UV irradiation. The SPR angle shift increased with increasing the concentration of phenylalanine solution. These results indicated that the spirooxazine derivative self-assembled monolayer (SAM) has an application potential for UV-addressable phenylalanine sensing

  9. Triptycene-terminated thiolate and selenolate monolayers on Au(111

    Directory of Open Access Journals (Sweden)

    Jinxuan Liu

    2017-04-01

    Full Text Available To study the implications of highly space-demanding organic moieties on the properties of self-assembled monolayers (SAMs, triptycyl thiolates and selenolates with and without methylene spacers on Au(111 surfaces were comprehensively studied using ultra-high vacuum infrared reflection absorption spectroscopy, X-ray photoelectron spectroscopy, near-edge X-ray absorption fine structure spectroscopy and thermal desorption spectroscopy. Due to packing effects, the molecules in all monolayers are substantially tilted. In the presence of a methylene spacer the tilt is slightly less pronounced. The selenolate monolayers exhibit smaller defect densities and therefore are more densely packed than their thiolate analogues. The Se–Au binding energy in the investigated SAMs was found to be higher than the S–Au binding energy.

  10. Shadow mask evaporation through monolayer modified nanostencils

    NARCIS (Netherlands)

    Kolbel, M.; Tjerkstra, R.W.; Brugger, J.P.; van Rijn, C.J.M.; Nijdam, W.; Huskens, Jurriaan; Reinhoudt, David

    2002-01-01

    Gradual clogging of the apertures of nanostencils used as miniature shadow masks in metal evaporations can be reduced by coating the stencil with self-assembled monolayers (SAM). This is quantified by the dimensions (height and volume) of gold features obtained by nanostencil evaporation as measured

  11. Self-assembled monolayers of semi-fluorinated thiols and disulfides with a potentially antibacterial terminal fragment on gold surfaces

    International Nuclear Information System (INIS)

    Thebault, P.; Taffin de Givenchy, E.; Guittard, F.; Guimon, C.; Geribaldi, S.

    2008-01-01

    Attempts to elaborate the best organized cationic self-assembled monolayers (SAMs) with sulfur derivatives containing potentially bactericidal quaternary ammonium salt moieties have been performed on gold with the final aim to obtain contact-active antibacterial surfaces. Four molecules bearing two hydrocarbon spacers with different lengths between the sulfur atom and the quaternized nitrogen atom, and two different terminal semi-fluorinated alkyl chains have been synthesised and used in view to evaluate their capacity for leading to the highest densities and the highest organization of potentially active molecules on the metal surface. The formation and quality of SAMs characterized by X-ray photoelectron spectroscopy, Internal Reflexion Infra Red Imaging, contact angle and blocking factor measurements depend on the lengths of both the hydrocarbon spacer and terminal perfluorinated chain

  12. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Cheng Huang

    2012-09-01

    Full Text Available A rapid and cost-effective lithographic method, polymer blend lithography (PBL, is reported to produce patterned self-assembled monolayers (SAM on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity, the molar mass of the polystyrene (PS and poly(methyl methacrylate (PMMA, and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix can be reproducibly induced. Either of the formed phases (PS or PMMA can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This “monolayer copy” of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS and (3-aminopropyltriethoxysilane (APTES, and at the same time featuring regions of bare SiOx. The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures.

  13. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    Science.gov (United States)

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  14. Biological Activation of Inert Ceramics: Recent Advances Using Tailored Self-Assembled Monolayers on Implant Ceramic Surfaces

    Science.gov (United States)

    Böke, Frederik; Schickle, Karolina; Fischer, Horst

    2014-01-01

    High-strength ceramics as materials for medical implants have a long, research-intensive history. Yet, especially on applications where the ceramic components are in direct contact with the surrounding tissue, an unresolved issue is its inherent property of biological inertness. To combat this, several strategies have been investigated over the last couple of years. One promising approach investigates the technique of Self-Assembled Monolayers (SAM) and subsequent chemical functionalization to create a biologically active tissue-facing surface layer. Implementation of this would have a beneficial impact on several fields in modern implant medicine such as hip and knee arthroplasty, dental applications and related fields. This review aims to give a summarizing overview of the latest advances in this recently emerging field, along with thorough introductions of the underlying mechanism of SAMs and surface cell attachment mechanics on the cell side. PMID:28788687

  15. Molecular junctions based on SAMs of cruciform oligo(phenylene ethynylene)s

    DEFF Research Database (Denmark)

    Wei, Zhongming; Li, Tao; Jennum, Karsten Stein

    2012-01-01

    Cruciform oligo(phenylene ethynylene)s (OPEs) with an extended tetrathiafulvalene (TTF) donor moiety (OPE5-TTF and OPE3-TTF) and their simple analogues (OPE5-S and OPE3) without conjugated substituents were used to form high quality self-assembled monolayers (SAMs) on ultra-flat gold substrates...

  16. Self-assembled monolayers from biphenyldithiol derivatives: optimization of the deprotection procedure and effect of the molecular conformation.

    Science.gov (United States)

    Shaporenko, Andrey; Elbing, Mark; Błaszczyk, Alfred; von Hänisch, Carsten; Mayor, Marcel; Zharnikov, Michael

    2006-03-09

    A series of biphenyl-derived dithiol (BDDT) compounds with terminal acetyl-protected sulfur groups and different structural arrangements of both phenyl rings have been synthesized and fully characterized. The different arrangements were achieved by introducing hydrocarbon substituents in the 2 and 2' positions of the biphenyl backbone. The presented model compounds enable the investigation of the correlation between the intramolecular conformation and other physical properties of interest, like, e.g., molecular assembly or electronic transport properties. Here, the ability of these model compounds to form self-assembled monolayers (SAMs) on Au(111) and Ag(111) is investigated in details. The deprotection of the target molecules was performed in situ using either NH4OH or triethylamine (TEA) deprotection agent. The fabricated films were characterized by synchrotron-based high-resolution photoelectron spectroscopy and near-edge absorption fine structure spectroscopy. Whereas the deprotection by NH4OH was found to result in the formation of multilayer films, the deprotection by TEA allowed the preparation of densely packed BDDT SAMs with a noticeably higher orientational order and smaller molecular inclination on Ag than on Au. Introduction of the alkyl bridge between the individual rings of the biphenyl backbone did not lead to a noticeable change in the structure and packing density of the BDDT SAMs as long as the molecule had a planar conformation in the respective SAM. The deviation from this conformation resulted in the deterioration of the film quality and a decrease of the orientational order.

  17. Surface properties of self-assembled monolayer films of tetra-substituted cobalt, iron and manganese alkylthio phthalocyanine complexes

    Energy Technology Data Exchange (ETDEWEB)

    Akinbulu, Isaac Adebayo; Khene, Samson [Department of Chemistry, Rhodes University, Grahamstown 6140 (South Africa); Nyokong, Tebello, E-mail: t.nyokong@ru.ac.z [Department of Chemistry, Rhodes University, Grahamstown 6140 (South Africa)

    2010-09-30

    Self-assembled monolayer (SAM) films of iron (SAM-1), cobalt (SAM-2) and manganese (SAM-3) phthalocyanine complexes, tetra-substituted with diethylaminoethanethio at the non-peripheral positions, were formed on gold electrode in dimethylformamide (DMF). Electrochemical, impedimentary and surface properties of the SAM films were investigated. Cyclic voltammetry was used to investigate the electrochemical properties of the films. Ability of the films to inhibit common faradaic processes on bare gold surface (gold oxidation, solution redox chemistry of [Fe(H{sub 2}O){sub 6}]{sup 3+}/[Fe(H{sub 2}O){sub 6}]{sup 2+} and underpotential deposition (UDP) of copper) was investigated. Electrochemical impedance spectroscopy (EIS), using [Fe(CN){sub 6}]{sup 3-/4-} redox process as a probe, offered insights into the electrical properties of the films/electrode interfaces. Surface properties of the films were probed using atomic force microscopy (AFM) and scanning electron microscopy (SEM). The films were employed for the electrocatalytic oxidation of the pesticide, carbofuran. Electrocatalysis was evidenced from enhanced current signal and less positive oxidation potential of the pesticide on each film, relative to that observed on the bare gold electrode. Mechanism of electrocatalytic oxidation of the pesticide was studied using rotating disc electrode voltammetry.

  18. Understanding the effects of packing and chemical terminations on the optical excitations of azobenzene-functionalized self-assembled monolayers

    Science.gov (United States)

    Cocchi, Caterina; Draxl, Claudia

    2017-10-01

    In a first-principles study based on many-body perturbation theory, we analyze the optical excitations of azobenzene-functionalized self-assembled monolayers (SAMs) with increasing packing density and different terminations, considering for comparison the corresponding gas-phase molecules and dimers. Intermolecular coupling increases with the density of the chromophores independently of the functional groups. The intense π → π* resonance that triggers photo-isomerization is present in the spectra of isolated dimers and diluted SAMs, but it is almost completely washed out in tightly packed architectures. Intermolecular coupling is partially inhibited by mixing differently functionalized azobenzene derivatives, in particular when large groups are involved. In this way, the excitation band inducing the photo-isomerization process is partially preserved and the effects of dense packing partly counterbalanced. Our results suggest that a tailored design of azobenzene-functionalized SAMs which optimizes the interplay between the packing density of the chromophores and their termination can lead to significant improvements in the photo-switching efficiency of these systems.

  19. Use of piezoelectric-excited millimeter-sized cantilever sensors to measure albumin interaction with self-assembled monolayers of alkanethiols having different functional headgroups.

    Science.gov (United States)

    Campbell, Gossett A; Mutharasan, Raj

    2006-04-01

    In this paper, we describe a new modality of measuring human serum albumin (HSA) adsorption continuously on CH3-, COOH-, and OH-terminated self-assembled monolayers (SAMs) of C11-alkanethiols and the direct quantification of the adsorbed amount. A gold-coated piezoelectric-excited millimeter-sized cantilever (PEMC) sensor of 6-mm2 sensing area was fabricated, where resonant frequency decreases upon mass increase. The resonant frequency in air of the detection peak was 45.5 +/- 0.01 kHz. SAMs of C11-thiols (in absolute ethanol) with different end groups was prepared on the PEMC sensor and then exposed to buffer solution containing HSA at 10 microg/mL. The resonant frequency decreased exponentially and reached a steady-state value within 30 min. The decrease in resonant frequency indicates that the mass of the sensor increased due to HSA adsorption onto the SAM layer. The frequency change obtained for the HSA adsorption on CH3-, COOH-, and OH-terminated SAM were 520.8 +/- 8.6 (n = 3), 290.4 +/- 6.1 (n = 2), and 210.6 +/- 8.1 Hz (n = 3), respectively. These results confirm prior conclusions that albumin adsorption decreased in the order, CH(3) > COOH > OH. Observed binding rate constants were 0.163 +/- 0.003, 0.248 +/- 0.006, and 0.381 +/- 0.001 min(-1), for methyl, carboxylic, and hydroxyl end groups, respectively. The significance of the results reported here is that both the formation of self-assembled monolayers and adsorption of serum protein onto the formed layer can be measured continuously, and quantification of the adsorbed amount can be determined directly.

  20. Microcontact printing technology as a method of fabrication of patterned self-assembled monolayers for application in nanometrology

    Science.gov (United States)

    Pałetko, Piotr; Moczała, Magdalena; Janus, Paweł; Grabiec, Piotr; Gotszalk, Teodor

    2013-07-01

    This paper is focused on manufacture technology of molecular self-assembled monolayers (SAM) using microcontact printing (μCP) techniqe. This technique, due to its low-cost and simplicity, is a very attractive one for further development of molecular electronics and nanotechnology. The SAM can be produced on gold or silicon oxide using thiol and silane based chemistry respectively[1]. The μCP techniques allow the imposition of molecular structures in specific areas. The chemical properties of the fabricated layers depend on the functional groups of tail molecules. Such structures can be used as chemical receptors or as interface between the substrate and the biosensor receptors [2]. Architecture of the tail molecule determines the chemical reactivity and hydrophilic or hydrophobic properties. In addition it modifies the tribological properties [4] and electrical structure parameters, such as contact potential diference (CPD) [5]. The height of the SAM structure containing carbon chain is highly dependent on the length and type of binding molecules to the substrate, which enables application of the μCP SAM structures in height metrology. The results of these studies will be presented in the work.

  1. DNA-mediated self-assembly of carbon nanotubes on gold

    International Nuclear Information System (INIS)

    Sanchez-Pomales, Germarie; Rivera-Velez, Nelson E; Cabrera, Carlos R

    2007-01-01

    This report presents the use of disulfide-modified single-stranded DNA (ssDNA) to form DNA self-assembled monolayers (SAMs) and mixed DNA-carbon nanotube (CNT) hybrids SAMs on gold substrates. Mixed DNA-CNT SAMs are composed of DNA, mercaptohexanol (MCH) and DNA-CNT aggregates. Both, DNA-CNT and DNA areas of the mixed SAMs were analyzed and compared to traditional DNA SAMs. The results suggest the formation of a more compact and densely packed monolayer of DNA-CNT in comparison with DNA. The use of DNA-CNT hybrids to form SAMs on gold substrates might represent a new approach to improve the immobilization of DNA strands on gold, and might therefore help with the development of enhanced DNA sensors

  2. Characterization of Functionalized Self-Assembled Monolayers and Surface-Attached Interlocking Molecules Using Near-Edge X-ray Absorption Fine Structure Spectroscopy

    International Nuclear Information System (INIS)

    Willey, T; Willey, T

    2004-01-01

    Quantitative knowledge of the fundamental structure and substrate binding, as well as the direct measurement of conformational changes, are essential to the development of self-assembled monolayers (SAMs) and surface-attached interlocking molecules, catenanes and rotaxanes. These monolayers are vital to development of nano-mechanical, molecular electronic, and biological/chemical sensor applications. This dissertation investigates properties of functionalized SAMs in sulfur-gold based adsorbed molecular monolayers using quantitative spectroscopic techniques including near-edge x-ray absorption fine structure spectroscopy (NEXAFS) and x-ray photoelectron spectroscopy (XPS). The stability of the gold-thiolate interface is addressed. A simple model SAM consisting of dodecanethiol adsorbed on Au(111) degrades significantly in less than 24 hours under ambient laboratory air. S 2p and O 1s XPS show the gold-bound thiolates oxidize to sulfinates and sulfonates. A reduction of organic material on the surface and a decrease in order are observed as the layer degrades. The effect of the carboxyl vs. carboxylate functionalization on SAM structure is investigated. Carboxyl-terminated layers consisting of long alkyl-chain thiols vs. thioctic acid with short, sterically separated, alkyl groups are compared and contrasted. NEXAFS shows a conformational change, or chemical switchability, with carboxyl groups tilted over and carboxylate endgroups more upright. Surface-attached loops and simple surface-attached rotaxanes are quantitatively characterized, and preparation conditions that lead to desired films are outlined. A dithiol is often insufficient to form a molecular species bound at each end to the substrate, while a structurally related disulfide-containing polymer yields surface-attached loops. Similarly, spectroscopic techniques show the successful production of a simple, surface-attached rotaxane that requires a ''molecular riveting'' step to hold the mechanically attached

  3. Reactive Landing of Dendrimer Ions onto Activated Self-assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Qichi; Laskin, Julia

    2014-02-06

    The reactivity of gaseous, amine-terminated polyamidoamine (PAMAM) dendrimer ions with activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester groups (NHS-SAM) is examined using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS). The reaction extent is determined from depletion of the infrared band at 1753 cm-1, corresponding to the stretching vibration of the NHS carbonyl groups following ion deposition. For reaction yields below 10%, NHS band depletion follows a linear dependence on the ion dose. By comparing the kinetics plots obtained for 1,12-dodecanediamine and different generations of dendrimer ions (G0–G3) containing 4, 8, 16, and 32 terminal amino group, we demonstrate that the relative reaction efficiency increases linearly with the number of NH2 groups in the molecule. This finding is rationalized assuming the formation of multiple amide bonds upon collision of higher-generation dendrimers with NHS-SAM. Furthermore, by comparing the NHS band depletion following deposition of [M+4H]4+ ions of the G2 dendrimer at 30, 80, and 120 eV, we demonstrate that the ion’s kinetic energy has no measurable effect on reaction efficiency. Similarly, the ion’s charge state only has a minor effect on the reactive landing efficiency of dendrimer ions. Our results indicate that reactive landing is an efficient approach for highly selective covalent immobilization of complex multifunctional molecules onto organic surfaces terminated with labile functional groups.

  4. Chemical stability of nonwetting, low adhesion self-assembled monolayer films formed by perfluoroalkylsilanization of copper

    International Nuclear Information System (INIS)

    Hoque, E.; DeRose, J. A.; Hoffmann, P.; Bhushan, B.; Mathieu, H. J.

    2007-01-01

    A self-assembled monolayer (SAM) has been produced by reaction of 1H,1H,2H,2H-perfluorodecyldimethylchlorosilane (PFMS) with an oxidized copper (Cu) substrate and investigated by x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), friction force microscopy (FFM), a derivative of AFM, and contact angle measurement. FFM showed a significant reduction in the adhesive force and friction coefficient of PFMS modified Cu (PFMS/Cu) compared to unmodified Cu. The perfluoroalkyl SAM on Cu is found to be extremely hydrophobic, yielding sessile drop static contact angles of more than 130 degree sign for pure water and a 'surface energy' (which is proportional to the Zisman critical surface tension for a Cu surface with 0 rms roughness) of 14.5 mJ/m 2 (nM/m). Treatment by exposure to harsh conditions showed that PFMS/Cu SAM can withstand boiling nitric acid (pH=1.8), boiling water, and warm sodium hydroxide (pH=12, 60 degree sign C) solutions for at least 30 min. Furthermore, no SAM degradation was observed when PFMS/Cu was exposed to warm nitric acid solution for up to 70 min at 60 degree sign C or 50 min at 80 degree sign C. Extremely hydrophobic (low surface energy) and stable PFMS/Cu SAMs could be useful as corrosion inhibitors in micro/nanoelectronic devices and/or as promoters for antiwetting, low adhesion surfaces or dropwise condensation on heat exchange surfaces

  5. Chemical stability of nonwetting, low adhesion self-assembled monolayer films formed by perfluoroalkylsilanization of copper.

    Science.gov (United States)

    Hoque, E; DeRose, J A; Hoffmann, P; Bhushan, B; Mathieu, H J

    2007-03-21

    A self-assembled monolayer (SAM) has been produced by reaction of 1H,1H,2H,2H-perfluorodecyldimethylchlorosilane (PFMS) with an oxidized copper (Cu) substrate and investigated by x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), friction force microscopy (FFM), a derivative of AFM, and contact angle measurement. FFM showed a significant reduction in the adhesive force and friction coefficient of PFMS modified Cu (PFMS/Cu) compared to unmodified Cu. The perfluoroalkyl SAM on Cu is found to be extremely hydrophobic, yielding sessile drop static contact angles of more than 130 degrees for pure water and a "surface energy" (which is proportional to the Zisman critical surface tension for a Cu surface with 0 rms roughness) of 14.5 mJm2(nMm). Treatment by exposure to harsh conditions showed that PFMS/Cu SAM can withstand boiling nitric acid (pH=1.8), boiling water, and warm sodium hydroxide (pH=12, 60 degrees C) solutions for at least 30 min. Furthermore, no SAM degradation was observed when PFMS/Cu was exposed to warm nitric acid solution for up to 70 min at 60 degrees C or 50 min at 80 degrees C. Extremely hydrophobic (low surface energy) and stable PFMS/Cu SAMs could be useful as corrosion inhibitors in micro/nanoelectronic devices and/or as promoters for antiwetting, low adhesion surfaces or dropwise condensation on heat exchange surfaces.

  6. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    International Nuclear Information System (INIS)

    Zheng Zhikun; Yang Menglong; Liu Yaqing; Zhang Bailin

    2006-01-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip

  7. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    Science.gov (United States)

    Zheng, Zhikun; Yang, Menglong; Liu, Yaqing; Zhang, Bailin

    2006-11-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip.

  8. Effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Xi Luan [Surface Science Western, University of Western Ontario, London, Ontario N6A 5B7 (Canada); Zheng Zhi; Lam, N.-S. [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China); Grizzi, Oscar [Centro Atomico Bariloche, 8400 San Carlos de Bariloche, Rio Negro (Argentina); Lau, W.-M. [Surface Science Western, University of Western Ontario, London, Ontario N6A 5B7 (Canada)], E-mail: llau22@uwo.ca

    2007-10-31

    The effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer (SAM) on Au(1 1 1) are studied with scanning tunneling microscopy (STM) and X-ray photoemission spectroscopy (XPS). The STM and XPS results show that proton bombardment with proton energy as low as 2 eV can induce cross-linking of the adsorbed alkanethiols and transform the original ordered SAM lattice to an array of nanoclusters of the cross-linked alkanethiols. For a bombardment at 3 eV with a fluence of 3x10{sup 15} cm{sup -2}, the typical cluster size is about 5 nm. In addition, the cluster size distribution is narrow, with no cluster larger than 8 nm. The cluster growth can be promoted by increasing the fluence at a fixed bombardment energy or increasing the energy at a fixed fluence. This indicates that surface diffusion of alkanethiols and cluster growth can be harnessed by the control of the bombardment energy and fluence.

  9. Effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer on Au(1 1 1)

    International Nuclear Information System (INIS)

    Xi Luan; Zheng Zhi; Lam, N.-S.; Grizzi, Oscar; Lau, W.-M.

    2007-01-01

    The effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer (SAM) on Au(1 1 1) are studied with scanning tunneling microscopy (STM) and X-ray photoemission spectroscopy (XPS). The STM and XPS results show that proton bombardment with proton energy as low as 2 eV can induce cross-linking of the adsorbed alkanethiols and transform the original ordered SAM lattice to an array of nanoclusters of the cross-linked alkanethiols. For a bombardment at 3 eV with a fluence of 3x10 15 cm -2 , the typical cluster size is about 5 nm. In addition, the cluster size distribution is narrow, with no cluster larger than 8 nm. The cluster growth can be promoted by increasing the fluence at a fixed bombardment energy or increasing the energy at a fixed fluence. This indicates that surface diffusion of alkanethiols and cluster growth can be harnessed by the control of the bombardment energy and fluence

  10. A comparative study of the growth of octadecyltrichlorosilane and 3-mercaptopropyltrimethoxysilane self-assembled monolayers on hydrophilic silicon surfaces

    International Nuclear Information System (INIS)

    Yang, S.-R.; Kolbesen, Bernd O.

    2008-01-01

    Self-assembled monolayers of two different organosilane precursors, methyl-terminated nonpolar n-octadecyltrichlorosilane (OTS, Cl 3 Si(CH 2 ) 17 CH 3 ) and thiol-terminated polar 3-mercaptopropyltrimethoxysilane (MPTMS, (CH 3 O) 3 SiCH 2 CH 2 CH 2 SH), were prepared separately on hydrophilic silicon surfaces by immersion in millimolar solutions of the respective precursors in toluene at room temperature. Ex situ atomic force microscopy (AFM), lateral force microscopy (LFM) and X-ray photoelectron spectroscopy (XPS) were used to study the growth and the properties of OTS and MPTMS SAMs. For OTS SAMs, generally speaking, small islands surrounded large dendrite-shaped islands. But for MPTMS SAMs, sporadic small round islands appeared, but no dendrites. The impact of the solution age was more significant on the growth of OTS SAMs than MPTMS SAMs. At the same precursor concentration and solution age, the growth of OTS SAMs was much faster than MPTMS SAMs due to the greater hydrolysis ability of Si-Cl bonds in OTS as compared with that of Si-OCH 3 bonds in MPTMS. The difference in hydrolysis ability was confirmed by the absence of a Cl signal in the XP spectrum of OTS SAMs and the existence of a C 1s peak corresponding to unhydrolyzed Si-OCH 3 bonds in the XP spectrum of MPTMS SAMs. This trend together with the difference in alkyl chain length had a strong influence on the surface morphology and coverage of these two SAMs. According to the individual adsorption behavior of the components, the predictable kinetic difficulty of preparing OTS/MPTMS mixed SAMs by co-adsorption is pointed out. Furthermore, a potential reaction condition for stepwise adsorption is suggested.

  11. Electrochemical Properties of Alkanethiol Monolayers Adsorbed on Nanoporous Au Surfaces

    International Nuclear Information System (INIS)

    Chu, Yeon Yi; Seo, Bora; Kim, Jong Won

    2010-01-01

    We investigated the electrochemical properties of alkanethiol monolayers adsorbed on NPG surfaces by cyclic voltammetry and electrochemical impedance spectroscopy, and the results are compared to those on flat Au surfaces. The reductive desorption of alkanethiols on NPG surfaces is observed in more negative potential regions than that on flat Au surfaces due the stronger S-Au interaction on NPG surfaces. While the electron transfer through alkanethiol monolayers on flat Au surfaces occurs via a tunneling process through the monolayer films, the redox species can permeate through the monolayers on NPG surfaces to transfer the electrons to the Au surfaces. The results presented here will help to elucidate the intrinsic electrochemical properties of alkanethiol monolayers adsorbed on curved Au surfaces, particularly on the surface of AuNPs. Self-assembled monolayers (SAMs) of thiolate molecules on Au surfaces have been the subject of intensive research for the last few decades due to their unique physical and chemical properties. The well-organized surface structures of thiolate SAMs with various end-group functionalities can be further utilized for many applications in biology and nanotechnology. In addition to the practical applications, SAMs of thiolate molecules on Au surfaces also provide unique opportunities to address fundamental issues in surface chemistry such as self-organized surface structures, electron transfer behaviors, and moleculesubstrate interactions. Although there have been numerous reports on the fundamental physical and chemical properties of thiolate SAMs on Au surfaces, most of them were investigated on flat Au surfaces, typically on well-defined Au(111) surfaces

  12. Assembly of CdSe onto mesoporous TiO{sub 2} films induced by a self-assembled monolayer for quantum dot-sensitized solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Lai-Wan; Chien, Huei-Ting; Lee, Yuh-Lang [Department of Chemical Engineering, National Cheng Kung University, No. 1 University Road, Tainan 70101 (China)

    2010-08-01

    A self-assembled monolayer (SAM) of 3-mercaptopropyl-trimethyoxysilane (MPTMS) is pre-assembled onto a mesoporous TiO{sub 2} film and is used as a surface-modified layer to induce the growth of CdSe QDs in the successive ionic layer adsorption and reaction (SILAR) process. Due to the specific interaction of the terminal thiol groups to CdSe, the MPTMS SAM is found to increase the nucleation and growth rates of CdSe in the SILAR process, leading to a well covering and higher uniform CdSe layer which has a superior ability, compared with the electrode without MPTMS, in inhibiting the charge recombination at the electrode/electrolyte interface. Furthermore, the performance of the CdSe-sensitized TiO{sub 2} electrode can further be improved by an additional heat annealing after film deposition, attributable to a better interfacial connection between CdSe and TiO{sub 2}, as well as a better connection among CdSe QDs. The CdSe-sensitized solar cell prepared by the present strategy can achieve an energy conversion efficiency of 2.65% under the illumination of one sun (AM 1.5, 100 mW cm{sup -2}). (author)

  13. Nano-indentation at the surface contact level: applying a harmonic frequency for measuring contact stiffness of self-assembled monolayers adsorbed on Au

    International Nuclear Information System (INIS)

    Chang, C.-W.; Liao, J.-D.

    2008-01-01

    In this study, the well-ordered alkanethiolate self-assembled monolayers (SAMs) of varied chain lengths and tail groups were employed as examples for nano-characterization on their mechanical properties. A novel nano-indentation technique with a constant harmonic frequency was applied on SAMs chemically adsorbed on Au to explore their contact mechanics, and furthermore to interpret how SAM molecules respond to an infinitesimal oscillation force without pressing them. Experimental results demonstrated that the harmonic contact stiffness along with the measured displacement of SAMs/Au was distinguishable using a dynamic contact modulus with the distinct feature of phase angles. Phase angles resulted from the relaxing continuation of an applied harmonic frequency and mostly influenced by the outermost tail group of SAM molecules. The harmonic contact stiffness of SAM molecules obviously increased with the densely packed alkyl chains and relatively intense agglomeration of the head group at the anchoring site. As a consequence, the result of this work is relevant to contact mechanics at the surface contact level for the distinction of molecular substances attached on a solid surface. Furthermore it is particularly anticipated to identify biological molecules of variable qualities under a fluid-like micro-environment

  14. Nano-indentation at the surface contact level: applying a harmonic frequency for measuring contact stiffness of self-assembled monolayers adsorbed on Au

    Energy Technology Data Exchange (ETDEWEB)

    Chang, C.-W.; Liao, J.-D. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, University Road, Tainan 70101, Taiwan (China)], E-mail: jdliao@mail.ncku.edu.tw

    2008-08-06

    In this study, the well-ordered alkanethiolate self-assembled monolayers (SAMs) of varied chain lengths and tail groups were employed as examples for nano-characterization on their mechanical properties. A novel nano-indentation technique with a constant harmonic frequency was applied on SAMs chemically adsorbed on Au to explore their contact mechanics, and furthermore to interpret how SAM molecules respond to an infinitesimal oscillation force without pressing them. Experimental results demonstrated that the harmonic contact stiffness along with the measured displacement of SAMs/Au was distinguishable using a dynamic contact modulus with the distinct feature of phase angles. Phase angles resulted from the relaxing continuation of an applied harmonic frequency and mostly influenced by the outermost tail group of SAM molecules. The harmonic contact stiffness of SAM molecules obviously increased with the densely packed alkyl chains and relatively intense agglomeration of the head group at the anchoring site. As a consequence, the result of this work is relevant to contact mechanics at the surface contact level for the distinction of molecular substances attached on a solid surface. Furthermore it is particularly anticipated to identify biological molecules of variable qualities under a fluid-like micro-environment.

  15. Surface adhesion and confinement variation of Staphylococcus aurius on SAM surfaces

    Science.gov (United States)

    Amroski, Alicia; Olsen, Morgan; Calabrese, Joseph; Senevirathne, Reshani; Senevirathne, Indrajith

    2012-02-01

    Controlled surface adhesion of non - pathogenic gram positive strain, Staphylococcus aureus is interesting as a model system due to possible development of respective biosensors for prevention and detection of the pathogenic strain methicillin resistant Staphylococcus aureus (MRSA) and further as a study for bio-machine interfacing. Self Assembled Monolayers (SAM) with engineered surfaces of linear thiols on Au(111) were used as the substrate. Sub cultured S. aureus were used for the analysis. The SAM layered surfaces were dipped in 2 -- 4 Log/ml S. aureus solution. Subsequent surface adhesion at different bacterial dilutions on surfaces will be discussed, and correlated with quantitative and qualitative adhesion properties of bacteria on the engineered SAM surfaces. The bacteria adhered SAM surfaces were investigated using intermittent contact, noncontact, lateral force and contact modes of Atomic Force Microscopy (AFM).

  16. Properties of the gold-sulphur interface: from self-assembled monolayers to clusters.

    Science.gov (United States)

    Bürgi, Thomas

    2015-10-14

    The gold-sulphur interface of self-assembled monolayers (SAMs) was extensively studied some time ago. More recently tremendous progress has been made in the preparation and characterization of thiolate-protected gold clusters. In this feature article we address different properties of the two systems such as their structure, the mobility of the thiolates on the surface and other dynamical aspects, the chirality of the structures and characteristics related to it and their vibrational properties. SAMs and clusters are in the focus of different communities that typically use different experimental approaches to study the respective systems. However, it seems that the nature of the Au-S interfaces in the two cases is quite similar. Recent single crystal X-ray structures of thiolate-protected gold clusters reveal staple motifs characterized by gold ad-atoms sandwiched between two sulphur atoms. This finding contradicts older work on SAMs. However, newer studies on SAMs also reveal ad-atoms. Whether this finding can be generalized remains to be shown. In any case, more and more studies highlight the dynamic nature of the Au-S interface, both on flat surfaces and in clusters. At temperatures slightly above ambient thiolates migrate on the gold surface and on clusters. Evidence for desorption of thiolates at room temperature, at least under certain conditions, has been demonstrated for both systems. The adsorbed thiolate can lead to chirality at different lengths scales, which has been shown both on surfaces and for clusters. Chirality emerges from the organization of the thiolates as well as locally at the molecular level. Chirality can also be transferred from a chiral surface to an adsorbate, as evidenced by vibrational spectroscopy.

  17. Properties of the gold-sulphur interface: from self-assembled monolayers to clusters

    Science.gov (United States)

    Bürgi, Thomas

    2015-09-01

    The gold-sulphur interface of self-assembled monolayers (SAMs) was extensively studied some time ago. More recently tremendous progress has been made in the preparation and characterization of thiolate-protected gold clusters. In this feature article we address different properties of the two systems such as their structure, the mobility of the thiolates on the surface and other dynamical aspects, the chirality of the structures and characteristics related to it and their vibrational properties. SAMs and clusters are in the focus of different communities that typically use different experimental approaches to study the respective systems. However, it seems that the nature of the Au-S interfaces in the two cases is quite similar. Recent single crystal X-ray structures of thiolate-protected gold clusters reveal staple motifs characterized by gold ad-atoms sandwiched between two sulphur atoms. This finding contradicts older work on SAMs. However, newer studies on SAMs also reveal ad-atoms. Whether this finding can be generalized remains to be shown. In any case, more and more studies highlight the dynamic nature of the Au-S interface, both on flat surfaces and in clusters. At temperatures slightly above ambient thiolates migrate on the gold surface and on clusters. Evidence for desorption of thiolates at room temperature, at least under certain conditions, has been demonstrated for both systems. The adsorbed thiolate can lead to chirality at different lengths scales, which has been shown both on surfaces and for clusters. Chirality emerges from the organization of the thiolates as well as locally at the molecular level. Chirality can also be transferred from a chiral surface to an adsorbate, as evidenced by vibrational spectroscopy.

  18. Prevention of passive film breakdown on iron in a borate buffer solution containing chloride ion by coverage with a self-assembled monolayer of hexadecanoate ion

    International Nuclear Information System (INIS)

    Aramaki, Kunitsugu; Shimura, Tadashi

    2003-01-01

    Breakdown of a passive film on iron in a borate buffer solution (pH 8.49) containing 0.1 M of Cl - was suppressed by coverage of the passive film surface with a self-assembled monolayer (SAM) of hexadecanoate ion C 15 H 31 CO 2 - (C 16 A - ). The pitting potential of an iron electrode previously passivated in the borate buffer at 0.50 V/SCE increased by treatment in an aqueous solution of sodium hexadecanoate for many hours, indicating protection of the passive film from breakdown caused by an attack on defects of the film with Cl - . No breakdown occurred over the potential range of the passive region by coverage with the SAM of C 16 A - in some cases. Structures of the passive film and the monolayer were characterized by X-ray photoelectron and Fourier transform infrared reflection spectroscopies and contact angle measurement with a drop of water

  19. Effects of self-assembled monolayer structural order, surface homogeneity and surface energy on pentacene morphology and thin film transistor device performance.

    Science.gov (United States)

    Hutchins, Daniel Orrin; Weidner, Tobias; Baio, Joe; Polishak, Brent; Acton, Orb; Cernetic, Nathan; Ma, Hong; Jen, Alex K-Y

    2013-01-04

    A systematic study of six phosphonic acid (PA) self-assembled monolayers (SAMs) with tailored molecular structures is performed to evaluate their effectiveness as dielectric modifying layers in organic field-effect transistors (OFETs) and determine the relationship between SAM structural order, surface homogeneity, and surface energy in dictating device performance. SAM structures and surface properties are examined by near edge X-ray absorption fine structure (NEXAFS) spectroscopy, contact angle goniometry, and atomic force microscopy (AFM). Top-contact pentacene OFET devices are fabricated on SAM modified Si with a thermally grown oxide layer as a dielectric. For less ordered methyl- and phenyl-terminated alkyl ~(CH 2 ) 12 PA SAMs of varying surface energies, pentacene OFETs show high charge carrier mobilities up to 4.1 cm 2 V -1 s -1 . It is hypothesized that for these SAMs, mitigation of molecular scale roughness and subsequent control of surface homogeneity allow for large pentacene grain growth leading to high performance pentacene OFET devices. PA SAMs that contain bulky terminal groups or are highly crystalline in nature do not allow for a homogenous surface at a molecular level and result in charge carrier mobilities of 1.3 cm 2 V -1 s -1 or less. For all molecules used in this study, no causal relationship between SAM surface energy and charge carrier mobility in pentacene FET devices is observed.

  20. Surface sealing using self-assembled monolayers and its effect on metal diffusion in porous low-k dielectrics studied using monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Armini, Silvia; Zhang, Yu; Kakizaki, Takeaki; Krause-Rehberg, Reinhard; Anwand, Wolfgang; Wagner, Andreas

    2016-01-01

    Graphical abstract: - Highlights: • Pores with cubic pore side lengths of 1.1 and 3.1 nm coexisted in the low-k film. • For the sample without the SAM sealing process, metal atoms diffused from the top Cu/MnN layer into the OSG film and were trapped by the pores. Almost all pore interiors were covered by those metals. • For the sample damaged by a plasma etch treatment before the SAM sealing process, self-assembled molecules diffused into the OSG film, and they were preferentially trapped by larger pores. - Abstract: Surface sealing effects on the diffusion of metal atoms in porous organosilicate glass (OSG) films were studied by monoenergetic positron beams. For a Cu(5 nm)/MnN(3 nm)/OSG(130 nm) sample fabricated with pore stuffing, C_4F_8 plasma etch, unstuffing, and a self-assembled monolayer (SAM) sealing process, it was found that pores with cubic pore side lengths of 1.1 and 3.1 nm coexisted in the OSG film. For the sample without the SAM sealing process, metal (Cu and Mn) atoms diffused from the top Cu/MnN layer into the OSG film and were trapped by the pores. As a result, almost all pore interiors were covered with those metals. For the sample damaged by an Ar/C_4F_8 plasma etch treatment before the SAM sealing process, SAMs diffused into the OSG film, and they were preferentially trapped by larger pores. The cubic pore side length in these pores containing self-assembled molecules was estimated to be 0.7 nm. Through this work, we have demonstrated that monoenergetic positron beams are a powerful tool for characterizing capped porous films and the trapping of atoms and molecules by pores.

  1. Efecto de la polietilenimina en la actividad catalítica de la peroxidasa de rábano (horseradish peroxidase inmovilizada en electrodos de oro modificados con monocapas autoensambladas de tioles (SAMs.

    Directory of Open Access Journals (Sweden)

    Pedro R. Matheus

    2009-05-01

    Full Text Available Effect of the Polyethyleneimine in the Activity Catalytic of the horseradish peroxidase Immobilized on Gold Electrodes Modified with a Self-assembled Monolayer of Thiols (SAMs. Studies were conducted bycyclic voltammetry (CV to investigate the effect of the polymer polyethyleneimine (PEI in the electrochemical reversibility of the mediator thionine and thus the catalytic activity of the enzyme horseradish peroxidase of recombinant HRP-NHis (horseradish peroxidase to the has been added to a chain of six histidine in the extreme N-terminal protein. This self produced monolayers of thiols (SAMS on gold electrodes, with chemical modifications obtained through successive stages in the solid phase of the electrode. The gold electrodes were modified with monolayer SAM-TOA-[ANTA/DADOO] -Co2+ [SAM: self-assembled monolayers of thiols, TOA: dithioctic acid, ANTA: nitrilotriacetic acid, DADOO: 1,8-diamino-3,6-dioxa octane]. The results showed that the presence of the polymer improves the electrochemical reversibility of the mediator to endure catalyticcurrents as high as those that are obtained with molar ratios ANTA:DADOO 10:1 in the absence of PEI, and improve the response voltammetric obtained.

  2. Tribology of monolayer films: comparison between n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon.

    Science.gov (United States)

    Booth, Brandon D; Vilt, Steven G; McCabe, Clare; Jennings, G Kane

    2009-09-01

    This Article presents a quantitative comparison of the frictional performance for monolayers derived from n-alkanethiolates on gold and n-alkyl trichlorosilanes on silicon. Monolayers were characterized by pin-on-disk tribometry, contact angle analysis, ellipsometry, and electrochemical impedance spectroscopy (EIS). Pin-on-disk microtribometry provided frictional analysis at applied normal loads from 10 to 1000 mN at a speed of 0.1 mm/s. At low loads (10 mN), methyl-terminated n-alkanethiolate self-assembled monolayers (SAMs) exhibited a 3-fold improvement in coefficient of friction over SAMs with hydroxyl- or carboxylic-acid-terminated surfaces. For monolayers prepared from both n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon, a critical chain length of at least eight carbons is required for beneficial tribological performance at an applied load of 9.8 mN. Evidence for disruption of chemisorbed alkanethiolate SAMs with chain lengths n tribology wear tracks. The direct comparison between the tribological stability of alkanethiolate and silane monolayers shows that monolayers prepared from n-octadecyl dimethylchlorosilane and n-octadecyl trichlorosilane withstood normal loads at least 30 times larger than those that damaged octadecanethiolate SAMs. Collectively, our results show that the tribological properties of monolayer films are dependent on their internal stabilities, which are influenced by cohesive chain interactions (van der Waals) and the adsorbate-substrate bond.

  3. Reactive Landing of Gramicidin S and Ubiquitin Ions onto Activated Self-Assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Laskin, Julia; Hu, Qichi

    2017-03-13

    Using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS), we examined the reactive landing of gramicidin S and ubiquitin ions onto activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester (NHS-SAM) and acyl fluoride (COF-SAM) groups. Doubly protonated gramicidin S, [GS+2H]2+, and two charge states of ubiquitin, [U+5H]5+ and [U+13H]13+, were used as model systems, allowing us to explore the effect of the number of free amino groups and the secondary structure on the efficiency of covalent bond formation between the projectile ion and the surface. For all projectile ions, ion deposition resulted in the depletion of IRRAS bands corresponding to the terminal groups on the SAM and the appearance of several new bands not associated with the deposited species. These new bands were assigned to the C=O stretching vibrations of COOH and COO- groups formed on the surface as a result of ion deposition. The presence of these bands was attributed to an alternative reactive landing pathway that competes with covalent bond formation. This pathway with similar yields for both gramicidin S and ubiquitin ions is analogous to the hydrolysis of the NHS ester bond in solution. The covalent bond formation efficiency increased linearly with the number of free amino groups and was found to be lower for the more compact conformation of ubiquitin compared with the fully unfolded conformation. This observation was attributed to the limited availability of amino groups on the surface of the folded conformation. Our results have provided new insights on the efficiency and mechanism of reactive landing of peptides and proteins onto activated SAMs

  4. Conformational order of n-dodecanethiol and n-dodecaneselenol monolayers on polycrystalline copper investigated by PM-IRRAS and SFG spectroscopy

    Science.gov (United States)

    Fonder, G.; Cecchet, F.; Peremans, A.; Thiry, P. A.; Delhalle, J.; Mekhalif, Z.

    2009-08-01

    Self-assembled monolayers (SAMs) of n-dodecanethiol (C 12H 25SH) and n-dodecaneselenol (C 12H 25SeH) on polycrystalline copper have been elaborated with the purpose of achieving densely packed and crystalline-like assemblies. By combining the surface sensitivity of polarization modulation infrared reflection absorption spectroscopy (PM-IRRAS) and sum-frequency generation spectroscopy (SFG), the effect of the self-assembly time (15 min, 30 min, 1 h, 2 h and 24 h) on the formation of n-dodecanethiol and n-dodecaneselenol monolayers on untreated and electrochemically reduced polycrystalline copper has been investigated. On electrochemically reduced copper, PM-IRRAS spectroscopy shows that both molecules are able to form well organized layers. SFG spectroscopy indicates that the C 12H 25SeH SAMs are slightly better ordered than those achieved with C 12H 25SH. On untreated copper, the two molecules lead to different film organizations. Both PM-IRRAS and SFG indicate that C 12H 25SH SAMs are of the same film quality as those obtained on electrochemically reduced copper. On the contrary, C 12H 25SeH monolayers are invariably poorly organized at the molecular level.

  5. Immobilisation of a thrombopoietin peptidic mimic by self-assembled monolayers for culture of CD34+ cells.

    Science.gov (United States)

    Lee, Eun-Ju; Be, Cheang Ly; Vinson, Andrew R; Riches, Andrew G; Fehr, Friederike; Gardiner, James; Gengenbach, Thomas R; Winkler, David A; Haylock, David

    2015-01-01

    Compared to soluble cytokines, surface-tethered ligands can deliver biological signalling with precise control of spatial positioning and concentration. A strategy that immobilises ligand molecules on a surface in a uniform orientation using non-cleavable linkages under physiological conditions would enhance the specific and systemic delivery of signalling in the local environment. We used mixed self-assembled monolayers (SAMs) of oxyamine- and oligo(ethylene glycol)-terminated thiols on gold to covalently install aldehyde- or ketone-functionalised ligands via oxime conjugation. Characterisation by electrochemistry and X-ray photoelectron spectroscopy showed quantitative immobilisation of the ligands on SAM surfaces. The thrombopoietin mimetic peptide, RILL, was immobilised on SAMs and the bioactivity of the substrate was demonstrated by culturing factor-dependent cells. We also optimised the immobilisation and wash conditions so that the peptide was not released into the culture medium and the immobilised RILL could be re-used for consecutive cell cultures. The surface also supported the growth of haematopoietic CD34+ cells comparable to the standard thrombopoietin-supplemented culture. Furthermore, the RILL-immobilised SAM surface was as effective in expanding uncommitted CD34+ cells as standard culture. The stimulatory effect of surface-tethered ligands in haematopoietic stem cell expansion supports the use of ligand immobilisation strategies to replicate the haematopoietic stem cell niche. Crown Copyright © 2014. Published by Elsevier Ltd. All rights reserved.

  6. Increasing the Fill Factor of Inverted P3HT:PCBM Solar Cells Through Surface Modification of Al-Doped ZnO via Phosphonic Acid-Anchored C60 SAMs

    DEFF Research Database (Denmark)

    Stubhan, Tobias; Salinas, Michael; Ebel, Alexander

    2012-01-01

    The influence of aluminum-doped zinc oxide (AZO) electron extraction layers modified with self-assembled monolayers (SAMs) on inverted polymer solar cells is investigated. It is found that AZO modification with phosphonic acid-anchored Fullerene–SAMs leads to a reduction of the series resistance,...

  7. Mechanical and charge transport properties of alkanethiol self-assembled monolayers on Au (111) surface: The Role of Molecular Tilt

    Energy Technology Data Exchange (ETDEWEB)

    Mulleregan, Alice; Qi, Yabing; Ratera, Imma; Park, Jeong Y.; Ashby, Paul D.; Quek, Su Ying; Neaton, J. B.; Salmeron, Miquel

    2007-11-12

    The relationship between charge transport and mechanical properties of alkanethiol self-assembled monolayers (SAM) on Au(111) films has been investigated using an atomic force microscope with a conductive tip. Molecular tilts induced by the pressure applied by the tip cause stepwise increases in film conductivity. A decay constant {beta} = 0.57 {+-} 0.03 {angstrom}{sup -1} was found for the current passing through the film as a function of tip-substrate separation due to this molecular tilt. This is significantly smaller than the value of {approx} 1 {angstrom}{sup -1} found when the separation is changed by changing the length of the alkanethiol molecules. Calculations indicate that for isolated dithiol molecules S-bonded to hollow sites, the junction conductance does not vary significantly as a function of molecular tilt. The impact of S-Au bonding on SAM conductance is discussed.

  8. Electrochemistry of the Self-Assembled Monolayers of Dyads Consisting of Tripod-Shaped Trithiol and Bithiophene on Gold

    Directory of Open Access Journals (Sweden)

    Toshikazu Kitagawa

    2014-09-01

    Full Text Available Self-assembled monolayers (SAMs of tripod-shaped trithiols, consisting of an adamantane core with three CH2SH legs and a bithiophene group, were prepared on a Au(111 surface. Adsorption in a tripod-like fashion was supported by polarization modulation-infrared reflection absorption spectroscopy (PM-IRRAS of the SAMs, which indicated the absence of free SH groups. Cyclic voltammetry showed an irreversible cathodic wave due to reductive desorption. The SAM also showed an anodic wave due to the single-electron oxidation of the bithiophene moiety without concomitant desorption of the molecules. Although oxidation was irreversible in the absence of a protecting group, it became reversible with the introduction of a terminal phenyl group. The charge of the oxidation was one-third that of the reductive desorption, confirming a three-point adsorption. The surface coverage was ca. 50% of that expected for the anti bithiophene conformation, which suggested that an increase in the surface area per molecule had been caused by the presence of an energetically high-lying syn conformer. In accordance with this, the line shape of the oxidation wave suggested an electrostatic repulsive interaction between neighboring molecules.

  9. Switching on/off the chemisorption of thioctic-based self-assembled monolayers on gold by applying a moderate cathodic/anodic potential.

    Science.gov (United States)

    Sahli, Rihab; Fave, Claire; Raouafi, Noureddine; Boujlel, Khaled; Schöllhorn, Bernd; Limoges, Benoît

    2013-04-30

    An in situ and real-time electrochemical method has been devised for quantitatively monitoring the self-assembly of a ferrocene-labeled cyclic disulfide derivative (i.e., a thioctic acid derivative) on a polycrystalline gold electrode under electrode polarization. Taking advantage of the high sensitivity, specificity, accuracy, and temporal resolution of this method, we were able to demonstrate an unexpectedly facilitated formation of the redox-active SAM when the electrode was held at a moderate cathodic potential (-0.4 V vs SCE in CH3CN), affording a saturated monolayer from only micromolar solutions in less than 10 min, and a totally impeded SAM growth when the electrode was polarized at a slightly anodic potential (+0.5 V vs SCE in CH3CN). This method literally allows for switching on/off the formation of SAMs under "soft" conditions. Moreover the cyclic disulfide-based SAM was completely desorbed at this potential contrary to the facilitated deposition of a ferrocene-labeled alkanethiol. Such a strikingly contrasting behavior could be explained by an energetically favored release of the thioctic-based SAM through homolytic cleavage of the Au-S bond followed by intramolecular cyclization of the generated thiyl diradicals. Moreover, the absence of a discernible transient faradaic current response during the potential-assisted adsorption/desorption of the redox-labeled cyclic disulfide led us to conclude in a potential-dependent reversible surface reaction where no electron is released or consumed. These results provide new insights into the formation of disulfide-based SAMs on gold but also raise some fundamental questions about the intimate mechanism involved in the facilitated adsorption/desorption of SAMs under electrode polarization. Finally, the possibility to easily and selectively address the formation/removal of thioctic-based SAMs on gold by applying a moderate cathodic/anodic potential offers another degree of freedom in tailoring their properties and

  10. Ozone Oxidation of Self-Assembled Monolayers on SiOx-Coated Zinc Selenide Surfaces

    Science.gov (United States)

    McIntire, T. M.; Ryder, O. S.; Finlayson-Pitts, B. J.

    2008-12-01

    Airborne particles are important for visibility, human health, climate, and atmospheric reactions. Atmospheric particles contain a significant fraction of organics and such compounds present on airborne particles are susceptible to oxidation by atmospheric oxidants, such as OH, ozone, halogen atoms, and nitrogen trioxide. Oxidized organics associated with airborne particles are thought to be polar, hygroscopic species with enhanced cloud-nucleating properties. Oxide layers on silicon, or SiO2-coated substrates, act as models of environmentally relevant surfaces such as dust particles upon which organics adsorb. We have shown previously that ozone oxidation of unsaturated self-assembled monolayers (SAMs) on silicon attenuated total reflectance (ATR) crystals leads to the formation of carbonyl groups and micron-sized, hydrophobic organic aggregates surrounded by carbon depleted substrate that do not have increased water uptake as previously assumed. Reported here are further ATR-FTIR studies of the oxidation of alkene SAMs on ZnSe and SiO2-coated ZnSe. These substrates have the advantage that they transmit below 1500 cm-1, allowing detection of additional product species. These experiments show that the loss of C=C and formation of carbonyl groups is also accompanied by formation of a peak at 1110 cm-1, attributed to the secondary ozonide. Details concerning the products and mechanism of ozonolysis of alkene SAMs on surfaces based on these new data are presented and the implications for the oxidation of alkenes on airborne dust particles are discussed.

  11. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  12. Disorder-derived, strong tunneling attenuation in bis-phosphonate monolayers

    Science.gov (United States)

    Pathak, Anshuma; Bora, Achyut; Liao, Kung-Ching; Schmolke, Hannah; Jung, Antje; Klages, Claus-Peter; Schwartz, Jeffrey; Tornow, Marc

    2016-03-01

    Monolayers of alkyl bisphosphonic acids (bisPAs) of various carbon chain lengths (C4, C8, C10, C12) were grown on aluminum oxide (AlO x ) surfaces from solution. The structural and electrical properties of these self-assembled monolayers (SAMs) were compared with those of alkyl monophosphonic acids (monoPAs). Through contact angle (CA) and Kelvin-probe (KP) measurements, ellipsometry, and infrared (IR) and x-ray photoelectron (XPS) spectroscopies, it was found that bisPAs form monolayers that are relatively disordered compared to their monoPA analogs. Current-voltage (J-V) measurements made with a hanging Hg drop top contact show tunneling to be the prevailing transport mechanism. However, while the monoPAs have an observed decay constant within the typical range for dense monolayers, β mono  =  0.85  ±  0.03 per carbon atom, a surprisingly high value, β bis  =  1.40  ±  0.05 per carbon atom, was measured for the bisPAs. We attribute this to a strong contribution of ‘through-space’ tunneling, which derives from conformational disorder in the monolayer due to strong interactions of the distal phosphonic acid groups; they likely form a hydrogen-bonding network that largely determines the molecular layer structure. Since bisPA SAMs attenuate tunnel currents more effectively than do the corresponding monoPA SAMs, they may find future application as gate dielectric modification in organic thin film devices.

  13. Effect of assembled time on the corrosion behaviors of SAMs film on the AM60B alloy and its assembled mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xianbin, E-mail: xbliu@imr.ac.cn; Shan, Dayong; Song, Yingwei; Han, En-hou

    2015-01-15

    The influence of assembled time on the corrosion behaviors of SAMs film on the AM60B alloy and its assembled mechanism have been investigated by electrochemical measurements, scanning electron microscopy (SEM) observation and X-ray photoelectron spectroscopy (XPS) analysis. The self-assembled experiment on the AM60B magnesium alloy indicates that the corrosion susceptibility decreases with increasing assembled time until 24 h on cast AM60B alloy and then increases with increase of the assembled time proved by EIS measurement and potentiodynamic curves. The self-assembled experiments on pure magnesium and various heat treated cast AM60B magnesium alloy illuminate that the dissolved aluminum in magnesium solid solution is the key factor for assembled efficiency and is hard to self-assemble on the pure magnesium without aluminum. The corrosion resistance of self-assembled film on AM60B magnesium alloy is monotonically increasing with the dissolved aluminum. The results of XPS analysis reveal the assembled mechanism on AM60B and corroborate the function of Al element. - Highlights: • It is hard to self-assemble on the pure magnesium. • 24 h assembled film has the low corrosion susceptibility by EIS and polarization. • The corrosion susceptibility of SAMs film lie on the Al atom state in AM60B. • The corrosion susceptibility of SAMs film is decreasing with the dissolved Al.

  14. Effect of assembled time on the corrosion behaviors of SAMs film on the AM60B alloy and its assembled mechanism

    International Nuclear Information System (INIS)

    Liu, Xianbin; Shan, Dayong; Song, Yingwei; Han, En-hou

    2015-01-01

    The influence of assembled time on the corrosion behaviors of SAMs film on the AM60B alloy and its assembled mechanism have been investigated by electrochemical measurements, scanning electron microscopy (SEM) observation and X-ray photoelectron spectroscopy (XPS) analysis. The self-assembled experiment on the AM60B magnesium alloy indicates that the corrosion susceptibility decreases with increasing assembled time until 24 h on cast AM60B alloy and then increases with increase of the assembled time proved by EIS measurement and potentiodynamic curves. The self-assembled experiments on pure magnesium and various heat treated cast AM60B magnesium alloy illuminate that the dissolved aluminum in magnesium solid solution is the key factor for assembled efficiency and is hard to self-assemble on the pure magnesium without aluminum. The corrosion resistance of self-assembled film on AM60B magnesium alloy is monotonically increasing with the dissolved aluminum. The results of XPS analysis reveal the assembled mechanism on AM60B and corroborate the function of Al element. - Highlights: • It is hard to self-assemble on the pure magnesium. • 24 h assembled film has the low corrosion susceptibility by EIS and polarization. • The corrosion susceptibility of SAMs film lie on the Al atom state in AM60B. • The corrosion susceptibility of SAMs film is decreasing with the dissolved Al

  15. Fluorine-doped tin oxide surfaces modified by self-assembled alkanethiols for thin-film devices

    Energy Technology Data Exchange (ETDEWEB)

    Alves, A.C.T.; Gomes, D.J.C.; Silva, J.R.; Silva, G.B., E-mail: george@cpd.ufmt.br

    2013-08-15

    In this work, we have investigated self-assembled monolayers (SAMs) from alkanethiols on fluorine-doped tin oxide (FTO) surfaces, which were used as an anode for thin-film devices prepared from the conductive copolymer so-called sulfonated poly(thiophene-3-[2-(2-methoxyethoxy) ethoxy]-2,5-diyl) (S-P3MEET). The assembled monolayers were characterized by using wetting contact angle, atomic force microscopy, and electrical measurements. The results indicated that dodecanethiol molecules, CH{sub 3}(CH{sub 2}){sub 11}SH, were well assembled on the FTO surfaces. In addition, it was found similar values of wetting contact angle for dodecanethiol assembled on both FTO and Au surfaces. Concerning the thin-film device, current–voltage analysis revealed a hysteresis. This behavior was associated to a charge-trapping effect and also to structural changes of the SAMs. Finally, charge injection capability of tin oxide electrodes can be improved by using SAMs and then this approach can plays an important role in molecular-scale electronic devices.

  16. Reactions of BBr(n)(+) (n = 0--2) at fluorinated and hydrocarbon self-assembled monolayer surfaces: observations of chemical selectivity in ion--surface scattering.

    Science.gov (United States)

    Wade, N; Shen, J; Koskinen, J; Cooks, R G

    2001-07-01

    Ion-surface reactions involving BBr(n)(+) (n = 0--2) with a fluorinated self-assembled monolayer (F-SAM) surface were investigated using a multi-sector scattering mass spectrometer. Collisions of the B(+) ion yield BF(2)(+) at threshold energy with the simpler product ion BF(+)* appearing at higher collision energies and remaining of lower abundance than BF(2)(+) at all energies examined. In addition, the reactively sputtered ion CF(+) accompanies the formation of BF(2)(+) at low collision energies. These results stand in contrast with previous data on the ion-surface reactions of atomic ions with the F-SAM surface in that the threshold and most abundant reaction products in those cases involved the abstraction of a single fluorine atom. Gas-phase enthalpy data are consistent with BF(2)(+) being the thermodynamically favored product. The fact that the abundance of BF(2)(+) is relatively low and relatively insensitive to changes in collision energy suggests that this reaction proceeds through an entropically demanding intermediate at the vacuum--surface interface, one which involves interaction of the B(+) ion simultaneously with two fluorine atoms. By contrast with the reaction of B(+), the odd-electron species BBr(+)* reacts with the F-SAM surface to yield an abundant single-fluorine abstraction product, BBrF(+). Corresponding gas-phase ion--molecule experiments involving B(+) and BBr(+)* with C(6)F(14) also yield the products BF(+)* and BF(2)(+), but only in extremely low abundances and with no preference for double fluorine abstraction. Ion--surface reactions were also investigated for BBr(n)(+) (n = 0-2) with a hydrocarbon self-assembled monolayer (H-SAM) surface. Reaction of the B(+) ion and dissociative reactions of BBr(+)* result in the formation of BH(2)(+), while the thermodynamically less favorable product BH(+)* is not observed. Collisions of BBr(2)(+) with the H-SAM surface yield the dissociative ion-surface reaction products, BBrH(+) and BBrCH(3

  17. A self-assembled monolayer-assisted surface microfabrication and release technique

    NARCIS (Netherlands)

    Kim, B.J.; Liebau, M.; Huskens, Jurriaan; Reinhoudt, David; Brugger, J.P.

    2001-01-01

    This paper describes a method of thin film and MEMS processing which uses self-assembled monolayers as ultra-thin organic surface coating to enable a simple removal of microfabricated devices off the surface without wet chemical etching. A 1.5-nm thick self-assembled monolayer of

  18. Ultrasensitive Detection of Cu2+ Using a Microcantilever Sensor Modified with L-Cysteine Self-Assembled Monolayer.

    Science.gov (United States)

    Xu, Xiaohe; Zhang, Na; Brown, Gilbert M; Thundat, Thomas G; Ji, Hai-Feng

    2017-10-01

    A microcantilever was modified with a self-assembled monolayer (SAM) of L-cysteine for the sensitively and selectively response to Cu(II) ions in aqueous solution. The microcantilever undergoes bending due to sorption of Cu(II) ions. The interaction of Cu(II) ions with the L-cysteine on the cantilever is diffusion controlled and does not follow a simple Langmuir adsorption model. A concentration of 10 -10  M Cu(II) was detected in a fluid cell using this technology. Other cations, such as Ni 2+ , Zn 2+ , Pb 2+ , Cd 2+ , Ca 2+ , K + , and Na + , did not respond with a significant deflection, indicating that this L-cysteine-modified cantilever responded selectively and sensitively to Cu(II).

  19. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  20. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  1. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    Energy Technology Data Exchange (ETDEWEB)

    Vo, Thieu Thi Tien [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Faculty of Chemical Engineering and Food Technology, Ba Ria-Vung Tau University, Vung Tau (Viet Nam); Mahesh, K.P.O. [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Lin, Pao-Hung [Department of Electronic and Computer Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Tai, Yian, E-mail: ytai@mail.ntust.edu.tw [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China)

    2017-05-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  2. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    International Nuclear Information System (INIS)

    Vo, Thieu Thi Tien; Mahesh, K.P.O.; Lin, Pao-Hung; Tai, Yian

    2017-01-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  3. Synthesis, electrochemistry, STM investigation of oligothiophene self-assemblies with superior structural order and electronic properties

    Energy Technology Data Exchange (ETDEWEB)

    Kuo, Cheng-Yu [C-PCS, Chemistry Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Liu, Yinghao; Yarotski, Dmitry [Center of Integrated Nanotechnologies, Materials Physics and Application Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Li, Hao [Theory Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Xu, Ping; Yen, Hung-Ju [C-PCS, Chemistry Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Tretiak, Sergei, E-mail: serg@lanl.gov [Theory Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Wang, Hsing-Lin, E-mail: hwang@lanl.gov [C-PCS, Chemistry Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States)

    2016-12-20

    Graphical abstract: STM imaging reveals differently oriented domains of self-assembled tetrathiophene molecules. - Highlights: • Optical and redox properties of oligothiophene derivatives are studied. • Packing pattern of self-assembly monolayer depends on the conjugation length. • Strong electronic coupling and three redox couples in cyclic voltamogram are observed in the hierarchical self-assembly. - Abstract: Three oligothiophene (terthiophene, tetrathiophene and pentathiophene) derivatives are synthesized and their monolayer self-assemblies on gold (Au) are prepared via Au–S covalent bond. Our UV–Vis experimental characterization of solution reveals the dependence of the optical properties on the conjugation length of the oligothiophenes, which compares well with Time-Dependent Density Functional Theory (TDDFT) simulations of spectra of individual chromophores. Photoluminescent spectra of thin films show pronounced red shifts compared to that of solutions, suggesting strong inter-oligomer interactions. The comparative studies of cyclic voltammograms of tetrathiophene from solution, cast film and self-assembled monolayer (SAM) indicate presence of one, two, and three oxidized species in these samples, respectively, suggesting a very strong electronic coupling between tetrathiophene molecules in the SAM. Scanning tunneling microscopy (STM) imaging of SAMs of the tetrathiophene on an atomically flat Au surface exhibits formation of monolayer assemblies with molecular order, and the molecular packing appears to show an overlay of oligothiophene molecules on top of another one. In contrast, the trimer and pentamer images show only aggregated species lacking long-range order on the molecular level. Such trends in going from disordered–ordered–disordered monolayer assemblies are mainly due to a delicate balance between inter-chromophore π–π couplings, hydrophobic interaction and the propensity to form Au–S covalent bond. Such hypothesis has been

  4. Effect of aromatic SAMs molecules on graphene/silicon schottky diode performance

    OpenAIRE

    Yağmurcukardeş, Nesli; Aydın, Hasan; Can, Mustafa; Yanılmaz, Alper; Mermer, Ömer; Okur, Salih; Selamet, Yusuf

    2016-01-01

    Au/n-Si/Graphene/Au Schottky diodes were fabricated by transferring atmospheric pressure chemical vapor deposited (APCVD) graphene on silicon substrates. Graphene/n-Si interface properties were improved by using 5-[(3-methylphenyl)(phenyl) amino]isophthalic acid (MePIFA) and 5-(diphenyl)amino]isophthalic acid (DPIFA) aromatic self-assembled monolayer (SAM) molecules. The surface morphologies of modified and non-modified films were investigated by atomic force microscopy and scanning electron ...

  5. Toward control of the metal-organic interfacial electronic structure in molecular electronics: a first-principles study on self-assembled monolayers of pi-conjugated molecules on noble metals.

    Science.gov (United States)

    Heimel, Georg; Romaner, Lorenz; Zojer, Egbert; Brédas, Jean-Luc

    2007-04-01

    Self-assembled monolayers (SAMs) of organic molecules provide an important tool to tune the work function of electrodes in plastic electronics and significantly improve device performance. Also, the energetic alignment of the frontier molecular orbitals in the SAM with the Fermi energy of a metal electrode dominates charge transport in single-molecule devices. On the basis of first-principles calculations on SAMs of pi-conjugated molecules on noble metals, we provide a detailed description of the mechanisms that give rise to and intrinsically link these interfacial phenomena at the atomic level. The docking chemistry on the metal side of the SAM determines the level alignment, while chemical modifications on the far side provide an additional, independent handle to modify the substrate work function; both aspects can be tuned over several eV. The comprehensive picture established in this work provides valuable guidelines for controlling charge-carrier injection in organic electronics and current-voltage characteristics in single-molecule devices.

  6. Structure and property relations of macromolecular self-assemblies at interfaces

    Science.gov (United States)

    Yang, Zhihao

    Hydrophilic polymer chains, poly(ethylene glycol) (PEG), are attached to glass surfaces by silylation of the silanol groups on glass surfaces with the omega-(methoxyl terminated PEG) trimethoxysilanes. These tethered polymer chains resemble the self-assembled monolayers (SAMs) of PEG, which exhibit excellent biocompatibility and provide a model system for studying the interactions of proteins with polymer surfaces. The low molecular weight PEGs tend to extend, forming a brush-like monolayer, whereas the longer polymer chains tend to interpenetrate each other, forming a mushroom-like PEG monolayer at the interface. Interactions between a plasma protein, bovine serum albumin, and the PEG-SAMs are investigated in terms of protein adsorption and diffusion on the surfaces by the technique of fluorescence recovery after photobleaching (FRAP). The diffusion and aggregation behaviors of the protein on the two monolayers are found to be quite different despite the similarities in adsorption and desorption behaviors. The results are analyzed with a hypothesis of the hydrated surface dynamics. A method of covalently bonding phospholipid molecules to silica substrates followed by loading with free phospholipids is demonstrated to form well organized and stable phospholipid self-assembled monolayers. Surfaces of such SAMs structurally mimic the aqueous sides of phospholipid bilayer membranes. The dynamics of phospholipids and an adsorbed protein, lipase, in the SAMs are probed with FRAP, in terms of lateral diffusion of both phospholipids and protein molecules. The esterase activity of lipase on the SAM surfaces is confirmed by the hydrolysis reaction of a substrate, umbelliferone stearate, showing such lipid SAMs posess biomembrane functionality in terms of interfacial activation of the membranous enzymes. Dynamics of polyethylene oxide and polypropylene oxide tri-block copolymers, PEO-PPO-PEO and PPO-PEO-PPO, at the air/water interface upon thermal stimulation is studied by

  7. Disorder-derived, strong tunneling attenuation in bis-phosphonate monolayers

    International Nuclear Information System (INIS)

    Pathak, Anshuma; Bora, Achyut; Tornow, Marc; Liao, Kung-Ching; Schwartz, Jeffrey; Schmolke, Hannah; Jung, Antje; Klages, Claus-Peter

    2016-01-01

    Monolayers of alkyl bisphosphonic acids (bisPAs) of various carbon chain lengths (C4, C8, C10, C12) were grown on aluminum oxide (AlO x ) surfaces from solution. The structural and electrical properties of these self-assembled monolayers (SAMs) were compared with those of alkyl monophosphonic acids (monoPAs). Through contact angle (CA) and Kelvin-probe (KP) measurements, ellipsometry, and infrared (IR) and x-ray photoelectron (XPS) spectroscopies, it was found that bisPAs form monolayers that are relatively disordered compared to their monoPA analogs. Current–voltage (J–V) measurements made with a hanging Hg drop top contact show tunneling to be the prevailing transport mechanism. However, while the monoPAs have an observed decay constant within the typical range for dense monolayers, β mono   =  0.85  ±  0.03 per carbon atom, a surprisingly high value, β bis   =  1.40  ±  0.05 per carbon atom, was measured for the bisPAs. We attribute this to a strong contribution of ‘through-space’ tunneling, which derives from conformational disorder in the monolayer due to strong interactions of the distal phosphonic acid groups; they likely form a hydrogen-bonding network that largely determines the molecular layer structure. Since bisPA SAMs attenuate tunnel currents more effectively than do the corresponding monoPA SAMs, they may find future application as gate dielectric modification in organic thin film devices. (paper)

  8. Surface chemistry and electrocatalytic behaviour of tetra-carboxy substituted iron, cobalt and manganese phthalocyanine monolayers on gold electrode

    International Nuclear Information System (INIS)

    Mashazi, Philani N.; Westbroek, Philippe; Ozoemena, Kenneth I.; Nyokong, Tebello

    2007-01-01

    Surface chemistry and electrocatalytic properties of self-assembled monolayers of metal tetra-carboxylic acid phthalocyanine complexes with cobalt (Co), iron (Fe) and manganese (Mn) as central metal ions have been studied. These phthalocyanine molecules are immobilized on gold electrode via the coupling reaction between the ring substituents and pre-formed mercaptoethanol self-assembled monolayer (Au-ME SAM). X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy confirmed chemisorption of mercaptoethanol via sulfur group on gold electrode and also coupling reaction between phthalocyanines and Au-ME SAM. Electrochemical parameters of the immobilized molecules show that these molecules are densely packed with a perpendicular orientation. The potential applications of the gold modified electrodes were investigated towards L-cysteine detection and the analysis at phthalocyanine SAMs. Cobalt and iron tetra-carboxylic acid phthalocyanine monolayers showed good oxidation peak for L-cysteine at potentials where metal oxidation (M III /M II ) takes place and this metal oxidation mediates the catalytic oxidation of L-cysteine. Manganese tetra-carboxylic acid phthalocyanine monolayer also exhibited a good catalytic oxidation peak towards L-cysteine at potentials where Mn IV /Mn III redox peak occurs and this redox peak mediates L-cysteine oxidation. The analysis of cysteine at phthalocyanine monolayers displayed good analytical parameters with good detection limits of the orders of 10 -7 mol L -1 and good linearity for a studied concentration range up to 60 μmol L -1

  9. Supramolecular domains in mixed peptide self-assembled monolayers on gold nanoparticles.

    Science.gov (United States)

    Duchesne, Laurence; Wells, Geoff; Fernig, David G; Harris, Sarah A; Lévy, Raphaël

    2008-09-01

    Self-organization in mixed self-assembled monolayers of small molecules provides a route towards nanoparticles with complex molecular structures. Inspired by structural biology, a strategy based on chemical cross-linking is introduced to probe proximity between functional peptides embedded in a mixed self-assembled monolayer at the surface of a nanoparticle. The physical basis of the proximity measurement is a transition from intramolecular to intermolecular cross-linking as the functional peptides get closer. Experimental investigations of a binary peptide self-assembled monolayer show that this transition happens at an extremely low molar ratio of the functional versus matrix peptide. Molecular dynamics simulations of the peptide self-assembled monolayer are used to calculate the volume explored by the reactive groups. Comparison of the experimental results with a probabilistic model demonstrates that the peptides are not randomly distributed at the surface of the nanoparticle, but rather self-organize into supramolecular domains.

  10. Immobilization of rhodium complexes at thiolate monolayers on gold surfaces : Catalytic and structural studies

    NARCIS (Netherlands)

    Belser, T; Stöhr, Meike; Pfaltz, A

    2005-01-01

    Chiral rhodium-diphosphine complexes have been incorporated into self-assembled thiolate monolayers (SAMS) on gold colloids. Catalysts of this type are of interest because they combine properties of homogeneous and heterogeneous systems. In addition, it should be possible to influence the catalytic

  11. FET immunosensor for hemoglobin A1c using a gold nanofilm grown by a seed-mediated technique and covered with mixed self-assembled monolayers

    International Nuclear Information System (INIS)

    Xue, Q.; Bian, C.; Tong, J.; Sun, J.; Zhang, H.; Xia, S.

    2012-01-01

    A micro FET-based immunosensor was developed for the determination of hemoglobin-A1c (HbA1c). The HbA1c/hemoglobin ratio is an important index in diabetes control. The sensor was fabricated by Complementary Metal-Oxide-Semiconductor Transistor (CMOS) and Micro Electronic Mechanical System (MEMS) techniques. The antibodies were immobilized via mixed self-assembled monolayers (SAMs) on a gold nanofilm. The nanofilm was deposited on a gold electrode by seed-mediated growth and gave a uniform and well distributed coverage. Nonspecific sites and interferences by noise were eliminated by covering the AuNPs with mixed SAMs. Compared to the immunosensor fabricated via the mixed SAMs method without gold nanofilm, the immunosensor displays a more than 2-fold sensitivity. The immunosensor is capable of detecting HbA1c and hemoglobin in hemolyzed and diluted whole blood, and results showed good agreement with the established clinical method. (author)

  12. Rhodium deposition onto a 4-mercaptopyridine SAM on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Manolova, M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany); Kayser, M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany); Kolb, D.M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany)]. E-mail: dieter.kolb@uni-ulm.de; Boyen, H.-G. [Institute of Solid State Physics, University of Ulm, 89069 Ulm (Germany); Ziemann, P. [Institute of Solid State Physics, University of Ulm, 89069 Ulm (Germany); Mayer, D. [BASF Electronic Materials GmbH, 67056 Ludwigshafen (Germany); Wirth, A. [BASF Electronic Materials GmbH, 67056 Ludwigshafen (Germany)

    2007-02-10

    The application of a recently developed method for the deposition of Pd and Pt on top of a SAM, has been successfully extended to Rh, thus proving the versatility of the new concept. Experimental evidence from cyclic voltammetry, in situ STM and ex situ X-ray photoemission spectroscopy is presented for the deposition of monoatomic high rhodium islands onto a 4-mercaptopyridine self-assembled monolayer on a Au(1 1 1) electrode. By repetitive complexation of the Rh ions to the ring-nitrogen and reduction in a Rh-ion free solution, an almost completely covered SAM is obtained. The consequences of making contacts for molecular electronics are briefly discussed.

  13. Toposelective electrochemical desorption of thiol SAMs from neighboring polycrystalline gold surfaces.

    Science.gov (United States)

    Tencer, Michal; Berini, Pierre

    2008-11-04

    We describe a method for the selective desorption of thiol self-assembled monolayers from gold surfaces having micrometer-scale separations on a substrate. In an electrolyte solution, the electrical resistance between the adjacent areas can be much lower than the resistance between a surface and the counter electrode. Also, both reductive and oxidative thiol desorption may occur. Therefore, the potentials of the surfaces must be independently controlled with a multichannel potentiostat and operating windows for a given thiol/electrolyte system must be established. In this study operating windows were established for 1-dodecanethiol-based SAMs in phosphate buffer, phosphate-buffered saline, and sodium hydroxide solution, and selective SAM removal was successfully performed in a four-electrode configuration.

  14. Rapid localized deactivation of self-assembled monolayers by propagation-controlled laser-induced plasma and its application to self-patterning of electronics and biosensors

    Science.gov (United States)

    Kim, Jongsu; Kwon, Seung-Gab; Back, Seunghyun; Kang, Bongchul

    2018-03-01

    We present a novel laser-induced surface treatment process to rapidly control the spatial wettabilities of various functional solutions with submicron to micron resolutions. Ultrathin hydrophobic self-assembled monolayers (SAMs) that little absorb typical laser lights due to short penetration depth were selectively deactivated by instantaneous interaction with laser-induced metallic plasmas. The spatial region of the deactivated SAM, which corresponds to process resolution, is adjustable by controlling the spatial propagation of the plasma. This method leads to the parallel formation of hydrophilic functional solutions on glass substrates with a minimum resolution on the submicron scale. To show its feasibility in device engineering fields, this method was applied to the cost-effective fabrication of electronics and biosensors. Rapid self-patterning of electronic and biological functional solutions (silver nanoparticle solution and streptavidin protein solution) was successfully realized by selective deactivation of two different SAMs (tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) for electronics and the hetero-hybrid SAM (octadecyltrichlorosilane (OTS)/2-[methoxy(polyethyleneoxy)propyl] trichlorosilane (PEG)) for biosensors). As a result, this method can be exploited for the rapid and low-cost fabrication of various thin film devices such as electronics, biosensors, energy, displays, and photonics.

  15. Enhancement of seeding for electroless Cu plating of metallic barrier layers by using alkyl self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Sung-Te [Department of Electronic Engineering, Hsiuping University of Science and Technology, Dali 412, Taichung, Taiwan (China); Chung, Yu-Cheng [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Fang, Jau-Shiung [Department of Materials Science and Engineering, National Formosa University, Huwei 632, Taiwan (China); Cheng, Yi-Lung [Department of Electrical Engineering, National Chi-Nan University, Puli, Nantou 545, Taiwan (China); Chen, Giin-Shan, E-mail: gschen@fcu.edu.tw [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China)

    2017-05-31

    Highlights: • Ta barrier layers are used as model substrates for seeding of electroless plating. • Ta layers seeded with Ta-OH yield seeds with limited density and large size (>10 nm). • Substantial improvement of seeding is obtained with functionalized SAMs. • The mechanism of seeding improvement by functionalized SAMs is clearly clarified. - Abstract: Tethering a self-assembled monolayer (SAM) on ultralow-k (porous) dielectric materials as a seed-trapping layer for electroless Cu plating has been extensively studied. By contrast, literature on direct electroless Cu plating of metallic barrier layers assisted by SAMs is scarce. Therefore, Ta, a crucial component of barrier materials for Cu interconnect metallization, was investigated as a model substrate for a new seeding (Ni catalyst formation) process of electroless Cu plating. Transmission and scanning electron microscopies indicated that catalytic particles formed on Ta films through Ta−OH groups tend to become aggregates with an average size of 14 nm and density of 2 × 10{sup 15} m{sup −2}. By contrast, Ta films with a plasma-functionalized SAM tightly bound catalytic particles without agglomeration, thus yielding a markedly smaller size (3 nm) and higher density (3 × 10{sup 16} m{sup −2}; one order greater than those formed by other novel methods). X-ray photoelectron spectroscopy clearly identified the types of material species and functional groups induced at each step of the seeding process. Moreover, the phase of the catalytic particles, either nickel alkoxide, Ni(OH){sub 2}, or metallic Ni, along with the seed-bonding mechanism, was also unambiguously distinguished. The enhancement of film-formation quality of Cu by the new seeding process was thus demonstrated.

  16. Mechanism underlying bioinertness of self-assembled monolayers of oligo(ethyleneglycol)-terminated alkanethiols on gold: protein adsorption, platelet adhesion, and surface forces.

    Science.gov (United States)

    Hayashi, Tomohiro; Tanaka, Yusaku; Koide, Yuki; Tanaka, Masaru; Hara, Masahiko

    2012-08-07

    The mechanism underlying the bioinertness of the self-assembled monolayers of oligo(ethylene glycol)-terminated alkanethiol (OEG-SAM) was investigated with protein adsorption experiments, platelet adhesion tests, and surface force measurements with an atomic force microscope (AFM). In this work, we performed systematic analysis with SAMs having various terminal groups (-OEG, -OH, -COOH, -NH(2), and -CH(3)). The results of the protein adsorption experiment by the quartz crystal microbalance (QCM) method suggested that having one EG unit and the neutrality of total charges of the terminal groups are essential for protein-resistance. In particular, QCM with energy dissipation analyses indicated that proteins absorb onto the OEG-SAM via a very weak interaction compared with other SAMs. Contrary to the protein resistance, at least three EG units as well as the charge neutrality of the SAM are found to be required for anti-platelet adhesion. When the identical SAMs were formed on both AFM probe and substrate, our force measurements revealed that only the OEG-SAMs possessing more than two EG units showed strong repulsion in the range of 4 to 6 nm. In addition, we found that the SAMs with other terminal groups did not exhibit such repulsion. The repulsion between OEG-SAMs was always observed independent of solution conditions [NaCl concentration (between 0 and 1 M) and pH (between 3 and 11)] and was not observed in solution mixed with ethanol, which disrupts the three-dimensional network of the water molecules. We therefore concluded that the repulsion originated from structured interfacial water molecules. Considering the correlation between the above results, we propose that the layer of the structured interfacial water with a thickness of 2 to 3 nm (half of the range of the repulsion observed in the surface force measurements) plays an important role in deterring proteins and platelets from adsorption or adhesion.

  17. Gas sensing with self-assembled monolayer field-effect transistors

    NARCIS (Netherlands)

    Andringa, Anne-Marije; Spijkman, Mark-Jan; Smits, Edsger C. P.; Mathijssen, Simon G. J.; van Hal, Paul A.; Setayesh, Sepas; Willard, Nico P.; Borshchev, Oleg V.; Ponomarenko, Sergei A.; Blom, Paul W. M.; de Leeuw, Dago M.

    A new sensitive gas sensor based on a self-assembled monolayer field-effect transistor (SAMFET) was used to detect the biomarker nitric oxide. A SAMFET based sensor is highly sensitive because the analyte and the active channel are separated by only one monolayer. SAMFETs were functionalised for

  18. Optimization of pentacene double floating gate memories based on charge injection regulated by SAM functionalization

    Directory of Open Access Journals (Sweden)

    S. Li

    2018-02-01

    Full Text Available Pentacene based double nano-floating gate memories (NFGM by using gold nanoparticles (Au NPs and reduced graphene oxide (rGO sheets as charge trapping layers are prepared and demonstrated. Particularly, the NFGM chemically treated by 2,3,4,5,6-pentafluorobenzenethiol (PFBT self-assembled monolayers (SAM exhibits excellent memory performances, including high mobility of 0.23 cm2V-1s-1, the large memory window of 51 V, and the stable retention property more than 108 s. Comparing the performances of NFGM without treating with PFBT SAM, the improving performances of the memory devices by SAM modification are explained by the increase of charge injection, which could be further investigated by XPS and UPS. In particular, the results highlight the utility of SAM modulations and controlling of charge transport in the development of organic transistor memories.

  19. Optimization of pentacene double floating gate memories based on charge injection regulated by SAM functionalization

    Science.gov (United States)

    Li, S.; Guérin, D.; Lenfant, S.; Lmimouni, K.

    2018-02-01

    Pentacene based double nano-floating gate memories (NFGM) by using gold nanoparticles (Au NPs) and reduced graphene oxide (rGO) sheets as charge trapping layers are prepared and demonstrated. Particularly, the NFGM chemically treated by 2,3,4,5,6-pentafluorobenzenethiol (PFBT) self-assembled monolayers (SAM) exhibits excellent memory performances, including high mobility of 0.23 cm2V-1s-1, the large memory window of 51 V, and the stable retention property more than 108 s. Comparing the performances of NFGM without treating with PFBT SAM, the improving performances of the memory devices by SAM modification are explained by the increase of charge injection, which could be further investigated by XPS and UPS. In particular, the results highlight the utility of SAM modulations and controlling of charge transport in the development of organic transistor memories.

  20. Chemical and physical passivation of type II strained-layer superlattice devices by means of thiolated self-assembled monolayers and polymer encapsulates

    Science.gov (United States)

    Henry, Nathan C.; Knorr, Daniel B.; Williams, Kristen S.; Baril, Neil; Nallon, Eric; Lenhart, Joseph L.; Andzelm, Jan W.; Pellegrino, Joseph; Tidrow, Meimei; Cleveland, Erin; Bandara, Sumith

    2015-05-01

    The efficacy of solution deposition of thiolated self-assembled monolayers (SAMs) has been explored for the purpose of passivating III-V type II superlattice (T2SL) photodetectors, more specifically a p-type heterojunction device. Sulfur passivation has previously been achieved on T2SL devices. However, degradation over time, temperature sensitivity and inconsistent reproducibility necessitate a physical encapsulate that can chemically bond to the chemical passivant. Thus, this research investigates two passivation methods, surface passivation with a thiol monolayer and passivation with a polymer encapsulant with a view toward future combination of these techniques. Analysis of the physical and chemical condition of the surface prior to deposition assisted in the development of ideal processes for optimized film quality. Successful deposition was facilitated by in situ oxide removal. Various commercially available functional (cysteamine) and non-functional (alkane) thiolated monolayers were investigated. Dark current was reduced by 3 orders of magnitude and achieved negligible surface leakage at low bias levels. The lowest dark current result, 7.69 × 10-6 A/cm2 at 50 mV, was achieved through passivation with cysteamine.

  1. Supramolecular Layer-by-Layer Assembly of 3D Multicomponent Nanostructures via Multivalent Molecular Recognition

    NARCIS (Netherlands)

    Ling, X.Y.; Phang, In Yee; Reinhoudt, David; Vancso, Gyula J.; Huskens, Jurriaan

    2008-01-01

    The supramolecular layer-by-layer assembly of 3D multicomponent nanostructures of nanoparticles is demonstrated. Nanoimprint lithography (NIL) was used as the patterning tool for making patterned β-cyclodextrin (CD) self-assembled monolayers (SAMs) and for the confinement of nanoparticles on the

  2. Self-assembled bilayers based on organothiol and organotrimethoxysilane on zinc platform

    International Nuclear Information System (INIS)

    Berger, Francois; Delhalle, Joseph; Mekhalif, Zineb

    2010-01-01

    This study describes the formation of a bilayer system developed on electrodeposited zinc. In a first step, a monolayer of 11-mercapto-1-undecanol is grafted on zinc, optimization of the conditions of elaboration have been performed. In a second step, organotrimethoxysilane have been grafted on the zinc modified with the hydroxyl terminated self-assembled monolayer (SAM) to finalize the bilayer system. X-ray photoelectron spectroscopy (XPS), polarization modulation-infrared reflection absorption spectroscopy (PM-IRRAS) and contact angle measurements are used to characterize each step of modification. An electrochemical evaluation of the different created systems is carried out by linear sweep voltammetry (LSV), cyclic voltammetry (CV) and scanning vibrating electrode technique (SVET). The impact of the modification of zinc using SAM and self-assembled bilayer (SAB) on the electrochemical activity of the surface is highlighted.

  3. Interactions of Na+, K+, Mg2+, and Ca 2+ with benzene self-assembled monolayers

    DEFF Research Database (Denmark)

    Pedersen, Morten Rimmen; Matthiesen, Jesper; Bovet, Nicolas Emile

    2014-01-01

    that are most common in the natural world, namely, Na+, K+, Mg 2+, and Ca2+. Specifically, we investigated how these ions affect the interactions between surfaces covered by self-Assembled monolayers (SAMs) terminated with benzene molecules. We used a flat oxidized silicon substrate and an atomic force...... from X-ray photoelectron spectroscopy (XPS) allowed us to conclude that K+ binds in the benzene layers, creating a positive surface charge on the benzene-covered surfaces, thus leading to lower adhesion in KCl solutions than in pure water. Evidence suggested that Ca2+ does not bind to the surfaces...... measurements. The results of our studies clearly show that even a nonpolar, hydrophobic molecule, such as benzene, has a role to play in the behavior of aqueous solutions and that it interacts differently depending on which ions are present. Even ions from the same column in the periodic table behave...

  4. Dip-Pen Nanolithography on (Bio)Reactive Monolayer and Block-Copolymer Platforms: Deposition of Lines of Single Macromolecules

    NARCIS (Netherlands)

    Salazar, Ramon B.; Shovsky, A.; Schönherr, Holger; Vancso, Gyula J.

    2006-01-01

    The application of atomic force microscopy (AFM) tip-mediated molecular transfer (dip-pen nanolithography or DPN) to fabricate nanopatterned (bio)reactive platforms based on dendrimers on reactive self-assembled monolayer (SAM) and polymer thin films is discussed. The transfer of high-molar-mass

  5. Raman mapping and in situ SERS spectroelectrochemical studies of 6-mercaptopurine SAMs on the gold electrode.

    Science.gov (United States)

    Yang, Haifeng; Liu, Yanli; Liu, Zhimin; Yang, Yu; Jiang, Jianhui; Zhang, Zongrang; Shen, Guoli; Yu, Ruqin

    2005-02-24

    The self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) were formed at the roughened polycrystalline gold surfaces in acid and alkaline media. The time-dependent Raman mapping spectral analysis in conjunction with the quantum calculations for the vibrational modes using ab initio BLYP/6-31G method suggested that both of the resulted 6MP SAMs adopted the same adsorption mode through the S atom of pyrimidine moiety and the N7 atom of the imidazole moiety anchoring the gold surface in a vertical way. The in situ surface-enhanced Raman scattering spectroelectrochemical experiment was conducted to examine the stability of the SAMs at various bias potentials. It was found that the detaching process of the 6MP SAMs from the surface involved one electron reduction as the voltage was applied at ca. 0.7 V vs a standard calomel electrode.

  6. Influence of indium-tin oxide surface structure on the ordering and coverage of carboxylic acid and thiol monolayers

    International Nuclear Information System (INIS)

    Cerruti, Marta; Rhodes, Crissy; Losego, Mark; Efremenko, Alina; Maria, Jon-Paul; Fischer, Daniel; Franzen, Stefan; Genzer, Jan

    2007-01-01

    This paper analyses the variability of self-assembled monolayers (SAMs) formation on ITO depending on the substrate surface features. In particular, we report on the formation of carboxylic acid- and thiol-based SAMs on two lots of commercially prepared indium-tin oxide (ITO) thin films. Contact angle measurements, electrochemical experiments, and near-edge x-ray absorption fine structure (NEXAFS) spectroscopy showed that the quality of monolayers formed differed substantially between the two ITO batches. Only one of the two ITO substrates was capable of forming well-organized thiol- and carboxylic acid-based SAMs. In order to rationalize these observations, atomic force microscopy and x-ray diffraction analyses were carried out, and SAMs were prepared on ITO substrates fabricated by sputtering in our laboratories. An attempt was made to influence the film microstructure and surface morphology by varying substrate temperatures during ITO deposition. Good-quality thiol and carboxylic acid SAMs were obtained on one of the ITO substrates prepared in-house. While our characterization could not single out conclusively one specific parameter in ITO surface structure that could be responsible for good SAMs formation, we could point out homogeneous surface morphology as a relevant factor for the quality of the SAMs. Evidence was also found for ITO crystallographic orientation to be a parameter influencing SAMs organization

  7. Electrodeposition of gold templated by patterned thiol monolayers

    Energy Technology Data Exchange (ETDEWEB)

    She, Zhe [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom); Di Falco, Andrea [SUPA, School of Physics and Astronomy, University of St. Andrews, KY16 9SS (United Kingdom); Hähner, Georg [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom); Buck, Manfred, E-mail: mb45@st-andrews.ac.uk [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom)

    2016-06-15

    Graphical abstract: - Highlights: • First demonstration of electrodeposition/lift-off of gold using thiol monolayers. • Microelectrode structures with large length to width ratio were generated. • Performance of two different patterning techniques was investigated. • Conditions for achieving good contrast in the electrodeposition were established. - Abstract: The electrochemical deposition of Au onto Au substrates modified by self-assembled monolayers (SAMs) was studied by linear sweep voltammetry (LSV), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Patterned SAMs exhibiting electrochemical contrast were prepared by two different methods. One used microcontact printing (μCP) to generate a binary SAM of ω-(4′-methyl-biphenyl-4-yl)-propane thiol (CH{sub 3}-C{sub 6}H{sub 4}-C{sub 6}H{sub 4}-(CH{sub 2}){sub 3}-SH, MBP3) and octadecane thiol (CH{sub 3}(CH{sub 2}){sub 17}SH, ODT). Templated by the SAM, a gold microelectrode structure was electrodeposited featuring a line 15 μm wide and 3 mm long. After transfer to an epoxy substrate the structure proved to be electrically conductive across the full length. The other patterning method applied electron beam lithography (EBL) where electrochemical contrast was achieved by crosslinking molecules in a single component SAM of MBP3. An electron dose above 250 mC/cm{sup 2} results in a high deposition contrast. The choice of parameters for the deposition/lift-off process is found to be more critical for Au compared to Cu studied previously. The origin of the differences and implications for nanoscale patterning are discussed.

  8. Tracing the 4000 year history of organic thin films: From monolayers on liquids to multilayers on solids

    Energy Technology Data Exchange (ETDEWEB)

    Greene, J. E. [University of Illinois, Urbana, Illinois 61801 (United States); Linköping University, 581 83 Linköping (Sweden); National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China)

    2015-03-15

    The recorded history of organic monolayer and multilayer thin films spans approximately 4000 years. Fatty-acid-based monolayers were deposited on water by the ancients for applications ranging from fortune telling in King Hammurabi's time (∼1800 BC, Mesopotamia) to stilling choppy waters for sailors and divers as reported by the Roman philosopher Pliny the Elder in ∼78 AD, and then much later (1774) by the peripatetic American statesman and natural philosopher Benjamin Franklin, to Japanese “floating-ink” art (suminagashi) developed ∼1000 years ago. The modern science of organic monolayers began in the late-1800s/early-1900s with experiments by Lord Rayleigh and the important development by Agnes Pockels, followed two decades later by Irving Langmuir, of the tools and technology to measure the surface tension of liquids, the surface pressure of organic monolayers deposited on water, interfacial properties, molecular conformation of the organic layers, and phase transitions which occur upon compressing the monolayers. In 1935, Katherine Blodgett published a landmark paper showing that multilayers can be synthesized on solid substrates, with controlled thickness and composition, using an apparatus now known as the Langmuir-Blodgett (L-B) trough. A disadvantage of LB films for some applications is that they form weak physisorbed bonds to the substrate. In 1946, Bigelow, Pickett, and Zisman demonstrated, in another seminal paper, the growth of organic self-assembled monolayers (SAMs) via spontaneous adsorption from solution, rather than from the water/air interface, onto SiO{sub 2} and metal substrates. SAMs are close-packed two-dimensional organic crystals which exhibit strong covalent bonding to the substrate. The first multicomponent adsorbed monolayers and multilayer SAMs were produced in the early 1980s. Langmuir monolayers, L-B multilayers, and self-assembled mono- and multilayers have found an extraordinarily broad range of applications including

  9. Tracing the 4000 year history of organic thin films: From monolayers on liquids to multilayers on solids

    International Nuclear Information System (INIS)

    Greene, J. E.

    2015-01-01

    The recorded history of organic monolayer and multilayer thin films spans approximately 4000 years. Fatty-acid-based monolayers were deposited on water by the ancients for applications ranging from fortune telling in King Hammurabi's time (∼1800 BC, Mesopotamia) to stilling choppy waters for sailors and divers as reported by the Roman philosopher Pliny the Elder in ∼78 AD, and then much later (1774) by the peripatetic American statesman and natural philosopher Benjamin Franklin, to Japanese “floating-ink” art (suminagashi) developed ∼1000 years ago. The modern science of organic monolayers began in the late-1800s/early-1900s with experiments by Lord Rayleigh and the important development by Agnes Pockels, followed two decades later by Irving Langmuir, of the tools and technology to measure the surface tension of liquids, the surface pressure of organic monolayers deposited on water, interfacial properties, molecular conformation of the organic layers, and phase transitions which occur upon compressing the monolayers. In 1935, Katherine Blodgett published a landmark paper showing that multilayers can be synthesized on solid substrates, with controlled thickness and composition, using an apparatus now known as the Langmuir-Blodgett (L-B) trough. A disadvantage of LB films for some applications is that they form weak physisorbed bonds to the substrate. In 1946, Bigelow, Pickett, and Zisman demonstrated, in another seminal paper, the growth of organic self-assembled monolayers (SAMs) via spontaneous adsorption from solution, rather than from the water/air interface, onto SiO 2 and metal substrates. SAMs are close-packed two-dimensional organic crystals which exhibit strong covalent bonding to the substrate. The first multicomponent adsorbed monolayers and multilayer SAMs were produced in the early 1980s. Langmuir monolayers, L-B multilayers, and self-assembled mono- and multilayers have found an extraordinarily broad range of applications including

  10. Self-assembly Ag nanoparticle monolayer film as SERS Substrate for pesticide detection

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Li, E-mail: zhlisuzh@163.com [School of Chemistry and Life Science, Anhui Key Laboratory of Spin Electron and Nanomaterials (Cultivating Base), Suzhou University, SuZhou 234000 (China)

    2013-04-01

    A self-assembled protocol is introduced to provide effective platforms for the fabrication of ordered Ag nanosized monolayer film. The assembled Ag nanosized monolayer film was characterized using scanning electronic microscopy and surface-enhanced Raman scattering (SERS). The results show that the assembled SERS substrate own excellent Raman enhancement and reproducibility. The synthesized SERS-active substrate was further used to detect methyl-parathion, and the limitation of detection can reach 10{sup −7} M.

  11. An Electrochemical Immunosensor for Detection of Staphylococcus aureus Bacteria Based on Immobilization of Antibodies on Self-Assembled Monolayers-Functionalized Gold Electrode

    Directory of Open Access Journals (Sweden)

    Abderrazak Maaref

    2012-10-01

    Full Text Available The detection of pathogenic bacteria remains a challenge for the struggle against biological weapons, nosocomial diseases, and for food safety. In this research, our aim was to develop an easy-to-use electrochemical immunosensor for the detection of pathogenic Staphylococcus aureus ATCC25923. The biosensor was elaborated by the immobilization of anti-S. aureus antibodies using a self-assembled monolayer (SAMs of 3-Mercaptopropionic acid (MPA. These molecular assemblies were spontaneously formed by the immersion of the substrate in an organic solvent containing the SAMs that can covalently bond to the gold surface. The functionalization of the immunosensor was characterized using two electrochemical techniques: cyclic voltammetry (CV and electrochemical impedance spectroscopy (EIS. Here, the analysis was performed in phosphate buffer with ferro/ferricyanide as the redox probe. The EIS technique was used for affinity assays: antibody-cell binding. A linear relationship between the increment in the electron transfer resistance (RCT and the logarithmic value of S. aureus concentration was observed between 10 and 106 CFU/mL. The limit of detection (LOD was observed at 10 CFU/mL, and the reproducibility was calculated to 8%. Finally, a good selectivity versus E. coli and S. epidermidis was obtained for our developed immunosensor demonstrating its specificity towards only S. aureus.

  12. Template-Directed Self-Assembly of Alkanethiol Monolayers: Selective Growth on Preexisting Monolayer Edges

    NARCIS (Netherlands)

    Sharpe, R.B.A.; Burdinski, Dirk; Huskens, Jurriaan; Zandvliet, Henricus J.W.; Reinhoudt, David; Poelsema, Bene

    2007-01-01

    Self-assembled monolayers were investigated for their suitability as two-dimensional scaffolds for the selective growth of alkanethiol edge structures. Heterostructures with chemical contrast could be grown, whose dimensions were governed by both the initial pattern sizes and the process time.

  13. Model non-equilibrium molecular dynamics simulations of heat transfer from a hot gold surface to an alkylthiolate self-assembled monolayer.

    Science.gov (United States)

    Zhang, Yue; Barnes, George L; Yan, Tianying; Hase, William L

    2010-05-07

    Model non-equilibrium molecular dynamics (MD) simulations are presented of heat transfer from a hot Au {111} substrate to an alkylthiolate self-assembled monolayer (H-SAM) to assist in obtaining an atomic-level understanding of experiments by Wang et al. (Z. Wang, J. A. Carter, A. Lagutchev, Y. K. Koh, N.-H. Seong, D. G. Cahill, and D. D. Dlott, Science, 2007, 317, 787). Different models are considered to determine how they affect the heat transfer dynamics. They include temperature equilibrated (TE) and temperature gradient (TG) thermostat models for the Au(s) surface, and soft and stiff S/Au(s) models for bonding of the S-atoms to the Au(s) surface. A detailed analysis of the non-equilibrium heat transfer at the heterogeneous interface is presented. There is a short time temperature gradient within the top layers of the Au(s) surface. The S-atoms heat rapidly, much faster than do the C-atoms in the alkylthiolate chains. A high thermal conductivity in the H-SAM, perpendicular to the interface, results in nearly identical temperatures for the CH(2) and CH(3) groups versus time. Thermal-induced disorder is analyzed for the Au(s) substrate, the S/Au(s) interface and the H-SAM. Before heat transfer occurs from the hot Au(s) substrate to the H-SAM, there is disorder at the S/Au(s) interface and within the alkylthiolate chains arising from heat-induced disorder near the surface of hot Au(s). The short-time rapid heating of the S-atoms enhances this disorder. The increasing disorder of H-SAM chains with time results from both disorder at the Au/S interface and heat transfer to the H-SAM chains.

  14. Improved organic thin-film transistor performance using novel self-assembled monolayers

    Science.gov (United States)

    McDowell, M.; Hill, I. G.; McDermott, J. E.; Bernasek, S. L.; Schwartz, J.

    2006-02-01

    Pentacene-based organic thin-film transistors have been fabricated using a phosphonate-linked anthracene self-assembled monolayer as a buffer between the silicon dioxide gate dielectric and the active pentacene channel region. Vast improvements in the subthreshold slope and threshold voltage are observed compared to control devices fabricated without the buffer. Both observations are consistent with a greatly reduced density of charge trapping states at the semiconductor-dielectric interface effected by introduction of the self-assembled monolayer.

  15. Increasing the fill factor of inverted P3HT:PCBM solar cells through surface modification of Al-doped ZnO via phosphonic acid-anchored C60 SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Stubhan, Tobias [Institute of Materials for Electronics and Energy Technology (I-MEET), Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Salinas, Michael; Halik, Marcus [Organic Materials and Devices (OMD)-Institute of Polymer Materials, University Erlangen-Nuremberg, Erlangen (Germany); Ebel, Alexander; Hirsch, Andreas [Institute for Organic Chemistry II, Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Krebs, Frederick C. [Risoe National Laboratory for Sustainable Energy, Technical University of Denmark, Roskilde (Denmark); Brabec, Christoph J. [Institute of Materials for Electronics and Energy Technology (I-MEET), Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Bavarian Center for Applied Energy Research (ZAE Bayern), Erlangen (Germany)

    2012-05-15

    The influence of aluminum-doped zinc oxide (AZO) electron extraction layers modified with self-assembled monolayers (SAMs) on inverted polymer solar cells is investigated. It is found that AZO modification with phosphonic acid-anchored Fullerene-SAMs leads to a reduction of the series resistance, while increasing the parallel resistance. This results in an increased efficiency from 2.9 to 3.3%. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Non-Photolithographic Manufacturing Processes for Micro-Channels Functioned by Micro-Contact-Printed SAMs

    Science.gov (United States)

    Saigusa, Hiroki; Suga, Yasuo; Miki, Norihisa

    In this paper we propose non-photolithographic fabrication processes of micro-fluid channels with patterned SAMs (Self-Assembled-Monolayers). SAMs with a thiol group are micro-contact printed on a patterned Au/Ti layer, which is vapor-deposited through a shadow mask. Ti is an adhesion layer. Subsequently, the micro-channels are formed by bonding surface-activated PDMS onto the silicon substrate via a silanol group, producing a SAMs-functioned bottom wall of the micro-channel. No photolithographic processes are necessary and thus, the proposed processes are very simple, quick and low cost. The micro-reactors can have various functions associated with the micro-contact-printed SAMs. We demonstrate successful manufacturing of micro-reactors with two types of SAMs. The micro-reactor with patterned AUT (11-amino-1-undecanethiol) successfully trapped nano-particles with a carboxylic acid group, indicating that micro-contact-printed SAMs remain active after the manufacturing processes of the micro-reactor. AUT -functioned micro-channels are applicable to bioassay and to immobilize proteins for DNA arrays. ODT (1-octadecanethiol) makes surfaces hydrophobic with the methyl terminal group. When water was introduced into the micro-reactor with ODT-patterned surfaces, water droplets remained only in the hydrophilic areas where ODT was not patterned. ODT -functioned micro-channels are applicable to fluid handling.

  17. The Porter-Whitesides Discrepancy: Revisiting Odd-Even Effects in Wetting Properties of n-Alkanethiolate SAMs

    Directory of Open Access Journals (Sweden)

    Zhengjia Wang

    2015-12-01

    Full Text Available This review discusses the Porter-Whitesides discrepancy in wetting properties of n-alkanethiolate self-assembled monolayers (SAMs. About 25 years ago, Whitesides and coworker failed to observe any odd-even effect in wetting, however, Porter and his coworker did, albeit in select cases. Most previous studies agreed with Whitesides’ results, suggesting the absence of the odd-even effect in hydrophobicity of n-alkanethiolate SAMs. Recent reports have, however, found the odd-even effect in hydrophobicity of n-alkanethiolate SAMs on smooth substrates, indicating that hydrophobicity, and analogous interfacial properties, of n-alkanethiolate SAMs significantly depends on the properties of substrate. Unfortunately, the Whitesides and Porter papers do not report on the quality of the surfaces used. Based on recent work, we inferred that the original discrepancy between Whitesides and Porter can be attributed to the quality of the surface. Odd-even effect of SAMs in charge transport, capacitance, friction, and SAM structure are also discussed in this review to inform the general discussion. The discrepancy between Porter's group and Whitesides’ group could be due to surface roughness, morphology, oxidation, and adventitious contaminants.

  18. Epitaxially Grown Ultra-Flat Self-Assembling Monolayers with Dendrimers

    Directory of Open Access Journals (Sweden)

    Takane Imaoka

    2018-02-01

    Full Text Available Mono-molecular films formed by physical adsorption and dendrimer self-assembly were prepared on various substrate surfaces. It was demonstrated that a uniform dendrimer-based monolayer on the subnanometer scale can be easily constructed via simple dip coating. Furthermore, it was shown that an epitaxially grown monolayer film reflecting the crystal structure of the substrate (highly ordered pyrolytic graphite (HOPG can also be formed by aligning specific conditions.

  19. Actinide Sequestration Using Self-Assembled Monolayers on Mesoporous Supports

    International Nuclear Information System (INIS)

    Fryxell, Glen E.; Lin, Yuehe; Fiskum, Sandra K.; Birnbaum, Jerome C.; Wu, Hong; Kemner, K. M.; Kelly, Shelley

    2005-01-01

    Surfactant templated synthesis of mesoporous ceramics provides a versatile foundation upon which to create high efficiency environmental sorbents. These nanoporous ceramic oxides condense a huge amount of surface area into a very small volume. The ceramic oxide interface is receptive to surface functionalization through molecular self-assembly. The marriage of mesoporous ceramics with self-assembled monolayer chemistry creates a powerful new class of environmental sorbent materials called self-assembled monolayers on mesoporous supports (SAMMS). These SAMMS materials are highly efficient sorbents, whose interfacial chemistry can be fine-tuned to selectively sequester a specific target species, such as heavy metals, tetrahedral oxometallate anions and radionuclides. Details addressing the design, synthesis and characterization of SAMMS materials specifically designed to sequester actinides, of central importance to the environmental clean-up necessary after 40 years of weapons grade plutonium production, as well as evaluation of their binding affinities and kinetics are presented

  20. Deposition of phospholipid layers on SiO{sub 2} surface modified by alkyl-SAM islands

    Energy Technology Data Exchange (ETDEWEB)

    Tero, R.; Takizawa, M.; Li, Y.J.; Yamazaki, M.; Urisu, T

    2004-11-15

    Formation of the supported planar bilayer of dipalmitoylphosphatidylcholine (DPPC) on SiO{sub 2} surfaces modified with the self-assembled monolayer (SAM) of octadecyltrichlorosilane (OTS) has been investigated by atomic force microscopy (AFM). DPPC was deposited by the fusion of vesicles on SiO{sub 2} surfaces with OTS-SAM islands of different sizes and densities. The DPPC bilayer membrane formed self-organizingly on the SiO{sub 2} surface with small and sparse OTS islands, while did not when the OTS islands were larger and denser. The relative size between the vesicles and the SiO{sub 2} regions is the critical factor for the formation of the DPPC bilayer membrane.

  1. Near-Edge X-ray Absorption Fine Structure Spectroscopy of Diamondoid Thiol Monolayers on Gold

    Energy Technology Data Exchange (ETDEWEB)

    Willey, T M; Fabbri, J; Lee, J I; Schreiner, P; Fokin, A A; Tkachenko, B A; Fokina, N A; Dahl, J; Carlson, B; Vance, A L; Yang, W; Terminello, L J; van Buuren, T; Melosh, N

    2007-11-27

    Diamondoids, hydrocarbon molecules with cubic-diamond-cage structures, have unique properties with potential value for nanotechnology. The availability and ability to selectively functionalize this special class of nanodiamond materials opens new possibilities for surface-modification, for high-efficiency field emitters in molecular electronics, as seed crystals for diamond growth, or as robust mechanical coatings. The properties of self-assembled monolayers (SAMs) of diamondoids are thus of fundamental interest for a variety of emerging applications. This paper presents the effects of thiol substitution position and polymantane order on diamondoid SAMs on gold using near-edge X-ray absorption fine structure spectroscopy (NEXAFS) and X-ray photoelectron spectroscopy (XPS). A framework to determine both molecular tilt and twist through NEXAFS is presented and reveals highly ordered diamondoid SAMs, with the molecular orientation controlled by the thiol location. C 1s and S 2p binding energies are lower in adamantane thiol than alkane thiols on gold by 0.67 {+-} 0.05 eV and 0.16 {+-} 0.04 eV respectively. These binding energies vary with diamondoid monolayer structure and thiol substitution position, consistent with different amounts of steric strain and electronic interaction with the substrate. This work demonstrates control over the assembly, in particular the orientational and electronic structure, providing a flexible design of surface properties with this exciting new class of diamond clusters.

  2. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  3. Heterogeneous electron transfer kinetics and electrocatalytic behaviour of mixed self-assembled ferrocenes and SWCNT layers

    CSIR Research Space (South Africa)

    Nkosi, D

    2010-01-01

    Full Text Available The electron transfer dynamics and electrocatalytic behaviour of ferrocene-terminated self-assembled monolayers (SAMs), co-adsorbed with single-walled carbon nanotubes (SWCNTs) on a gold electrode, have been interrogated for the first time...

  4. Two cell circuits of oriented adult hippocampal neurons on self-assembled monolayers for use in the study of neuronal communication in a defined system.

    Science.gov (United States)

    Edwards, Darin; Stancescu, Maria; Molnar, Peter; Hickman, James J

    2013-08-21

    In this study, we demonstrate the directed formation of small circuits of electrically active, synaptically connected neurons derived from the hippocampus of adult rats through the use of engineered chemically modified culture surfaces that orient the polarity of the neuronal processes. Although synaptogenesis, synaptic communication, synaptic plasticity, and brain disease pathophysiology can be studied using brain slice or dissociated embryonic neuronal culture systems, the complex elements found in neuronal synapses makes specific studies difficult in these random cultures. The study of synaptic transmission in mature adult neurons and factors affecting synaptic transmission are generally studied in organotypic cultures, in brain slices, or in vivo. However, engineered neuronal networks would allow these studies to be performed instead on simple functional neuronal circuits derived from adult brain tissue. Photolithographic patterned self-assembled monolayers (SAMs) were used to create the two-cell "bidirectional polarity" circuit patterns. This pattern consisted of a cell permissive SAM, N-1[3-(trimethoxysilyl)propyl] diethylenetriamine (DETA), and was composed of two 25 μm somal adhesion sites connected with 5 μm lines acting as surface cues for guided axonal and dendritic regeneration. Surrounding the DETA pattern was a background of a non-cell-permissive poly(ethylene glycol) (PEG) SAM. Adult hippocampal neurons were first cultured on coverslips coated with DETA monolayers and were later passaged onto the PEG-DETA bidirectional polarity patterns in serum-free medium. These neurons followed surface cues, attaching and regenerating only along the DETA substrate to form small engineered neuronal circuits. These circuits were stable for more than 21 days in vitro (DIV), during which synaptic connectivity was evaluated using basic electrophysiological methods.

  5. Photoinduced electron transfer through peptide-based self-assembled monolayers chemisorbed on gold electrodes: directing the flow-in and flow-out of electrons through peptide helices.

    Science.gov (United States)

    Venanzi, Mariano; Gatto, Emanuela; Caruso, Mario; Porchetta, Alessandro; Formaggio, Fernando; Toniolo, Claudio

    2014-08-21

    Photoinduced electron transfer (PET) experiments have been carried out on peptide self-assembled monolayers (SAM) chemisorbed on a gold substrate. The oligopeptide building block was exclusively formed by C(α)-tetrasubstituted α-aminoisobutyric residues to attain a helical conformation despite the shortness of the peptide chain. Furthermore, it was functionalized at the C-terminus by a pyrene choromophore to enhance the UV photon capture cross-section of the compound and by a lipoic group at the N-terminus for linking to gold substrates. Electron transfer across the peptide SAM has been studied by photocurrent generation experiments in an electrochemical cell employing a gold substrate modified by chemisorption of a peptide SAM as a working electrode and by steady-state and time-resolved fluorescence experiments in solution and on a gold-coated glass. The results show that the electronic flow through the peptide bridge is strongly asymmetric; i.e., PET from the C-terminus to gold is highly favored with respect to PET in the opposite direction. This effect arises from the polarity of the Au-S linkage (Au(δ+)-S(δ-), junction effect) and from the electrostatic field generated by the peptide helix.

  6. Self assembled monolayers of octadecyltrichlorosilane for dielectric materials

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Vijay, E-mail: cirivijaypilani@gmail.com [Centre for Nanoscience and Engineering, Indian Institute of Science-Bangalore (India); Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); Puri, Paridhi; Nain, Shivani [Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); Bhat, K. N. [Centre for Nanoscience and Engineering, Indian Institute of Science-Bangalore (India); Sharma, N. N. [Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); School of Automobile, Mechanical & Mechatronics, Manipal University-Jaipur (India)

    2016-04-13

    Treatment of surfaces to change the interaction of fluids with them is a critical step in constructing useful microfluidics devices, especially those used in biological applications. Selective modification of inorganic materials such as Si, SiO{sub 2} and Si{sub 3}N{sub 4} is of great interest in research and technology. We evaluated the chemical formation of OTS self-assembled monolayers on silicon substrates with different dielectric materials. Our investigations were focused on surface modification of formerly used common dielectric materials SiO{sub 2}, Si{sub 3}N{sub 4} and a-poly. The improvement of wetting behaviour and quality of monolayer films were characterized using Atomic force microscope, Scanning electron microscope, Contact angle goniometer, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) monolayer deposited oxide surface.

  7. Semiconductor monolayer assemblies with oriented crystal faces

    KAUST Repository

    Ma, Guijun; Takata, Tsuyoshi; Katayama, Masao; Zhang, Fuxiang; Moriya, Yosuke; Takanabe, Kazuhiro; Kubota, Jun; Domen, Kazunari

    2012-01-01

    Fabrication of two-dimensional monolayers of crystalline oxide and oxynitride particles was attempted on glass plate substrates. X-Ray diffraction patterns of the assemblies show only specific crystal facets, indicative of the uniform orientation of the particles on the substrate. The selectivity afforded by this immobilization technique enables the organization of randomly distributed polycrystalline powders in a controlled manner.

  8. Plasmon-enhanced photocurrent generation from self-assembled monolayers of phthalocyanine by using gold nanoparticle films.

    Science.gov (United States)

    Sugawa, Kosuke; Akiyama, Tsuyoshi; Kawazumi, Hirofumi; Yamada, Sunao

    2009-04-09

    The effect of localized electric fields on the photocurrent responses of phthalocyanine that was self-assembled on a gold nanoparticle film was investigated by comparing the conventional and the total internal reflection (TIR) experimental systems. In the case of photocurrent measurements, self-assembled monolayers (SAMs) of a thiol derivative of palladium phthalocyanine (PdPc) were prepared on the surface of gold-nanoparticle film that was fixed on the surface of indium-tin-oxide (ITO) substrate via a polyion (PdPc/AuP/polyion/ITO) or on the ITO surface (PdPc/ITO). Photocurrent action spectra from the two samples were compared by using the conventional spectrometer, and were found that PdPc/AuP/polyion/ITO gave considerably larger photocurrent signals than PdPc/ITO under the identical concentration of PdPc. In the case of the TIR experiments for the PdPc/AuP/polyion/ITO and the PdPc/AuP/Glass systems, incident-angle profiles of photocurrent and emission signals were correlated with each other, and they were different from that of the PdPc/ITO system. Accordingly, it was demonstrated that the photocurrent signals were certainly enhanced by the localized electric fields of the gold-nanoparticle film.

  9. A surprising way to control the charge transport in molecular electronics: the subtle impact of the coverage of self-assembled monolayers of floppy molecules adsorbed on metallic electrodes.

    Science.gov (United States)

    Bâldea, Ioan

    2017-10-26

    Inspired by earlier attempts in organic electronics aiming at controlling charge injection from metals into organic materials by manipulating the Schottky energy barrier using self-assembled monolayers (SAMs), recent experimental and theoretical work in molecular electronics showed that metal-organic interfaces can be controlled via changes in the metal work function that are induced by SAMs. In this paper we indicate a different route to achieve interface-driven control over the charge transfer/transport at the molecular scale. It is based on the fact that, in floppy molecule based SAMs, the molecular conformation can be tuned by varying the coverage of the adsorbate. We demonstrate this effect with the aid of benchmark molecules that are often used to fabricate nanojunctions and consist of two rings that can easily rotate relative to each other. We show that, by varying the coverage of the SAM, the twisting angle φ of the considered molecular species can be modified by a factor of two. Given the fact that the low bias conductance G scales as cos 2  φ, this results in a change in G of over one order of magnitude for the considered molecular species. Tuning the twisting angle by controlling the SAM coverage may be significant, e.g., for current efforts to fabricate molecular switches. Conversely, the lack of control over the local SAM coverage may be problematic for the reproducibility and interpretation of the STM (scanning tunneling microscope) measurements on repeatedly forming single molecule break junctions.

  10. Self-assembled monolayers and chemical derivatization of Ba0.5Sr0.5TiO3 thin films: Applications in phase shifter devices

    International Nuclear Information System (INIS)

    Morales-Cruz, Angel L.; Van Keuls, Fred W.; Miranda, Felix A.; Cabrera, Carlos R.

    2005-01-01

    Thin films of barium strontium titanate (Ba 1-x Sr x TiO 3 (BSTO)) have been used in coupled microstrip phase shifters (CMPS) for possible insertion in satellite and wireless communication platforms primarily because of their high dielectric constant, low loss, large tunability, and good structural stability. In an attempt to improve the figure of merit K (phase shift deg /dB of loss) of phase shifters, modification of the metal/BSTO interface of these devices has been done through surface modification of the BSTO layer using a self-assembled monolayer approach. The impact of this nanotechnology promises to reduce RF losses by improving the quality of the metal/BSTO interface. In this study, compounds such as 3-mercaptopropyltrimethoxysilane (MPS), 16-mercaptohexadecanois acid (MHDA) and 3-mercaptopropionic acid (MPA) were used to form the self-assembled monolayers on the BSTO surface. As a result of the previous modification, chemical derivatization of the self-assembled monolayers was done in order to increase the chain length. Chemical derivatization was done using 3-aminopropyltrimethoxysilane (APS) and 16-mercaptohexadecanoic acid. Surface chemical analysis was done to reveal the composition of the derivatization via X-ray photoelectron spectroscopy (XPS) and Fourier Transform Infrared (FT-IR). Low and high frequencies measurements of phase shifters were done in order measure the performance of these devices for insertion in antennas. X-ray photoelectron spectroscopy characterization of modified BSTO thin films with MPS showed a binding energy peak at 162.9 eV, indicative of a possible S-O interaction: sulfur of the mercapto compound, MPS, used to modify the surface with the oxygen site of the BSTO thin film. This interaction is at higher binding energies compared with the thiolate interaction. This behavior is observed with the other mercapto compounds such as: MHDA and MPA. An FT-IR analysis present a band at 780 cm -1 , which is characteristic of an O

  11. N-Type self-assembled monolayer field-effect transistors for flexible organic electronics

    NARCIS (Netherlands)

    Ringk, A.; Roelofs, Christian; Smits, E.C.P.; van der Marel, C.; Salzmann, I.; Neuhold, A.; Gelinck, G.H.; Resel, R.; de Leeuw, D.M.; Strohriegl, P.

    Within this work we present n-type self-assembled monolayer field-effect transistors (SAMFETs) based on a novel perylene bisimide. The molecule spontaneously forms a covalently fixed monolayer on top of an aluminium oxide dielectric via a phosphonic acid anchor group. Detailed studies revealed an

  12. Vector assembly of colloids on monolayer substrates

    Science.gov (United States)

    Jiang, Lingxiang; Yang, Shenyu; Tsang, Boyce; Tu, Mei; Granick, Steve

    2017-06-01

    The key to spontaneous and directed assembly is to encode the desired assembly information to building blocks in a programmable and efficient way. In computer graphics, raster graphics encodes images on a single-pixel level, conferring fine details at the expense of large file sizes, whereas vector graphics encrypts shape information into vectors that allow small file sizes and operational transformations. Here, we adapt this raster/vector concept to a 2D colloidal system and realize `vector assembly' by manipulating particles on a colloidal monolayer substrate with optical tweezers. In contrast to raster assembly that assigns optical tweezers to each particle, vector assembly requires a minimal number of optical tweezers that allow operations like chain elongation and shortening. This vector approach enables simple uniform particles to form a vast collection of colloidal arenes and colloidenes, the spontaneous dissociation of which is achieved with precision and stage-by-stage complexity by simply removing the optical tweezers.

  13. Applications of self-assembled monolayers in materials chemistry

    Indian Academy of Sciences (India)

    Unknown

    Physical and Materials Chemistry Division, National Chemical Laboratory,. Pune 411 008, India e-mail: viji@ems.ncl.res.in. Abstract. Self-assembly provides a simple route to organise suitable organic molecules on noble metal and selected nanocluster surfaces by using monolayers of long chain organic molecules with ...

  14. Evidence for quantum interference in sams of arylethynylene thiolates in tunneling junctions with eutectic Ga-In (EGaIn) top-contacts : The influence of π-conjugation on the single-molecule conductance at a solid/liquid interface

    NARCIS (Netherlands)

    Fracasso, Davide; Valkenier, Hennie; Hummelen, Jan C.; Solomon, Gemma C.; Chiechi, Ryan C.; Hong, Wenjing; Mészáros, Gábor; Zsolt Manrique, David; Mishchenko, Artem; Putz, Alexander; Moreno García, Pavel; Lambert, Colin J.; Wandlowski, Thomas; Ruitenbeek, J.M. van

    2011-01-01

    This paper compares the current density (J) versus applied bias (V) of self-assembled monolayers (SAMs) of three different ethynylthiophenol-functionalized anthracene derivatives of approximately the same thickness with linear-conjugation (AC), cross-conjugation (AQ), and broken-conjugation (AH)

  15. Controlling noncovalent interactions between a lysine-rich α-helical peptide and self-assembled monolayers of alkanethiols on Au through functional group diversity

    Energy Technology Data Exchange (ETDEWEB)

    Raigoza, Annette F.; Onyirioha, Kristeen; Webb, Lauren J., E-mail: lwebb@cm.utexas.edu

    2017-02-28

    Highlights: • Functional variety in SAMs control covalent binding of proteins to surfaces. • Peptide density on Au(111) surfaces controlled by SAM functional groups. • Affinity between biomolecule and SAM surface follows a Langmuir isotherm. • Surface chemistry can mimic functional group diversity in proteins and peptides. - Abstract: Reliably attaching a structured biomolecule to an inorganic substrate would enable the preparation of surfaces that incorporate both biological and inorganic functions and structures. To this end, we have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to well-ordered alkanethiol self-assembled monolayers (SAM) on a Au(111) surface, in which the SAM is composed of a mixture of methyl and azide termination. Proteins, however, are composed of many diverse functional groups, and this composition directly effects protein structure, interactions, and reactivity. Here, we explore the utility of mixed SAMs with alternative terminating functional groups to tune and direct the reactivity of the surface through noncovalent peptide-surface interactions. We study both polar surfaces (OH-terminated) and charged surfaces (COOH- and NH{sub 3}-terminated, which are negatively and positively charged, respectively, under our reaction conditions). Surfaces were functionalized with a bipolar peptide composed of Lys and Leu residues that could express different interactions through either hydrophilic and/or charge (Lys) or hydrophobic (Leu) influences. X-ray photoelectron spectroscopy (XPS) and surface infrared spectroscopy were used to characterize surfaces at all stages of the peptide functionalization procedure. This strategy resulted in a high density of surface-bound α-helices without aggregation. Mixed SAMs that included a positively charged alkanethiol along with the azide-terminated thiol resulted in a more efficient reaction and better

  16. Controlling noncovalent interactions between a lysine-rich α-helical peptide and self-assembled monolayers of alkanethiols on Au through functional group diversity

    International Nuclear Information System (INIS)

    Raigoza, Annette F.; Onyirioha, Kristeen; Webb, Lauren J.

    2017-01-01

    Highlights: • Functional variety in SAMs control covalent binding of proteins to surfaces. • Peptide density on Au(111) surfaces controlled by SAM functional groups. • Affinity between biomolecule and SAM surface follows a Langmuir isotherm. • Surface chemistry can mimic functional group diversity in proteins and peptides. - Abstract: Reliably attaching a structured biomolecule to an inorganic substrate would enable the preparation of surfaces that incorporate both biological and inorganic functions and structures. To this end, we have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to well-ordered alkanethiol self-assembled monolayers (SAM) on a Au(111) surface, in which the SAM is composed of a mixture of methyl and azide termination. Proteins, however, are composed of many diverse functional groups, and this composition directly effects protein structure, interactions, and reactivity. Here, we explore the utility of mixed SAMs with alternative terminating functional groups to tune and direct the reactivity of the surface through noncovalent peptide-surface interactions. We study both polar surfaces (OH-terminated) and charged surfaces (COOH- and NH_3-terminated, which are negatively and positively charged, respectively, under our reaction conditions). Surfaces were functionalized with a bipolar peptide composed of Lys and Leu residues that could express different interactions through either hydrophilic and/or charge (Lys) or hydrophobic (Leu) influences. X-ray photoelectron spectroscopy (XPS) and surface infrared spectroscopy were used to characterize surfaces at all stages of the peptide functionalization procedure. This strategy resulted in a high density of surface-bound α-helices without aggregation. Mixed SAMs that included a positively charged alkanethiol along with the azide-terminated thiol resulted in a more efficient reaction and better alignment

  17. Infrared spectroscopy of self-assembled monolayer films on silicon

    Science.gov (United States)

    Rowell, N. L.; Tay, Lilin; Boukherroub, R.; Lockwood, D. J.

    2007-07-01

    Infrared vibrational spectroscopy in an attenuated total reflection (ATR) geometry has been employed to investigate the presence of organic thin layers on Si-wafer surfaces. The phenomena have been simulated to show there can be a field enhancement with the presented single-reflection ATR (SR-ATR) approach which is substantially larger than for conventional ATR or specular reflection. In SR-ATR, a discontinuity of the field normal to the film contributes a field enhancement in the lower index thin film causing a two order of magnitude increase in sensitivity. SR-ATR was employed to characterize a single monolayer of undecylenic acid self-assembled on Si(1 1 1) and to investigate a two monolayer system obtained by adding a monolayer of bovine serum albumin protein.

  18. Combined atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and quartz crystal microbalance (QCM) studies of glucose oxidase (GOx) immobilised onto self-assembled monolayer on the gold film

    International Nuclear Information System (INIS)

    Losic, D.; Shapter, J.; Gooding, J.; Erokin, P.; Short, K.

    1999-01-01

    In fabrication of biosensors, self-assembled monolayers (SAM) are an attractive method of immobilising enzymes at electrode surface since it allows precise control over the amount and spatial distribution of the immobilized enzyme. The covalent attachment of glucose oxidase (GOx) to a carboxylic terminated SAM chemisorbed onto gold films was achieved via carbodiimide activation of the carboxylic acids to a reactive intermediate susceptible to nucleophilic attack by amines on free lysine chains of the enzyme. Atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and quartz crystal microbalance (QCM) measurements were used for characterisation of GOx modified gold surfaces. Tapping mode AFM studies have revealed that GOx molecules form slightly disordered arrays of pentagonal or hexagonal clusters. Observed features of immobilised GOx are distributed as a submonolayer on the SAM surface which has allowed visualisation of native and unfolded enzyme structure. The presence of the SAM and enzyme on the gold surface was detected by XPS spectroscopy. Spectra show typical peaks for the C 1s, O 1s and N 1s regions. A kinetic study of the adsorption of GOx onto activated SAM using in-situ QCM allowed determination the amount of immobilised GOx on the layer and consequently the optimal immobilisation conditions. Performance parameters of the biosensor such as sensitivity to glucose concentration as a function of enzyme loading were evaluated amperometrically using the redox mediator p-benzoquinone

  19. Orientation of 6-mercaptopurine SAMs at the silver electrode as studied by Raman mapping and in situ SERS.

    Science.gov (United States)

    Chu, Hui; Yang, Haifeng; Huan, Shuangyan; Shen, Guoli; Yu, Ruqin

    2006-03-23

    Self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) on a silver electrode in acid and alkaline media were investigated by a combination protocol of the SERS technique with Raman mapping, and it was found that the adsorption mode of 6MP SAMs changed with the pH value of the environment. Quantum calculations for the vibrational mode were performed by the BLYP/6-31G method. 6MP was adsorbed on the silver electrode with a tilted orientation via S, N1, and N7 atoms in acid medium, while the SAMs adopted head-on adsorption modes with the S atom and the N1 atom anchoring the silver surface in alkaline medium. However, 6MP SAMs turned to the same upright orientation on the electrode through the S and N7 atoms when either acid or basic solution was removed. Stability of 6MP SAMs was observed by in situ SERS spectroelectrochemical measurements. The results reveal that the desorption potentials of 6MP SAMs formed under acid and alkaline conditions from the Ag electrode were at ca. -1.3 V and -1.6 V vs SCE, respectively.

  20. Investigation of the deposition and thermal behavior of striped phases of unsymmetric disulfide self-assembled monolayers on Au(111): The case of 11-hydroxyundecyl decyl disulfide

    Energy Technology Data Exchange (ETDEWEB)

    Albayrak, Erol [Department of Materials and Metallurgical Engineering, Ahi Evran University, Kırşehir 40000 (Turkey); Karabuga, Semistan [Department of Chemistry, Kahramanmaraş Sütçü İmam University, Kahramanmaraş 46030 (Turkey); Bracco, Gianangelo [CNR-IMEM and Department of Physics, University of Genoa, via Dodecaneso 33, Genoa 16146 (Italy); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2015-01-07

    Self-assembled monolayers (SAMs) of unsymmetric disulfides on Au(111) are used to form mixed SAMs that can be utilized in many applications. Here, we have studied 11-hydroxyundecyl decyl disulfide (CH{sub 3}–(CH{sub 2}){sub 9}–S–S–(CH{sub 2}){sub 11}–OH, HDD) SAMs produced by supersonic molecular beam deposition and characterized by He diffraction. The film growth was monitored at different temperatures up to a coverage which corresponds to a full lying down phase and the diffraction analysis shows that below 250 K the phase is different from the phase measured above 300 K. During the annealing of the film, two phase transitions were observed, at 250 K and 350 K. The overall data suggest that the former is related to an irreversible phase separation of HDD above 250 K to decanethiolate (–S–(CH{sub 2}){sub 9}–CH{sub 3}, DTT) and hydroxyundecylthiolate (–S–(CH{sub 2}){sub 11}–OH, MUDT), while the latter to a reversible melting of the film. Above 450 K, the specular intensity shows an increase related to film desorption and different chemisorbed states were observed with energies in the same range as observed for decanethiol (H–S–(CH{sub 2}){sub 9}–CH{sub 3}, DT) and mercaptoundecanol (H–S–(CH{sub 2}){sub 11}–OH, MUD) SAMs.

  1. Experimental and computational investigation of graphene/SAMs/n-Si Schottky diodes

    Science.gov (United States)

    Aydin, H.; Bacaksiz, C.; Yagmurcukardes, N.; Karakaya, C.; Mermer, O.; Can, M.; Senger, R. T.; Sahin, H.; Selamet, Y.

    2018-01-01

    We have investigated the effect of two different self-assembled monolayers (SAMs) on electrical characteristics of bilayer graphene (BLG)/n-Si Schottky diodes. Novel 4″bis(diphenylamino)-1, 1‧:3″-terphenyl-5‧ carboxylic acids (TPA) and 4,4-di-9H-carbazol-9-yl-1,1‧:3‧1‧-terphenyl-5‧ carboxylic acid (CAR) aromatic SAMs have been used to modify n-Si surfaces. Cyclic voltammetry (CV) and Kelvin probe force microscopy (KPFM) results have been evaluated to verify the modification of n-Si surface. The current-voltage (I-V) characteristics of bare and SAMs modified devices show rectification behaviour verifying a Schottky junction at the interface. The ideality factors (n) from ln(I)-V dependences were determined as 2.13, 1.96 and 2.07 for BLG/n-Si, BLG/TPA/n-Si and BLG/CAR/n-Si Schottky diodes, respectively. In addition, Schottky barrier height (SBH) and series resistance (Rs) of SAMs modified diodes were decreased compared to bare diode due to the formation of a compatible interface between graphene and Si as well as π-π interaction between aromatic SAMs and graphene. The CAR-based device exhibits better diode characteristic compared to the TPA-based device. Computational simulations show that the BLG/CAR system exhibits smaller energy-level-differences than the BLG/TPA, which supports the experimental findings of a lower Schottky barrier and series resistance in BLG/CAR diode.

  2. Evaluation of monolayers and mixed monolayers formed from mercaptobenzothiazole and decanethiol as sensing platforms

    International Nuclear Information System (INIS)

    Mary Vergheese, T.; Berchmans, Sheela

    2004-01-01

    In this investigation, the characterisation of monolayer and mixed monolayers formed from mercaptobenzothiazole (MBT) and decanethiol (DT) has been carried out with cyclic voltammetry. The SAMs have been tested for their stability and electron transfer blocking properties. The redox probes used in the present study are [Fe(China) 6 ] 4- , [Ru(NH 3 ) 6 ] 2+ and Cu underpotential deposition (upd). The electron transfer kinetics is investigated in acid and neutral pH range. Electron transfer kinetics is altered by the nature of charge on the redox probe and the charge on the monolayer. Electron transfer kinetics of negatively charged redox probes like ferrocyanide ions is blocked when the surface pK a medium and at pK a >pH medium reversible features is observed for negatively charged probes. An exactly reverse effect is observed in the case of positively charged redox species like [Ru(NH 3 ) 6 ] 2+/3+ . Cu under potential deposition studies reflects the structural integrity and compactness of the SAM layer. The utility of these monolayers and mixed monolayer for selective sensing of dopamine is discussed based on their ability to discriminate between positively and negatively charged redox species at different pH

  3. Formation of self-assembled monolayer of curcuminoid molecules on gold surfaces

    International Nuclear Information System (INIS)

    Berlanga, Isadora; Etcheverry-Berríos, Álvaro; Mella, Andy; Jullian, Domingo; Gómez, Victoria Alejandra; Aliaga-Alcalde, Núria; Fuenzalida, Victor; Flores, Marcos

    2017-01-01

    Highlights: • Thiophene curcuminoid molecules deposited on a gold surface by immersion. • Molecular dynamic studies of the molecular arrangement approaching the surface. • XPS and STM studies showing different arrangement of the molecules on the surface. • Molecular Interaction with surface depends on the sulfur position in thiophene rings. • Temporal evolution of the molecular arrangement on the surface. - Abstract: We investigated the formation of self-assembled monolayers of two thiophene curcuminoid molecules, 2-thphCCM (1) and 3-thphCCM (2), on polycrystalline gold substrates prepared by immersion of the surfaces in a solution of the molecules during 24 h. The functionalized surfaces were studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). Despite the fact that both molecules have the same composition and almost the same structure, these molecules exhibit different behavior on the gold surface, which can be explained by the different positions of the sulfur atoms in the terminal aromatic rings. In the case of molecule 1, the complete formation of a SAM can be observed after 24 h of immersion. In the case of molecule 2, the transition from flat-lying to upright configuration on the surface is still in process after 24 h of immersion. This is attributed to the fact that molecule 2 have the sulfur atoms more exposed than molecule 1.

  4. Formation of self-assembled monolayer of curcuminoid molecules on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Berlanga, Isadora [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Etcheverry-Berríos, Álvaro; Mella, Andy; Jullian, Domingo [Departamento de Ciencia de los Materiales, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Beaucheff 851, Santiago (Chile); Gómez, Victoria Alejandra [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Aliaga-Alcalde, Núria [ICREA (Institució Catalana de Recerca i Estudis Avançats), Passeig Lluís Companys, 23, 08018, Barcelona (Spain); CSIC-ICMAB (Institut de Ciència dels Materials de Barcelona), Campus de la Universitat Autònoma de Barcelona, 08193 Bellaterra (Spain); Fuenzalida, Victor [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Flores, Marcos, E-mail: mflorescarra@ing.uchile.cl [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); and others

    2017-01-15

    Highlights: • Thiophene curcuminoid molecules deposited on a gold surface by immersion. • Molecular dynamic studies of the molecular arrangement approaching the surface. • XPS and STM studies showing different arrangement of the molecules on the surface. • Molecular Interaction with surface depends on the sulfur position in thiophene rings. • Temporal evolution of the molecular arrangement on the surface. - Abstract: We investigated the formation of self-assembled monolayers of two thiophene curcuminoid molecules, 2-thphCCM (1) and 3-thphCCM (2), on polycrystalline gold substrates prepared by immersion of the surfaces in a solution of the molecules during 24 h. The functionalized surfaces were studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). Despite the fact that both molecules have the same composition and almost the same structure, these molecules exhibit different behavior on the gold surface, which can be explained by the different positions of the sulfur atoms in the terminal aromatic rings. In the case of molecule 1, the complete formation of a SAM can be observed after 24 h of immersion. In the case of molecule 2, the transition from flat-lying to upright configuration on the surface is still in process after 24 h of immersion. This is attributed to the fact that molecule 2 have the sulfur atoms more exposed than molecule 1.

  5. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin

    2008-12-16

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a wide range of tunable wettabilities from superhydrophilicity to superhydrophobicity (water contact angles: 0° to 170°). Our approach offers synthetic flexibility in controlling film architecture, surface topography, coating texture, crystallite size, and chemical composition of modifiers (e.g., SAMs derived from alkanethiols). For example, wettability properties of the nanocomposite films can be finely tuned with both inorganic phase (i.e., ZnO/SiO2 and Pt/ZnO/SiO2) and organic phase (i.e., SAMs on Pt/ZnO/SiO2). Due to the presence of catalytic components Pt/ZnO within the nanocomposites, surface reactions of the organic modifiers can further take place at room temperature and elevated temperatures, which provides a means for SAM formation and elimination. Because the Pt/ZnO forms an excellent pair of metal-semiconductors for photocatalysis, the anchored SAMs can also be modified or depleted by UV irradiation (i.e., the films possess self-cleaning ability). Potential applications of these nanocomposite films have been addressed. Our durability tests also confirm that the films are thermally stable and structurally robust in modification- regeneration cycles. © 2008 American Chemical Society.

  6. Immobilization of azurin with retention of its native electrochemical properties at alkylsilane self-assembled monolayer modified indium tin oxide

    International Nuclear Information System (INIS)

    Ashur, Idan; Jones, Anne K.

    2012-01-01

    Highlights: ► Immobilization of azurin at indium tin oxide causes modification of the native redox properties. ► Azurin was immobilized at alkylsilane self-assembled monolayer on indium tin oxide. ► Native, solution redox properties are retained for the immobilized protein on the SAM. ► Technique should be widely applicable to other redox proteins. - Abstract: Indium tin oxide (ITO) is a promising material for developing spectroelectrochemical methods due to its combination of excellent transparency in the visible region and high conductivity over a broad range of potential. However, relatively few examples of immobilization of redox proteins at ITO with retention of the ability to transfer electrons with the underlying material with native characteristics have been reported. In this work, we utilize an alkylsilane functionalized ITO surface as a biocompatible interface for immobilization of the blue copper protein azurin. Adsorption of azurin at ITO as well as ITO coated with self-assembled monolayers of (3-mercaptopropyl)trimethoxysilane (MPTMS) and n-decyltrimethoxysilane (DTMS) was achieved, and immobilized protein probed using protein film electrochemistry. The native redox properties of the protein were perturbed by adsorption directly to ITO or to the MPTMS layer on an ITO surface. However, azurin adsorbed at a DTMS covered ITO surface retained native electrochemical properties (E 1/2 = 122 ± 5 mV vs. Ag/AgCl) and could exchange electrons directly with the underlying ITO layer without need for an intervening chemical mediator. These results open new opportunities for immobilizing functional redox proteins at ITO and developing spectroelectrochemical methods for investigating them.

  7. Electronic transport through organophosphonate monolayers on silicon/silicon dioxide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bora, Achyut; Pathak, Anshuma; Tornow, Marc [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Liao, Kung-Ching; Schwartz, Jeffrey [Department of Chemistry, Princeton University, NJ (United States); Cattani-Scholz, Anna; Abstreiter, Gerhard [Walter Schottky Institut, TU Muenchen (Germany)

    2011-07-01

    Understanding the electronic transport through layered systems of organic functional layers on semiconductor surfaces is of major importance for future applications in nanoelectronics, photovoltaics and sensors. We have prepared self-assembled monolayers (SAMs) of 9,10-diphenyl-2,6-diphosphono-anthracene and 11-hydroxyundecyl phosphonic acid precursors on highly p-doped silicon surfaces coated with a 1 nm SiO{sub 2} layer. Contact angle, AFM and ellipsometry evidenced the homogeneity of the formed SAMs, and their thickness was determined to be 0.82{+-}0.07 nm and 1.13{+-}0.09 nm, respectively. We provided large area electrical contacts on top of the SAMs by a hanging Hg drop electrode. The measured I-V characteristics revealed an enhanced conductance of the aromatic vs. the aliphatic compounds, with current densities of the order of 10 A/m{sup 2} and 0.01 A/m{sup 2}, at 0.5 V, respectively. We analyzed the data in terms of non-resonant tunneling through the combined oxide-SAM barrier and found good qualitative agreement up to 0.2 V bias. Preliminary measurements on organized bilayers of anthracene bisphosphonates that were grown using techniques of coordination chemistry are discussed, too.

  8. Study of self-assembled triethoxysilane thin films made by casting neat reagents in ambient atmosphere

    International Nuclear Information System (INIS)

    Yang Yongan; Bittner, Alexander M.; Baldelli, Steve; Kern, Klaus

    2008-01-01

    We studied four trialkoxysilane thin films, fabricated via self-assembly by casting neat silane reagents onto hydrophilic SiO x /Si substrates in the ambient. This drop-casting method is simple, yet rarely studied for the production of silane self-assembled monolayers (SAMs). Various ex-situ techniques were utilized to systematically characterize the growth process: Ellipsometry measurements can monitor the evolution of film thickness with silanization time; water droplet contact angle measurements reveal the wettability; the change of surface morphology was followed by Atomic Force Microscopy; the chemical identity of the films was verified by Infrared-Visible Sum Frequency Generation spectroscopy. We show that the shorter carbon chain (propyl-) or branched (2-(diphenylphosphino)ethyl-) silane SAMs exhibit poor ordering. In contrast, longer carbon chain (octadecyl and decyl) silanes form relatively ordered monolayers. The growth of the latter two cases shows Langmuir-like kinetics and a transition process from lying-down to standing-up geometry with increasing coverage

  9. Surface self-assembled hybrid nanocomposites with electroactive nanoparticles and enzymes confined in a polymer matrix for controlled electrocatalysis

    DEFF Research Database (Denmark)

    Zhu, Nan; Ulstrup, Jens; Chi, Qijin

    2015-01-01

    A three-dimensional network of highly branched poly(ethyleneimine) (PEI) is designed and synthesized on gold electrode surfaces. A self-assembled monolayer (SAM) of dithiobis(succinimidyl propionate) (DTSP) on a gold electrode was first prepared, which is confirmed by the reductive desorption of ...

  10. Photoswitching in azobenzene self-assembled monolayers capped on zinc oxide: nanodots vs nanorods.

    Science.gov (United States)

    Shah, Syed Mujtaba; Martini, Cyril; Ackermann, Jörg; Fages, Frédéric

    2012-02-01

    We report the synthesis and spectroscopic characterization of nanohybrid structures consisting of an azobenzene compound grafted on the surface of zinc oxide nanoparticles. Characteristic bathochromic shifts indicate that the azobenzene photochromic molecules self-assemble onto the surface of the nanocrystals. The extent of packing is dependent on the shape of the nanoparticle. ZnO nanorods, with flat facets, enable a tighter organization of the molecules in the self-assembled monolayer than in the case of nanodots that display a more curvated shape. Consistently, the efficiency of photochromic switching of the self-assembled monolayer on ZnO nanoparticles is also shown to be strongly affected by nanoparticle shape. Copyright © 2011 Elsevier Inc. All rights reserved.

  11. Polarized neutron reflectivity from monolayers of self-assembled magnetic nanoparticles.

    Science.gov (United States)

    Mishra, D; Petracic, O; Devishvili, A; Theis-Bröhl, K; Toperverg, B P; Zabel, H

    2015-04-10

    We prepared monolayers of iron oxide nanoparticles via self-assembly on a bare silicon wafer and on a vanadium film sputter deposited onto a plane sapphire substrate. The magnetic configuration of nanoparticles in such a dense assembly was investigated by polarized neutron reflectivity. A theoretical model fit shows that the magnetic moments of nanoparticles form quasi domain-like configurations at remanence. This is attributed to the dipolar coupling amongst the nanoparticles.

  12. Sam37 is crucial for formation of the mitochondrial TOM-SAM supercomplex, thereby promoting β-barrel biogenesis.

    Science.gov (United States)

    Wenz, Lena-Sophie; Ellenrieder, Lars; Qiu, Jian; Bohnert, Maria; Zufall, Nicole; van der Laan, Martin; Pfanner, Nikolaus; Wiedemann, Nils; Becker, Thomas

    2015-09-28

    Biogenesis of mitochondrial β-barrel proteins requires two preprotein translocases, the general translocase of the outer membrane (TOM) and the sorting and assembly machinery (SAM). TOM and SAM form a supercomplex that promotes transfer of β-barrel precursors. The SAM core complex contains the channel protein Sam50, which cooperates with Sam35 in precursor recognition, and the peripheral membrane protein Sam37. The molecular function of Sam37 has been unknown. We report that Sam37 is crucial for formation of the TOM-SAM supercomplex. Sam37 interacts with the receptor domain of Tom22 on the cytosolic side of the mitochondrial outer membrane and links TOM and SAM complexes. Sam37 thus promotes efficient transfer of β-barrel precursors to the SAM complex. We conclude that Sam37 functions as a coupling factor of the translocase supercomplex of the mitochondrial outer membrane. © 2015 Wenz et al.

  13. Photoelectron spectroscopy of self-assembled monolayers of molecular switches on noble metal surfaces; Photoelektronenspektroskopie selbstorganisierter Adsorbatschichten aus molekularen Schaltern auf Edelmetalloberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Heinemann, Nils

    2012-09-12

    Self-assembled monolayers (SAMs) of butanethiolate (C4) on single crystalline Au(111) surfaces were prepared by adsorption from solution. The thermally activated desorption behaviour of the C4 molecules from the gold substrate was examined by qualitative thermal desorption measurements (TDM), through this a desorption temperature T{sub Des}=473 K could be determined. With this knowledge, it was possible to produce samples of very good surface quality, by thermal treatment T{sub Sample}SAMs of an other alkanethiol on Au(111) in a densely packed phase. Furthermore the 2PPE data indicated that the high quality of the ex situ prepared SAMs supported the formation of image potential states. The reversible photo- and thermally activated isomerization of the molecular switch 3-(4-(4-Hexyl-phenylazo)-phenoxy)-propane-1-thiol (ABT), deposited by self-assembly from solution on Au(111), was examined using laser-based photoelectron spectroscopy. Differences in the molecular dipole moment characteristic for the trans and the cis isomer of ABT were observed via changes in the sample work function, accessible by detection of the threshold energy for photoemission. A quantitative

  14. Evaluation of monolayers and mixed monolayers formed from mercaptobenzothiazole and decanethiol as sensing platforms

    Energy Technology Data Exchange (ETDEWEB)

    Mary Vergheese, T.; Berchmans, Sheela

    2004-02-15

    In this investigation, the characterisation of monolayer and mixed monolayers formed from mercaptobenzothiazole (MBT) and decanethiol (DT) has been carried out with cyclic voltammetry. The SAMs have been tested for their stability and electron transfer blocking properties. The redox probes used in the present study are [Fe(China){sub 6}]{sup 4-}, [Ru(NH{sub 3}){sub 6}]{sup 2+} and Cu underpotential deposition (upd). The electron transfer kinetics is investigated in acid and neutral pH range. Electron transfer kinetics is altered by the nature of charge on the redox probe and the charge on the monolayer. Electron transfer kinetics of negatively charged redox probes like ferrocyanide ions is blocked when the surface pK{sub a}pH{sub medium} reversible features is observed for negatively charged probes. An exactly reverse effect is observed in the case of positively charged redox species like [Ru(NH{sub 3}){sub 6}]{sup 2+/3+}. Cu under potential deposition studies reflects the structural integrity and compactness of the SAM layer. The utility of these monolayers and mixed monolayer for selective sensing of dopamine is discussed based on their ability to discriminate between positively and negatively charged redox species at different pH.

  15. Surface barrier analysis of semi-insulating and n{sup +}-type GaAs(0 0 1) following passivation with n-alkanethiol SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, Gregory M. [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada); Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Bensebaa, Farid [Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Dubowski, Jan J., E-mail: jan.j.dubowski@usherbrooke.ca [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada)

    2011-02-15

    The surface Fermi level of semi-insulating and n{sup +}-type GaAs(0 0 1) was determined before and after passivation with n-alkanethiol self-assembled monolayers (SAMs) by X-ray photoelectron spectroscopy. Fermi level positioning was achieved using Au calibration pads integrated directly onto the GaAs surface, prior to SAM deposition, in order to provide a surface equipotential binding energy reference. Fermi level pinning within 50 meV and surface barrier characteristics according to the Advanced Unified Defect Model were observed. Our results demonstrate the effectiveness of the Au integration technique for the determination of band-edge referenced Fermi level positions and are relevant to an understanding of emerging technologies based on the molecular-semiconductor junction.

  16. Tuning of metal work functions with self-assembled monolayers

    NARCIS (Netherlands)

    de Boer, B; Hadipour, A; Mandoc, MM; van Woudenbergh, T; Blom, PWM

    2005-01-01

    Work functions of gold and silver are varied by over 1.4 and 1.7 eV, respectively, by using self-assembled monolayers. Using these modified electrodes, the hole current in a poly(2-methoxy-5-(2'-ethylhexyloxy)- 1,4-phenylene vinylene) light-emitting diode is tuned by more than six orders of

  17. Optical constants and self-assembly of phenylene ethynylene oligomer monolayers

    DEFF Research Database (Denmark)

    Marx, E.; Walzer, Karsten; Less, R.J.

    2004-01-01

    This paper studies the self-assembly on gold surfaces of 1,4-ethynylphenyl-4'-ethynylphenyl-2'-nitro-1-benzenedithiolate (EP2NO(2)), a substituted phenylene ethynylene trimer with applications in molecular electronics. We develop an ellipsometric technique to measure the optical constants...... of these self-assembled monolayers, and we also use attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and scanning tunneling microscopy (STM) to confirm the structure of the films....

  18. Non-invasive vibrational SFG spectroscopy reveals that bacterial adhesion can alter the conformation of grafted "brush" chains on SAM.

    Science.gov (United States)

    Bulard, Emilie; Guo, Ziang; Zheng, Wanquan; Dubost, Henri; Fontaine-Aupart, Marie-Pierre; Bellon-Fontaine, Marie-Noëlle; Herry, Jean-Marie; Briandet, Romain; Bourguignon, Bernard

    2011-04-19

    Understanding bacterial adhesion on a surface is a crucial step to design new materials with improved properties or to control biofilm formation and eradication. Sum Frequency Generation (SFG) vibrational spectroscopy has been employed to study in situ the conformational response of a self-assembled monolayer (SAM) of octadecanethiol (ODT) on a gold film to the adhesion of hydrophilic and hydrophobic ovococcoid model bacteria. The present work highlights vibrational SFG spectroscopy as a powerful and unique non-invasive biophysical technique to probe and control bacteria interaction with ordered surfaces. Indeed, the SFG vibrational spectral changes reveal different ODT SAM conformations in air and upon exposure to aqueous solution or bacterial adhesion. Furthermore, this effect depends on the bacterial cell surface properties. The SFG spectral modeling demonstrates that hydrophobic bacteria flatten the ODT SAM alkyl chain terminal part, whereas the hydrophilic ones raise this ODT SAM terminal part. Microorganism-induced alteration of grafted chains can thus affect the desired interfacial functionality, a result that should be considered for the design of new reactive materials. © 2011 American Chemical Society

  19. Atomic force measurements of 16-mercaptohexadecanoic acid and its salt with CH3, OH, and CONHCH3 functionalized self-assembled monolayers

    International Nuclear Information System (INIS)

    Morales-Cruz, Angel L.; Tremont, Rolando; Martinez, Ramon; Roman-tilde ach, Rodolfo; Cabrera, Carlos R.

    2005-01-01

    Chemical and mechanical properties of different compounds can be elucidated by measuring fundamental forces such as adhesion, attraction and repulsion, between modified surfaces by means of atomic force microscopy (AFM) in force mode calibration. This work presents a combination of AFM, self-assembled monolayers (SAMs), and crystallization techniques to study the forces of interaction between excipients and active ingredients used in pharmaceutical formulations. SAMs of 16-mercaptohexadecanoate, which represent magnesium stereate, were used to modify the probe tip, whereas CH 3 -, OH- and CONHCH 3 -functional SAMs were formed on a gold-coated mica substrate, and used as examples of the surfaces of lactose and theophylline. The crystals of lactose and theophylline were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The modification of gold surfaces with 16-mercaptohexadecanoate, 10-mercapto-1-decanol (OH-functional SAM), 1-decanethiol (CH 3 -functional) and N-methyl-11-mercaptoundecanamide (CONHCH 3 -functional SAM) was studied by X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and Fourier transform-infrared spectroscopy (FT-IR) in specular reflectance mode. XPS and AES results of the modified surfaces showed the presence of sulfur binding, and kinetic energies that correspond to the presence of 10-mercapto-1-decanol, 1-decanethiol, N-methyl-11-mercaptoundecanamide and the salt of 16-mercaptohexadecanoic acid. The absorption bands in the IR spectra further confirm the modification of the gold-coated substrates with these compounds. Force versus distance measurements were performed between the modified tip and the modified gold-coated mica substrates. The mean adhesion forces between the COO - Ca 2+ functionalized tip and the CH 3 -, OH-, and CONHCH 3 -modified substrates were determined to be 4.5, 8.9 and 6.3 nN, respectively. The magnitude of the adhesion force (ion-dipole) interaction between the modified

  20. Vibrational Stark Effect of the Electric-Field Reporter 4-Mercaptobenzonitrile as a Tool for Investigating Electrostatics at Electrode/SAM/Solution Interfaces

    Directory of Open Access Journals (Sweden)

    Peter Hildebrandt

    2012-06-01

    Full Text Available 4-mercaptobenzonitrile (MBN in self-assembled monolayers (SAMs on Au and Ag electrodes was studied by surface enhanced infrared absorption and Raman spectroscopy, to correlate the nitrile stretching frequency with the local electric field exploiting the vibrational Stark effect (VSE. Using MBN SAMs in different metal/SAM interfaces, we sorted out the main factors controlling the nitrile stretching frequency, which comprise, in addition to external electric fields, the metal-MBN bond, the surface potential, and hydrogen bond interactions. On the basis of the linear relationships between the nitrile stretching and the electrode potential, an electrostatic description of the interfacial potential distribution is presented that allows for determining the electric field strengths on the SAM surface, as well as the effective potential of zero-charge of the SAM-coated metal. Comparing this latter quantity with calculated values derived from literature data, we note a very good agreement for Au/MBN but distinct deviations for Ag/MBN which may reflect either the approximations and simplifications of the model or the uncertainty in reported structural parameters for Ag/MBN. The present electrostatic model consistently explains the electric field strengths for MBN SAMs on Ag and Au as well as for thiophenol and mercaptohexanoic acid SAMs with MBN incorporated as a VSE reporter.

  1. Electrochemical characterization of mixed self-assembled films of water-soluble single-walled carbon nanotube-poly(m-aminobenzene sulfonic acid) and Iron(II) tetrasulfophthalocyanine

    CSIR Research Space (South Africa)

    Agboola, BO

    2010-09-01

    Full Text Available The redox activities of water-soluble iron(II) tetrasulfophthalocyanine (FeTSPc) and single-walled carbon nanotube-poly(m-aminobenzene sulfonic acid) (SWCNT-PABS) adsorbed on a gold surface precoated with a self-assembled monolayer (SAM) of 2...

  2. From Thin Films to Monolayer, A Systematic Approach for BTBT Based Organic Field Effect Transistors

    OpenAIRE

    Yousefi Amin, Atefeh

    2013-01-01

    This work focuses on theoretical and experimental understanding of how low-voltage organic field effect transistors based on BTBT ([1] benzothieno[3,2-b][1]benzothiophene) operate. The focus is in deducing the electrical and interfacial landscape in the device, while using ultra-thin hybrid layers of AlOx/SAM (Self-Assembled Monolayer) as a dielectric. This thesis proposes a systematic study on an optimum solution for facing challenges in molecular and device properties. It first focuses on d...

  3. Beam damage of self-assembled monolayers

    International Nuclear Information System (INIS)

    Rieke, P.C.; Baer, D.R.; Fryxell, G.E.; Engelhard, M.H.; Porter, M.S.

    1993-01-01

    X-ray and electron beam damage studies were performed on Br-terminated and methyl-terminated alkylsilane self-assembled monolayers. X-ray beam initiated damage was primarily limited to removal of the labile Br group and did not significantly damage the hydrocarbon chain. Some of the x-ray beam damage could be attributed to low-energy electrons emitted by the non-monochromatic source, but further damage was attributed to secondary electrons produced in the sample by x-ray exposure. Electron beams caused significant damage to the hydrocarbon chains. Maximum damage occurred with a beam energy of 600 eV and a dosage of 6x10 -3 C/cm 2

  4. Self-assembled monolayers-based immunosensor for detection of Escherichia coli using electrochemical impedance spectroscopy

    International Nuclear Information System (INIS)

    Geng Ping; Zhang Xinai; Meng Weiwei; Wang Qingjiang; Zhang Wen; Jin Litong; Feng Zhen; Wu Zirong

    2008-01-01

    An electrochemical impedance immunosensor for the detection of Escherichia coli was developed by immobilizing anti-E. coli antibodies at an Au electrode. The immobilization of antibodies at the Au electrode was carried out through a stable acyl amino ester intermediate generated by 1-ethyl-3-(3-dimethylaminopropyl) carbodiimide (EDC) and N-hydrosuccinimide (NHS), which could condense antibodies reproducibly and densely on the self-assembled monolayer (SAM). The surface characteristics of the immunosensor before and after the binding reaction of antibodies with E. coli were characterized by atomic force microscopy (AFM). The immobilization of antibodies and the binding of E. coli cells to the electrode could increase the electro-transfer resistance, which was directly detected by electrochemical impedance spectroscopy (EIS) in the presence of Fe(CN) 6 3- /Fe(CN) 6 4- as a redox probe. A linear relationship between the electron-transfer resistance and the logarithmic value of E. coli concentration was found in the range of E. coli cells from 3.0 x 10 3 to 3.0 x 10 7 cfu mL -1 with the detection limit of 1.0 x 10 3 cfu mL -1 . With preconcentration and pre-enrichment steps, it was possible to detect E. coli concentration as low as 50 cfu/mL in river water samples

  5. Molecular tilt-dependent and tyrosine-enhanced electron transfer across ITO/SAM/[DPPC–Au NP–Tyrosine] Janus nanoparticle junction

    Energy Technology Data Exchange (ETDEWEB)

    Sarangi, Nirod Kumar; Patnaik, Archita, E-mail: archita59@yahoo.com [Indian Institute of Technology Madras, Department of Chemistry (India)

    2016-09-15

    Enhanced interfacial electron transfer (ET) across the otherwise insulating indium tin oxide/alkanethiol self-assembled monolayer (SAM)/redox molecule junction was accomplished when a Janus gold nanoparticle (JNP) protected by bioinspired phosphatidylcholine (DPPC) lipid and tyrosine amino acid ligands was anchored on it. In addition to the most theoretical and experimental investigations on the distance-dependent ET across Metal–Organic SAM–Nanoparticle (NP) architectures, the current results succinctly illustrate molecular tilt angle of the SAM and the characteristic of JNP as key factors in expediting the ET rate via electron tunneling. In the absence of JNP, electron tunneling with a tunneling factor β = 1.1 Å{sup −1} across the SAM was the rate-limiting step, evidenced from electrochemical impedance spectroscopy (EIS). The apparent electron transfer rate constant (k{sub app}{sup 0}) for anchored SAM was enhanced by at least one order of magnitude than the DPPC-only protected nanoparticle, suggesting the potential role of tyrosine towards the enhanced ET. The asymmetric and biogenic nature of the construct sheds light on a potential bioelectronic device for novel electronic attributes.Graphical abstractEntry of TOC .

  6. Electron transfer dynamics across self-assembled N-(2-mercaptoethyl) octadecanamide/mycolic acid layers: impedimetric insights into the structural integrity and interaction with anti-mycolic acid antibodies

    CSIR Research Space (South Africa)

    Ozoemena, KI

    2010-01-01

    Full Text Available The integrity and properties of mycolic acid (MA) antigens integrated into a self-assembled monolayer (SAM) of N-(2-mercaptoethyl)octadecanamide, (MEODA), on a gold electrode have been interrogated using cyclic voltammetry (CV) and electrochemical...

  7. Lanthanide Selective Sorbents: Self-Assembled Monolayers on Mesoporous Supports (SAMMS)

    Energy Technology Data Exchange (ETDEWEB)

    Fryxell, Glen E.; Wu, Hong; Lin, Yuehe; Shaw, Wendy J.; Birnbaum, Jerome C.; Linehan, John C.; Nie, Zimin; Kemner, K. M.; Kelly, Shelley

    2004-11-01

    Through the marriage of mesoporous ceramics with self-assembled monolayer chemistry, the genesis of a powerful new class of environmental sorbent materials has been realized. By coating the mesoporous ceramic backbone with a monolayer terminated with a lanthanide-specific ligand, it is possible to couple high lanthanide binding affinity with the high loading capacity (resulting from the extremely high surface area of the support). This lanthanide-specific ligand field is created by pairing a ''hard'' anionic Lewis base with a suitable synergistic ligand, in a favorable chelating geometry. Details of the synthesis, characterization, lanthanide binding studies, binding kinetics, competition experiments and sorbent regeneration studies are summarized

  8. Lanthanide Selective Sorbents: Self-Assembled Monolayers on Mesoporous Supports (SAMMS)

    Energy Technology Data Exchange (ETDEWEB)

    Fryxell, Glen E.; Wu, Hong; Lin, Yuehe; Shaw, Wendy J.; Birnbaum, Jerome C.; Linehan, John C.; Nie, Zimin; Kemner, Kenneth M.; Kelly, Shelley

    2004-11-01

    Through the marriage of mesoporous ceramics with self-assembled monolayer chemistry, the genesis of a powerful new class of environmental sorbent materials has been realized. By coating the mesoporous ceramic backbone with a monolayer terminated with a lanthanide-specific ligand, it is possible to couple high lanthanide binding affinity with the high loading capacity (resulting from the extremely high surface area of the support). This lanthanide-specific ligand field is created by pairing a “hard” anionic Lewis base with a suitable synergistic ligand, in a favorable chelating geometry. Details of the synthesis, characterization, lanthanide binding studies, binding kinetics, competition experiments and sorbent regeneration studies are summarized.

  9. Optimisation and Characterisation of Anti-Fouling Ternary SAM Layers for Impedance-Based Aptasensors

    Directory of Open Access Journals (Sweden)

    Anna Miodek

    2015-09-01

    Full Text Available An aptasensor with enhanced anti-fouling properties has been developed. As a case study, the aptasensor was designed with specificity for human thrombin. The sensing platform was developed on screen printed electrodes and is composed of a self-assembled monolayer made from a ternary mixture of 15-base thiolated DNA aptamers specific for human thrombin co-immobilised with 1,6-hexanedithiol (HDT and further passivated with 1-mercapto-6-hexanol (MCH. HDT binds to the surface by two of its thiol groups forming alkyl chain bridges and this architecture protects from non-specific attachment of molecules to the electrode surface. Using Electrochemical Impedance Spectroscopy (EIS, the aptasensor is able to detect human thrombin as variations in charge transfer resistance (Rct upon protein binding. After exposure to a high concentration of non-specific Bovine Serum Albumin (BSA solution, no changes in the Rct value were observed, highlighting the bio-fouling resistance of the surface generated. In this paper, we present the optimisation and characterisation of the aptasensor based on the ternary self-assembled monolayer (SAM layer. We show that anti-fouling properties depend on the type of gold surface used for biosensor construction, which was also confirmed by contact angle measurements. We further studied the ratio between aptamers and HDT, which can determine the specificity and selectivity of the sensing layer. We also report the influence of buffer pH and temperature used for incubation of electrodes with proteins on detection and anti-fouling properties. Finally, the stability of the aptasensor was studied by storage of modified electrodes for up to 28 days in different buffers and atmospheric conditions. Aptasensors based on ternary SAM layers are highly promising for clinical applications for detection of a range of proteins in real biological samples.

  10. Etchant-free graphene transfer using facile intercalation of alkanethiol self-assembled molecules at graphene/metal interfaces.

    Science.gov (United States)

    Ohtomo, Manabu; Sekine, Yoshiaki; Wang, Shengnan; Hibino, Hiroki; Yamamoto, Hideki

    2016-06-02

    We report a novel etchant-free transfer method of graphene using the intercalation of alkanethiol self-assembled monolayers (SAMs) at the graphene/Cu interfaces. The early stage of intercalation proceeds through graphene grain boundaries or defects within a few seconds at room temperature until stable SAMs are formed after a few hours. The formation of SAMs releases the compressive strain of graphene induced by Cu substrates and make graphene slightly n-doped due to the formation of interface dipoles of the SAMs on metal surfaces. After SAM formation, the graphene is easily delaminated off from the metal substrates and transferred onto insulating substrates. The etchant-free process enables us to decrease the density of charged impurities and the magnitude of potential fluctuation in the transferred graphene, which suppress scattering of carriers. We also demonstrate the removal of alkanethiol SAMs and reuse the substrate. This method will dramatically reduce the cost of graphene transfer, which will benefit industrial applications such as of graphene transparent electrodes.

  11. Electrochemically controlled self-assembled monolayers characterized with molecular and sub-molecular resolution

    DEFF Research Database (Denmark)

    Zhang, Jingdong; Welinder, Anna Christina; Chi, Qijin

    2011-01-01

    Self-assembled organization of functional molecules on solid surfaces has developed into a powerful and sophisticated tool for surface chemistry and nanotechnology. A number of reviews on the topic have been available since the mid 1990s. This perspective article aims to focus on recent development...... structures and the experimental operating conditions. This is followed by discussion of two major high-resolution experimental methods, scanning tunnelling microscopy (STM) and single-crystal electrochemistry. In Section 3, we briefly address choice of supporting electrolytes and substrate surfaces......, we give examples of what can be offered by theoretical computations for the detailed understanding of the SAM electronic structures revealed by STM images. A brief summary of the current applications of SAMs in wiring metalloproteins, design and fabrication of sensors, and single-molecule electronics...

  12. Directing reaction pathways by catalyst active-site selection using self-assembled monolayers.

    Science.gov (United States)

    Pang, Simon H; Schoenbaum, Carolyn A; Schwartz, Daniel K; Medlin, J Will

    2013-01-01

    One key route for controlling reaction selectivity in heterogeneous catalysis is to prepare catalysts that exhibit only specific types of sites required for desired product formation. Here we show that alkanethiolate self-assembled monolayers with varying surface densities can be used to tune selectivity to desired hydrogenation and hydrodeoxygenation products during the reaction of furfural on supported palladium catalysts. Vibrational spectroscopic studies demonstrate that the selectivity improvement is achieved by controlling the availability of specific sites for the hydrogenation of furfural on supported palladium catalysts through the selection of an appropriate alkanethiolate. Increasing self-assembled monolayer density by controlling the steric bulk of the organic tail ligand restricts adsorption on terrace sites and dramatically increases selectivity to desired products furfuryl alcohol and methylfuran. This technique of active-site selection simultaneously serves both to enhance selectivity and provide insight into the reaction mechanism.

  13. Microsecond MD Simulations of Nano-patterned Polymer Brushes on Self-Assembled Monolayers

    Science.gov (United States)

    Buie, Creighton; Qiu, Liming; Cheng, Kwan; Park, Soyeun

    2010-03-01

    Nano-patterned polymer brushes end-grafted onto self-assembled monolayers have gained increasing research interests due to their unique thermodynamic properties and their chemical and biomedical applications in colloids, biosensing and tissue engineering. So far, the interactions between the polymer brushes with the surrounding environments such as the floor and solvent at the nanometer length scale and microsecond time scale are still difficult to obtained experimentally and computationally. Using a Coarse-Grained MD approach, polymer brushes of different monomeric lengths, grafting density and hydrophobicity of the monomers grafted on self-assembled monolayers and in explicit solvent were studied. Molecular level information, such as lateral diffusion, transverse height and volume contour of the brushes, were calculated from our microsecond-MD simulations. Our results demonstrated the significance of the hydration of the polymer in controlling the conformational arrangement of the polymer brushes.

  14. Formation of carboxy- and amide-terminated alkyl monolayers on silicon(111) investigated by ATR-FTIR, XPS, and X-ray scattering: Construction of photoswitchable surfaces

    DEFF Research Database (Denmark)

    Rück-Braun, Karola; Petersen, Michael Åxman; Michalik, Fabian

    2013-01-01

    -FTIR and XPS studies of the fulgimide samples revealed closely covered amide-terminated SAMs. Reversible photoswitching of the headgroup was read out by applying XPS, ATR-FTIR, and difference absorption spectra in the mid-IR. In XPS, we observed a reversible breathing of the amide/imide C1s and N1s signals......We have prepared high-quality, densely packed, self-assembled monolayers (SAMs) of carboxy-terminated alkyl chains on Si(111). The samples were made by thermal grafting of methyl undec-10-enoate under an inert atmosphere and subsequent cleavage of the ester functionality to disclose the carboxylic...... zigzag-like substitution pattern for the ester- and carboxy-terminated monolayer. Hydrolysis of the remaining H-Si(111) bonds at the surface furnished HO-Si(111) groups according to XPS and attenuated total reflection Fourier-transform infrared spectroscopy (ATR-FTIR) studies. The amide-terminated alkyl...

  15. Monoatomic and cluster beam effect on ToF-SIMS spectra of self-assembled monolayers on gold

    Energy Technology Data Exchange (ETDEWEB)

    Tuccitto, N. [Dipartimento di Scienze Chimiche Universita degli Studi di Catania, v.le A. Doria 6, 95125, Catania (Italy)], E-mail: n.tuccitto@unict.it; Torrisi, V.; Delfanti, I.; Licciardello, A. [Dipartimento di Scienze Chimiche Universita degli Studi di Catania, v.le A. Doria 6, 95125, Catania (Italy)

    2008-12-15

    Self-assembled monolayers represent well-defined systems that is a good model surface to study the effect of primary ion beams used in secondary ion mass spectrometry. The effect of polyatomic primary beams on both aliphatic and aromatic self-assembled monolayers has been studied. In particular, we analysed the variation of the relative secondary ion yield of both substrate metal-cluster (Au{sub n}{sup -}) in comparison with the molecular ions (M{sup -}) and clusters (M{sub x}Au{sub y}{sup -}) by using Bi{sup +}, Bi{sub 3}{sup +}, Bi{sub 5}{sup +} beams. Moreover, the differences in the secondary ion generation efficiency are discussed. The main effect of the cluster beams is related to an increased formation of low-mass fragments and to the enhancement of the substrate related gold-clusters. The results show that, at variance of many other cases, the static SIMS of self-assembled monolayers does not benefit of the use of polyatomic primary ions.

  16. Molecular dynamics and energy landscape of decanethiolates in self-assembled monolayers on Au(111) by STM

    NARCIS (Netherlands)

    Sotthewes, Kai; Wu, Hairong; Kumar, Avijit; Vancso, Gyula J.; Schön, Peter Manfred; Zandvliet, Henricus J.W.

    2013-01-01

    The energetics and dynamics of the various phases of decanethiolate self-assembled monolayers on Au(111) surfaces were studied with scanning tunneling microscopy. We have observed five different phases of the decanethiolate monolayer on Au(111): four ordered phases (β, δ, χ*, and ) and one

  17. Amine terminated SAMs: Investigating why oxygen is present in these films

    International Nuclear Information System (INIS)

    Baio, J.E.; Weidner, T.; Brison, J.; Graham, D.J.; Gamble, Lara J.; Castner, David G.

    2009-01-01

    Self-assembled monolayers (SAMs) on gold prepared from amine-terminated alkanethiols have long been employed as model positively charged surfaces. Yet in previous studies significant amounts of unexpected oxygen containing species are always detected in amine terminated SAMs. Thus, the goal of this investigation was to determine the source of these oxygen species and minimize their presence in the SAM. The surface composition, structure, and order of amine-terminated SAMs on Au were characterized by X-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectroscopy (ToF-SIMS), sum frequency generation (SFG) and near edge X-ray absorption fine structure (NEXAFS) spectroscopy. XPS determined compositions of amine-terminated SAMs in the current study exhibited oxygen concentrations of 2.4 ± 0.4 atomic %, a substantially lower amount of oxygen than reported in previously published studies. High-resolution XPS results from the S 2p , C 1s and N 1s regions did not detect any oxidized species. Angle-resolved XPS indicated that the small amount of oxygen detected was located at or near the amine head group. Small amounts of oxidized nitrogen, carbon and sulfur secondary ions, as well as ions attributed to water, were detected in the ToF-SIMS data due to the higher sensitivity of ToF-SIMS. The lack of N-O, S-O, and C-O stretches in the SFG spectra are consistent with the XPS and ToF-SIMS results and together show that oxidation of the amine-terminated thiols alone can only account for, at most, a small fraction of the oxygen detected by XPS. Both the SFG and angle-dependent NEXAFS indicated the presence of gauche defects in the amine SAMs. However, the SFG spectral features near 2865 cm -1 , assigned to the stretch of the methylene group next to the terminal amine unit, demonstrate the SAM is reasonably ordered. The SFG results also show another broad feature near 3200 cm -1 related to hydrogen-bonded water. From this multi-technique investigation it is

  18. Highly active engineered-enzyme oriented monolayers: formation, characterization and sensing applications

    Directory of Open Access Journals (Sweden)

    Patolsky Fernando

    2011-06-01

    Full Text Available Abstract Background The interest in introducing ecologically-clean, and efficient enzymes into modern industry has been growing steadily. However, difficulties associated with controlling their orientation, and maintaining their selectivity and reactivity is still a significant obstacle. We have developed precise immobilization of biomolecules, while retaining their native functionality, and report a new, fast, easy, and reliable procedure of protein immobilization, with the use of Adenylate kinase as a model system. Methods Self-assembled monolayers of hexane-1,6-dithiol were formed on gold surfaces. The monolayers were characterized by contact-angle measurements, Elman-reagent reaction, QCM, and XPS. A specifically designed, mutated Adenylate kinase, where cysteine was inserted at the 75 residue, and the cysteine at residue 77 was replaced by serine, was used for attachment to the SAM surface via spontaneously formed disulfide (S-S bonds. QCM, and XPS were used for characterization of the immobilized protein layer. Curve fitting in XPS measurements used a Gaussian-Lorentzian function. Results and Discussion Water contact angle (65-70°, as well as all characterization techniques used, confirmed the formation of self-assembled monolayer with surface SH groups. X-ray photoelectron spectroscopy showed clearly the two types of sulfur atom, one attached to the gold (triolate and the other (SH/S-S at the ω-position for the hexane-1,6-dithiol SAMs. The formation of a protein monolayer was confirmed using XPS, and QCM, where the QCM-determined amount of protein on the surface was in agreement with a model that considered the surface area of a single protein molecule. Enzymatic activity tests of the immobilized protein confirmed that there is no change in enzymatic functionality, and reveal activity ~100 times that expected for the same amount of protein in solution. Conclusions To the best of our knowledge, immobilization of a protein by the method

  19. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  20. Chemical imaging of structured SAMs with a novel SFG microscope

    Science.gov (United States)

    Hoffmann, Dominik M. P.; Kuhnke, Klaus; Kern, Klaus

    2002-11-01

    We present a newly developed microscope for sum frequency generation (SFG) imaging of opaque and reflecting interfaces. The sample is viewed at an angle of 60° with respect to the surface normal in order to increase the collected SFG intensity. Our setup is designed to keep the whole field of view (FOV) in focus and to compensate for the distortion usually related to oblique imaging by means of a blazed grating. The separation of the SFG intensity and the reflected visible beam is accomplished by a suitable combination of spectral filters. The sum frequency microscope (SFM) is capable of in-situ chemically selective imaging by tuning the IR-beam to vibrational transitions of the respective molecules. The SFM is applied to imaging of structured self-assembled monolayers (SAM) of thiol molecules on a gold surface.

  1. Sensitive detection of plastic explosives with self-assembled monolayer-coated microcantilevers

    Science.gov (United States)

    Pinnaduwage, L. A.; Boiadjiev, V.; Hawk, J. E.; Thundat, T.

    2003-08-01

    We report the detection of 10-30 parts-per-trillion levels of pentaerythritol tetranitrate and hexahydro-1,3,5-triazine within 20 s of exposure to a silicon microcantilever with its gold surface modified with a self-assembled monolayer of 4-mercaptobenzoic acid. These measurements correspond to a limit of detection of a few fg.

  2. Influencia del Estado de Oxidación del Ión Cobalto en la Estabilidad de Electrodos Modificados con Monocapas SAM-TOA-ANTA-Con+-HRP-NHis.

    Directory of Open Access Journals (Sweden)

    Pedro R. Matheus*

    Full Text Available Influence of state oxidation of cobalt ion in the stability electrodes modified with monolayers SAM-TOA-ANTA-Con+-HRP-NHis. Quartz Crystal Microbalance (QCM was used to investigate the adsorption of the HRP-NHis enzyme (horseradish peroxidase, which was modified by the addition of a tail of six histidine on its extreme N-terminal. The QCM operating at flow of 0.025 mL min-1 on a crystal whose gold electrode was modified with monolayers of SAM-TOA-ANTA-Co2+ and SAM-TOA-ANTA -Co3+. The oxidize form was obtained from the electrochemical oxidation of a monolayer of SAM-TOA-ANTA-Co2+. The results suggest that the HRP-NHis is attached to both monolayers in a similar way; on the contrary, the desortion of the attached protein is dramatically different. Thus, whereas the ligand-Co2+ bonds are reversible, which allows that the anchored protein is easily replaced by imidazol molecules. The 3+ oxidation state of the metal does not allow the interchange of protein by the imidazol molecules.

  3. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound

    Energy Technology Data Exchange (ETDEWEB)

    Vaithilingam, Jayasheelan [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom); Kilsby, Samuel [Department of Chemistry, Loughborough University, Loughborough LE11 3TU (United Kingdom); Goodridge, Ruth D., E-mail: Ruth.Goodridge@nottingham.ac.uk [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom); Christie, Steven D.R. [Department of Chemistry, Loughborough University, Loughborough LE11 3TU (United Kingdom); Edmondson, Steve [School of Materials, The University of Manchester, Manchester M13 9PL (United Kingdom); Hague, Richard J.M. [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom)

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. - Graphical abstract: A significant change in the contact angle confirming the immobilisation of Paracetamol. (a) Before self-assembled monolayer (SAM) attachment, (b) after SAM attachment and (c) after the immobilisation of Paracetamol to the SAMs. - Highlights: • Ti6Al4V parts were fabricated using selective laser melting (SLM). • Monolayers used to modify the SLM surface were stable for over 28 days (in-vitro). • Surface roughness did not have a significant impact on the monolayer stability. • Paracetamol was successfully immobilised to the adsorbed monolayers. • Caution required before selecting Paracetamol as a model drug.

  4. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound

    International Nuclear Information System (INIS)

    Vaithilingam, Jayasheelan; Kilsby, Samuel; Goodridge, Ruth D.; Christie, Steven D.R.; Edmondson, Steve; Hague, Richard J.M.

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. - Graphical abstract: A significant change in the contact angle confirming the immobilisation of Paracetamol. (a) Before self-assembled monolayer (SAM) attachment, (b) after SAM attachment and (c) after the immobilisation of Paracetamol to the SAMs. - Highlights: • Ti6Al4V parts were fabricated using selective laser melting (SLM). • Monolayers used to modify the SLM surface were stable for over 28 days (in-vitro). • Surface roughness did not have a significant impact on the monolayer stability. • Paracetamol was successfully immobilised to the adsorbed monolayers. • Caution required before selecting Paracetamol as a model drug

  5. The rate of charge tunneling is insensitive to polar terminal groups in self-assembled monolayers in Ag(TS)S(CH2)(n)M(CH2)(m)T//Ga2O3/EGaIn junctions.

    Science.gov (United States)

    Yoon, Hyo Jae; Bowers, Carleen M; Baghbanzadeh, Mostafa; Whitesides, George M

    2014-01-08

    This paper describes a physical-organic study of the effect of uncharged, polar, functional groups on the rate of charge transport by tunneling across self-assembled monolayer (SAM)-based large-area junctions of the form Ag(TS)S(CH2)(n)M(CH2)(m)T//Ga2O3/EGaIn. Here Ag(TS) is a template-stripped silver substrate, -M- and -T are "middle" and "terminal" functional groups, and EGaIn is eutectic gallium-indium alloy. Twelve uncharged polar groups (-T = CN, CO2CH3, CF3, OCH3, N(CH3)2, CON(CH3)2, SCH3, SO2CH3, Br, P(O)(OEt)2, NHCOCH3, OSi(OCH3)3), having permanent dipole moments in the range 0.5 < μ < 4.5, were incorporated into the SAM. A comparison of the electrical characteristics of these junctions with those of junctions formed from n-alkanethiolates led to the conclusion that the rates of charge tunneling are insensitive to the replacement of terminal alkyl groups with the terminal polar groups in this set. The current densities measured in this work suggest that the tunneling decay parameter and injection current for SAMs terminated in nonpolar n-alkyl groups, and polar groups selected from common polar organic groups, are statistically indistinguishable.

  6. Single-Layer Pentacene Field-Effect Transistors Using Electrodes Modified With Self-assembled Monolayers

    NARCIS (Netherlands)

    Asadi, Kamal; Wu, Yu; Gholamrezaie, Fatemeh; Rudolf, Petra; Blom, Paul W. M.

    2009-01-01

    Pentacene field-effect transistor performance can be improved by modifying metal electrodes with self-assembled monolayers. The dominant role in performance is played by pentacene morphology rather than the work function of the modified electrodes. With optimized processing conditions,

  7. Molecular and electronic structure of osmium complexes confined to Au(111) surfaces using a self-assembled molecular bridge

    Energy Technology Data Exchange (ETDEWEB)

    Llave, Ezequiel de la; Herrera, Santiago E.; Adam, Catherine; Méndez De Leo, Lucila P.; Calvo, Ernesto J.; Williams, Federico J., E-mail: fwilliams@qi.fcen.uba.ar [INQUIMAE-CONICET, Departamento de Química Inorgánica, Analítica y Química-Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Ciudad Universitaria, Pabellón 2, Buenos Aires C1428EHA (Argentina)

    2015-11-14

    The molecular and electronic structure of Os(II) complexes covalently bonded to self-assembled monolayers (SAMs) on Au(111) surfaces was studied by means of polarization modulation infrared reflection absorption spectroscopy, photoelectron spectroscopies, scanning tunneling microscopy, scanning tunneling spectroscopy, and density functional theory calculations. Attachment of the Os complex to the SAM proceeds via an amide covalent bond with the SAM alkyl chain 40° tilted with respect to the surface normal and a total thickness of 26 Å. The highest occupied molecular orbital of the Os complex is mainly based on the Os(II) center located 2.2 eV below the Fermi edge and the LUMO molecular orbital is mainly based on the bipyridine ligands located 1.5 eV above the Fermi edge.

  8. Characterization of iron surface modified by 2-mercaptobenzothiazole self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Feng Yuanyuan [Department of Chemistry, Shandong University, Jinan 250100 (China); Chen Shenhao [Department of Chemistry, Shandong University, Jinan 250100 (China) and State Key Laboratory for Corrosion and Protection, Shenyang 110016 (China)]. E-mail: shchen@sdu.edu.cn; Zhang Honglin [Department of Chemistry, Qufu Normal University, Qufu 273165 (China); Li Ping [Department of Chemistry, Shandong University, Jinan 250100 (China); Wu Ling [Department of Chemistry, Shandong University, Jinan 250100 (China); Guo Wenjuan [Department of Chemistry, Shandong University, Jinan 250100 (China)

    2006-12-30

    A self-assembled monolayer of 2-mercaptobenzothiazole (MBT) adsorbed on the iron surface was prepared. The films were characterized by electrochemical impedance spectroscopy (EIS), X-ray photoelectron spectroscopy (XPS), Fourier transform infrared reflection spectroscopy (FT-IR) and scanning electron microscopy (SEM). Besides, the microcalorimetry method was utilized to study the self-assembled process on iron surface and the adsorption mechanism was discussed from the power-time curve. The results indicated that MBT was able to form a film spontaneously on iron surface and the presence of it could protect iron from corrosion effectively. However, the assembling time and the concentration influence the protection efficiency. Quantum chemical calculations, according to which adsorption mechanism was discussed, could explain the experimental results to some extent.

  9. Photochemical properties and interfacial fluorescence sensing for homocysteine of triptycene orthoquinone layer-by-layer-assembled multilayers

    International Nuclear Information System (INIS)

    Sun, Xiangying; Liu, Bin; Wu, Qiong; Li, Fang

    2014-01-01

    In the present work, the properties of triptycene orthoquinone derivatives were studied. As a kind of good electron-transfer platform, triptycene derivatives with different electron donors or electron acceptors behave distinctively with their luminescent properties. The intensity ratio of fluorescence peaks can be controlled by the number of methoxy groups (electron donor) and orthoquinone groups (electron acceptor) simultaneously. We have assembled 6,7,12,13-4-methoxyl-2, 3-2-orthoquinone triptycene onto self-assembled monolayers (SAMs) to create a probe for detecting biological thiols. The SAMs exhibited higher selectivity toward homocysteine than to other thiol-containing compounds with a fast response and a stable signal over a wide liner range from 2.0 μmol/L to 1.0 mmol/L with the detection limit of 0.52 μmol/L. - Highlights: • A dual fluorescence probe for biological thiols was reported. • This probe is based on triptycene orthoquinones self-assembled mutilayers. • The sensor exhibits higher selectivity toward homocysteine than other thiol compounds

  10. Photochemical properties and interfacial fluorescence sensing for homocysteine of triptycene orthoquinone layer-by-layer-assembled multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Xiangying, E-mail: sunxy@hqu.edu.cn; Liu, Bin; Wu, Qiong; Li, Fang

    2014-07-01

    In the present work, the properties of triptycene orthoquinone derivatives were studied. As a kind of good electron-transfer platform, triptycene derivatives with different electron donors or electron acceptors behave distinctively with their luminescent properties. The intensity ratio of fluorescence peaks can be controlled by the number of methoxy groups (electron donor) and orthoquinone groups (electron acceptor) simultaneously. We have assembled 6,7,12,13-4-methoxyl-2, 3-2-orthoquinone triptycene onto self-assembled monolayers (SAMs) to create a probe for detecting biological thiols. The SAMs exhibited higher selectivity toward homocysteine than to other thiol-containing compounds with a fast response and a stable signal over a wide liner range from 2.0 μmol/L to 1.0 mmol/L with the detection limit of 0.52 μmol/L. - Highlights: • A dual fluorescence probe for biological thiols was reported. • This probe is based on triptycene orthoquinones self-assembled mutilayers. • The sensor exhibits higher selectivity toward homocysteine than other thiol compounds.

  11. Ionic interactions in electroactive self-assembled monolayers of ferrocene species

    Science.gov (United States)

    Delong, Hugh C.; Donohue, John J.; Buttry, Daniel A.

    1991-04-01

    The electrochemical and interfacial behavior of two types of electroactive self-assembled monolayer systems is investigated at gold electrodes. The first type is a ferrocene-based surfactant (a redox surfactant) derived from (dimethylamino)methylferrocene via quaternization of the amino group with various n-alkylbromides. These have a long alkyl chain with 16 or 18 carbons in the chain pendent from the cationic ammonium group. These are referred to as C16 and C18. The second type is a ferrocene-based dimeric species with a disulfide functional group capable of providing a permanent anchor to the Au electrode, thus endowing monolayers of this species with exceptional stability towards desorption. The electrochemical quartz crystal microbalance (EQM) is used to monitor the mass changes which occur at the electrode surface during the redox processes of these two species.

  12. Monitoring the hydration of DNA self-assembled monolayers using an extensional nanomechanical resonator

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Kosaka, Priscila; Tamayo, Javier

    2012-01-01

    We have fabricated an ultrasensitive nanomechanical resonator based on the extensional vibration mode to weigh the adsorbed water on self-assembled monolayers of DNA as a function of the relative humidity. The water adsorption isotherms provide the number of adsorbed water molecules per nucleotid...

  13. Amperometric cholesterol biosensor based on in situ reconstituted cholesterol oxidase on an immobilized monolayer of flavin adenine dinucleotide cofactor.

    Science.gov (United States)

    Vidal, Juan-C; Espuelas, Javier; Castillo, Juan-R

    2004-10-01

    A new amperometric biosensor for determining cholesterol based on deflavination of the enzyme cholesterol oxidase (ChOx) and subsequent reconstitution of the apo-protein with a complexed flavin adenine dinucleotide (FAD) monolayer is described. The charge transfer mediator pyrroquinoline quinone (PQQ) was covalently bound to a cystamine self-assembled monolayer (SAM) on an Au electrode. Boronic acid (BA) was then bound to PQQ using the carbodiimide procedure, and the BA ligand was complexed to the FAD molecules on which the apo-ChOx was subsequently reconstituted. The effective release of the FAD from the enzyme and the successful reconstitution were verified using molecular fluorescence and cyclic voltammetry. The optimal orientation of FAD toward the PQQ mediator and the distances between FAD and PQQ and between PQQ and electrode enhance the charge transfer, very high sensitivity (about 2,500 nAmM(-1)cm(-2)) being obtained for cholesterol determination. The biosensor is selective toward electroactive interferents (ascorbic acid and uric acid) and was tested in reference serum samples, demonstrating excellent accuracy (relative errors below 3% in all cases). The biosensor activity can be successfully regenerated in a simple process by successive reconstitution with batches of recently prepared apo-ChOx on the same immobilized Au/SAM-PQQ-BA-FAD monolayer (it was tested five times); the lifetime of the biosensor is about 45-60 days.

  14. Low-voltage self-assembled monolayer field-effect transistors on flexible substrates.

    Science.gov (United States)

    Schmaltz, Thomas; Amin, Atefeh Y; Khassanov, Artoem; Meyer-Friedrichsen, Timo; Steinrück, Hans-Georg; Magerl, Andreas; Segura, Juan José; Voitchovsky, Kislon; Stellacci, Francesco; Halik, Marcus

    2013-08-27

    Self-assembled monolayer field-effect transistors (SAMFETs) of BTBT functionalized phosphonic acids are fabricated. The molecular design enables device operation with charge carrier mobilities up to 10(-2) cm(2) V(-1) s(-1) and for the first time SAMFETs which operate on rough, flexible PEN substrates even under mechanical substrate bending. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Neutralization of methyl cation via chemical reactions in low-energy ion-surface collisions with fluorocarbon and hydrocarbon self-assembled monolayer films.

    Science.gov (United States)

    Somogyi, Arpád; Smith, Darrin L; Wysocki, Vicki H; Colorado, Ramon; Lee, T Randall

    2002-10-01

    Low-energy ion-surface collisions of methyl cation at hydrocarbon and fluorocarbon self-assembled monolayer (SAM) surfaces produce extensive neutralization of CH3+. These experimental observations are reported together with the results obtained for ion-surface collisions with the molecular ions of benzene, styrene, 3-fluorobenzonitrile, 1,3,5-triazine, and ammonia on the same surfaces. For comparison, low-energy gas-phase collisions of CD3+ and 3-fluorobenzonitrile molecular ions with neutral n-butane reagent gas were conducted in a triple quadrupole (QQQ) instrument. Relevant MP2 6-31G*//MP2 6-31G* ab initio and thermochemical calculations provide further insight in the neutralization mechanisms of methyl cation. The data suggest that neutralization of methyl cation with hydrocarbon and fluorocarbon SAMs occurs by concerted chemical reactions, i.e., that neutralization of the projectile occurs not only by a direct electron transfer from the surface but also by formation of a neutral molecule. The calculations indicate that the following products can be formed by exothermic processes and without appreciable activation energy: CH4 (formal hydride ion addition) and C2H6 (formal methyl anion addition) from a hydrocarbon surface and CH3F (formal fluoride addition) from a fluorocarbon surface. The results also demonstrate that, in some cases, simple thermochemical calculations cannot be used to predict the energy profiles because relatively large activation energies can be associated with exothermic reactions, as was found for the formation of CH3CF3 (formal addition of trifluoromethyl anion).

  16. Spontaneous phase separation during self-assembly in bi-dispersed spherical iron oxide nanoparticle monolayers

    International Nuclear Information System (INIS)

    Stanley, Jacob; Boucheron, Leandra; Shpyrko, Oleg; Lin, Binhua; Meron, Mati

    2015-01-01

    Recent developments in the synthesis of iron oxide nanoparticles have resulted in the ability to fabricate roughly spherical particles with extremely high size uniformity (low polydispersity). These particles can form self-assembled monolayer films at an air-water interface. When the polydispersity of the particles is low, these monolayers can be well-ordered over a length scale dozens of times the particle size. The van der Waals force between the particles is what drives this self-assembly. Through the use of Grazing Incidence X-Ray Diffraction we demonstrate that, when these films are formed at the liquid surface from bi-dispersed solutions containing 10 and 20 nm spherical particles suspended in chloroform, the particles phase separate into well-ordered patches during the self-assembly process. Furthermore, the domain sizes of these phase separated regions are at most 2–3 times smaller than that of a film comprising only mono-dispersed particles and their degree of disorder is comparable. This is shown for multiple solutions with differing ratios of 10 and 20 nm particles

  17. Spontaneous phase separation during self-assembly in bi-dispersed spherical iron oxide nanoparticle monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Stanley, Jacob; Boucheron, Leandra; Shpyrko, Oleg, E-mail: lin@cars.uchicago.edu, E-mail: oshpyrko@physics.ucsd.edu [Department of Physics, University of California, San Diego, La Jolla, California 92093 (United States); Lin, Binhua, E-mail: lin@cars.uchicago.edu, E-mail: oshpyrko@physics.ucsd.edu; Meron, Mati [Center for Advanced Radiation Sources (CARS), University of Chicago, Chicago, Illinois 60637 (United States)

    2015-04-20

    Recent developments in the synthesis of iron oxide nanoparticles have resulted in the ability to fabricate roughly spherical particles with extremely high size uniformity (low polydispersity). These particles can form self-assembled monolayer films at an air-water interface. When the polydispersity of the particles is low, these monolayers can be well-ordered over a length scale dozens of times the particle size. The van der Waals force between the particles is what drives this self-assembly. Through the use of Grazing Incidence X-Ray Diffraction we demonstrate that, when these films are formed at the liquid surface from bi-dispersed solutions containing 10 and 20 nm spherical particles suspended in chloroform, the particles phase separate into well-ordered patches during the self-assembly process. Furthermore, the domain sizes of these phase separated regions are at most 2–3 times smaller than that of a film comprising only mono-dispersed particles and their degree of disorder is comparable. This is shown for multiple solutions with differing ratios of 10 and 20 nm particles.

  18. Change of cobalt magnetic anisotropy and spin polarization with alkanethiolates self-assembled monolayers

    International Nuclear Information System (INIS)

    Campiglio, Paolo; Breitwieser, Romain; Repain, Vincent; Guitteny, Solène; Chacon, Cyril; Bellec, Amandine; Lagoute, Jérôme; Girard, Yann; Rousset, Sylvie; Sassella, Adele; Imam, Mighfar; Narasimhan, Shobhana

    2015-01-01

    We demonstrate that the deposition of a self-assembled monolayer of alkanethiolates on a 1 nm thick cobalt ultrathin film grown on Au(111) induces a spin reorientation transition from in-plane to out-of-plane magnetization. Using ab initio calculations, we show that a methanethiolate layer changes slightly both the magnetocrystalline and shape anisotropy, both effects almost cancelling each other out for a 1 nm Co film. Finally, the change in hysteresis cycles upon alkanethiolate adsorption could be assigned to a molecular-induced roughening of the Co layer, as shown by STM. In addition, we calculate how a methanethiolate layer modifies the spin density of states of the Co layer and we show that the spin polarization at the Fermi level through the organic layer is reversed as compared to the uncovered Co. These results give new theoretical and experimental insights for the use of thiol-based self-assembled monolayers in spintronic devices. (paper)

  19. Correlation of Effective Dispersive and Polar Surface Energies in Heterogeneous Self-Assembled Monolayer Coatings

    DEFF Research Database (Denmark)

    Zhuang, Yanxin; Hansen, Ole

    2009-01-01

    grown oil oxidized (100) silicon Surfaces in a vapor phase process using five different precursors. Experimentally, effective surface energy components of the fluorocarbon self-assembled monolayers were determined from measured contact angles using the Owens-Wendt-Rabel-Kaelble method. We show...

  20. Tailoring self-assembled monolayers at the electrochemical interface

    Indian Academy of Sciences (India)

    Administrator

    (SAMs) for functionalisation with different receptors, catalytic materials, biomolecules, enzymes, anti- gen-antibody, etc for various applications. ... and tailoring of SAMs by incorporation of suitable recognition elements. ... compatible with most organic functional groups and ...... the interfacial architecture can be tailored using.

  1. Imidazolide monolayers for versatile reactive microcontact printing

    NARCIS (Netherlands)

    Hsu, S.H.; Reinhoudt, David; Huskens, Jurriaan; Velders, Aldrik

    2008-01-01

    Imidazolide monolayers prepared from the reaction of amino SAMs with N,N-carbonyldiimidazole (CDI) are used as a versatile platform for surface patterning with amino-, carboxyl- and alcohol-containing compounds through reactive microcontact printing (µCP). To demonstrate the surface reactivity of

  2. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    Science.gov (United States)

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  3. Deep level transient spectroscopic investigation of phosphorus-doped silicon by self-assembled molecular monolayers.

    Science.gov (United States)

    Gao, Xuejiao; Guan, Bin; Mesli, Abdelmadjid; Chen, Kaixiang; Dan, Yaping

    2018-01-09

    It is known that self-assembled molecular monolayer doping technique has the advantages of forming ultra-shallow junctions and introducing minimal defects in semiconductors. In this paper, we report however the formation of carbon-related defects in the molecular monolayer-doped silicon as detected by deep-level transient spectroscopy and low-temperature Hall measurements. The molecular monolayer doping process is performed by modifying silicon substrate with phosphorus-containing molecules and annealing at high temperature. The subsequent rapid thermal annealing drives phosphorus dopants along with carbon contaminants into the silicon substrate, resulting in a dramatic decrease of sheet resistance for the intrinsic silicon substrate. Low-temperature Hall measurements and secondary ion mass spectrometry indicate that phosphorus is the only electrically active dopant after the molecular monolayer doping. However, during this process, at least 20% of the phosphorus dopants are electrically deactivated. The deep-level transient spectroscopy shows that carbon-related defects are responsible for such deactivation.

  4. Alternative function for the mitochondrial SAM complex in biogenesis of alpha-helical TOM proteins.

    Science.gov (United States)

    Stojanovski, Diana; Guiard, Bernard; Kozjak-Pavlovic, Vera; Pfanner, Nikolaus; Meisinger, Chris

    2007-12-03

    The mitochondrial outer membrane contains two preprotein translocases: the general translocase of outer membrane (TOM) and the beta-barrel-specific sorting and assembly machinery (SAM). TOM functions as the central entry gate for nuclear-encoded proteins. The channel-forming Tom40 is a beta-barrel protein, whereas all Tom receptors and small Tom proteins are membrane anchored by a transmembrane alpha-helical segment in their N- or C-terminal portion. Synthesis of Tom precursors takes place in the cytosol, and their import occurs via preexisting TOM complexes. The precursor of Tom40 is then transferred to SAM for membrane insertion and assembly. Unexpectedly, we find that the biogenesis of alpha-helical Tom proteins with a membrane anchor in the C-terminal portion is SAM dependent. Each SAM protein is necessary for efficient membrane integration of the receptor Tom22, whereas assembly of the small Tom proteins depends on Sam37. Thus, the substrate specificity of SAM is not restricted to beta-barrel proteins but also includes the majority of alpha-helical Tom proteins.

  5. Required Equipment for Photo-Switchable Donor-Acceptor (D-A) Dyad Interfacial Self-Assembled Monolayers for Organic Photovoltaic Cells

    Science.gov (United States)

    2014-01-24

    Interfacial Tuning via Electron-Blocking/Hole-Transport Layers and Indium Tin Oxide Surface Treatment in Bulk- Heterojunction Organic Photovoltaic Cells...devices Figure 3 shows the compounds we prepared to assemble on gold (Au) surfaces. Results of TPA-C60 dyads (1 and 2) self-assembled on Au electrodes...surface hydroxyl groups, respectively, we decided to prepare compounds 5-7 to attach as SAMs, see Figure 5. Difficulties and unexpected problems

  6. Ternary Surface Monolayers for Ultrasensitive (Zeptomole) Amperometric Detection of Nucleic-Acid Hybridization without Signal Amplification

    Science.gov (United States)

    Wu, Jie; Campuzano, Susana; Halford, Colin; Haake, David A.; Wang, Joseph

    2010-01-01

    A ternary surface monolayer, consisting of co-assembled thiolated capture probe (SHCP) mercaptohexanol (MCH) and dithiothreitol (DTT), is shown to offer dramatic improvements in the signal-to-noise characteristics of electrochemical DNA hybridization biosensors based on common self-assembled monolayers (SAMs). Remarkably low detection limits down to 40 zmole (in 4 μL samples) as well as only 1 CFU E. coli per sensor are thus obtained without any additional amplification step in connection to the commonly used horseradish peroxidase/3,3′,5,5′-tetramethylbenzidine (HRP/TMB) system. Such dramatic improvements in the detection limits (compared to common binary alkanethiol interfaces and to most electrochemical DNA sensing strategies without target or signal amplification) are attributed primarily to the remarkably higher resistance to non-specific adsorption. This reflects the highly compact layer (with lower pinhole density) produced by the coupling of the cyclic- and linear-configuration ‘backfillers’ that leads to a remarkably low background noise even in the presence of complex sample matrices. A wide range of surface compositions have been investigated and the ternary mixed monolayer has been systematically optimized. Detailed impedance spectroscopy and cyclic voltammetric studies shed useful insights into the surface coverage. The impressive sensitivity and high specificity of the simple developed methodology indicate great promise for a wide range of nucleic acid testing, including clinical diagnostics, biothreat detection, food safety and forensic analysis. PMID:20883023

  7. Surface-directed molecular assembly of pentacene on monolayer graphene for high-performance organic transistors.

    Science.gov (United States)

    Lee, Wi Hyoung; Park, Jaesung; Sim, Sung Hyun; Lim, Soojin; Kim, Kwang S; Hong, Byung Hee; Cho, Kilwon

    2011-03-30

    Organic electronic devices that use graphene electrodes have received considerable attention because graphene is regarded as an ideal candidate electrode material. Transfer and lithographic processes during fabrication of patterned graphene electrodes typically leave polymer residues on the graphene surfaces. However, the impact of these residues on the organic semiconductor growth mechanism on graphene surface has not been reported yet. Here, we demonstrate that polymer residues remaining on graphene surfaces induce a stand-up orientation of pentacene, thereby controlling pentacene growth such that the molecular assembly is optimal for charge transport. Thus, pentacene field-effect transistors (FETs) using source/drain monolayer graphene electrodes with polymer residues show a high field-effect mobility of 1.2 cm(2)/V s. In contrast, epitaxial growth of pentacene having molecular assembly of lying-down structure is facilitated by π-π interaction between pentacene and the clean graphene electrode without polymer residues, which adversely affects lateral charge transport at the interface between electrode and channel. Our studies provide that the obtained high field-effect mobility in pentacene FETs using monolayer graphene electrodes arises from the extrinsic effects of polymer residues as well as the intrinsic characteristics of the highly conductive, ultrathin two-dimensional monolayer graphene electrodes.

  8. Dynamics of decanethiol self-assembled monolayers on Au(111) studied by Scanning tunnelling microscopy

    NARCIS (Netherlands)

    Wu, Hairong; Sotthewes, Kai; Kumar, Avijit; Vancso, Gyula J.; Schön, Peter Manfred; Zandvliet, Henricus J.W.

    2013-01-01

    We investigated the dynamics of decanethiol self-assembled monolayers on Au(111) surfaces using time-resolved scanning tunneling microscopy at room temperature. The expected ordered phases (β, δ, χ*, and ) and a disordered phase (ε) were observed. Current–time traces with the feedback loop disabled

  9. Orientational analysis of dodecanethiol and p-nitrothiophenol SAMs on metals with polarisation-dependent SFG spectroscopy.

    Science.gov (United States)

    Cecchet, Francesca; Lis, Dan; Guthmuller, Julien; Champagne, Benoît; Caudano, Yves; Silien, Christophe; Mani, Alaa Addin; Thiry, Paul A; Peremans, André

    2010-02-22

    Polarisation-dependent sum frequency generation (SFG) spectroscopy is used to investigate the orientation of molecules on metallic surfaces. In particular, self-assembled monolayers (SAMs) of dodecanethiol (DDT) and of p-nitrothiophenol (p-NTP), grown on Pt and on Au, have been chosen as models to highlight the ability of combining ppp and ssp polarisations sets (representing the polarisation of the involved beams in the conventional order of SFG, Vis and IR beam) to infer orientational information at metallic interfaces. Indeed, using only the ppp set of data, as it is usually done for metallic surfaces, is not sufficient to determine the full molecular orientation. We show here that simply combining ppp and ssp polarisations enables both the tilt and rotation angles of methyl groups in DDT SAMs to be determined. Moreover, for p-NTP, while the SFG active vibrations detected with the ppp polarisation alone provide no orientational information, however, the combination with ssp spectra enables to retrieve the tilt angle of the p-NTP 1,4 axis. Though orientational information obtained by polarisation-dependent measurements has been extensively used at insulating interfaces, we report here their first application to metallic surfaces.

  10. Orientational Analysis of Dodecanethiol and P-Nitrothiophenol SAMs on Metals with Polarisation - dependent SFG spectroscopy

    International Nuclear Information System (INIS)

    Manea, A.

    2011-01-01

    Polarisation-dependent sum frequency generation (SFG) spectroscopy is used to investigate the orientation of molecules on metallic surfaces. In particular, self-assembled monolayers (SAMs) of dodecanethiol (DDT) and of p-nitro thiophenol (p-NTP), grown on Pt and on Au, have been chosen as models to highlight the ability of combining ppp and ssp polarizations sets (representing the polarisation of the involved beams in the conventional order of SFG, Vis and IR beam) to infer orientational information at metallic interfaces. Indeed, using only the ppp set of data, as it is usually done for metallic surfaces, is not sufficient to determine the full molecular orientation. We show here that simply combining ppp and ssp polarizations enables both the tilt and rotation angles of methyl groups in DDT SAMs to be determined. Moreover, for p-NTP, while the SFG active vibrations detected with the ppp polarisation alone provide no orientational information, however, the combination with ssp spectra enables to retrieve the tilt angle of the p-NTP 1,4 axis. Though orientational information obtained by polarisation-dependent measurements has been extensively used at insulating interfaces, we report here their first application to metallic surfaces. (author)

  11. Direct electrochemistry and electrocatalysis of hemoglobin at three-dimensional gold film electrode modified with self-assembled monolayers of 3-mercaptopropylphosphonic acid

    International Nuclear Information System (INIS)

    Chen Yu; Yang Xiaojing; Guo Lirong; Li Jing; Xia Xinghua; Zheng Limin

    2009-01-01

    Multilayered hemoglobin (Hb) molecules were successfully immobilized on three-dimensional gold film electrode modified with self-assembled monolayers (SAMs) of 3-mercaptopropylphosphonic acid. Direct electrochemistry of the immobilized multilayered Hb occurs with high thermal stability and electrochemical stability. In the multilayered Hb film, the most inner Hb molecules can directly transfer electron with the electrode, while the Hb protein beyond this layer communicates electron with the electrode via protein-protein electron exchange. In addition, the proposed functional interface can greatly enhance electron transfer rate of the immobilized Hb protein (k s = 15.8 ± 2.0 s -1 ) due to the increase of roughness of the gold substrate. Under optimized experimental conditions, the multilayered Hb film displays good bioelectrocatalytic activity toward the reduction of hydrogen peroxide. This electrochemical sensor shows fast response (less than 1 s), wide linear range (7.8 x 10 -8 to 9.1 x 10 -5 M) and low detection limit (2.5 x 10 -8 M), which can be attributed to good mass transport, large Hb proteins loading per unit area and fast electron transfer rate of Hb protein.

  12. CBrowse: a SAM/BAM-based contig browser for transcriptome assembly visualization and analysis.

    Science.gov (United States)

    Li, Pei; Ji, Guoli; Dong, Min; Schmidt, Emily; Lenox, Douglas; Chen, Liangliang; Liu, Qi; Liu, Lin; Zhang, Jie; Liang, Chun

    2012-09-15

    To address the impending need for exploring rapidly increased transcriptomics data generated for non-model organisms, we developed CBrowse, an AJAX-based web browser for visualizing and analyzing transcriptome assemblies and contigs. Designed in a standard three-tier architecture with a data pre-processing pipeline, CBrowse is essentially a Rich Internet Application that offers many seamlessly integrated web interfaces and allows users to navigate, sort, filter, search and visualize data smoothly. The pre-processing pipeline takes the contig sequence file in FASTA format and its relevant SAM/BAM file as the input; detects putative polymorphisms, simple sequence repeats and sequencing errors in contigs and generates image, JSON and database-compatible CSV text files that are directly utilized by different web interfaces. CBowse is a generic visualization and analysis tool that facilitates close examination of assembly quality, genetic polymorphisms, sequence repeats and/or sequencing errors in transcriptome sequencing projects. CBrowse is distributed under the GNU General Public License, available at http://bioinfolab.muohio.edu/CBrowse/ liangc@muohio.edu or liangc.mu@gmail.com; glji@xmu.edu.cn Supplementary data are available at Bioinformatics online.

  13. Studies on the effect of solvents on self-assembly of thioctic acid and Mercaptohexanol on gold

    Energy Technology Data Exchange (ETDEWEB)

    Li Zhiguo; Niu Tianxing [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry of China and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Zhang Zhenjiang [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry of China and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou 215006 (China); Feng Guiying [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry of China and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Bi Shuping, E-mail: bisp@nju.edu.c [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry of China and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China)

    2011-04-29

    In this article we investigated the effect of solvents (CCl{sub 4}, CH{sub 3}CN, DMF, ethanol, ethanol-H{sub 2}O and H{sub 2}O) on self-assembly of Thioctic acid (TA) and Mercaptohexanol (MCH) on gold by cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS). Electrochemical characteristics of TA and MCH self-assembled monolayers (SAMs) formed in different solvents were evaluated by inspecting the ions permeability (interfacial capacitance C and phase angle {phi}{sub 1Hz}) and electron transfer capability (current density difference {Delta}i and charge transfer resistance R{sub ct}). Experimental results indicated that the ability of solvents availing the ordering of SAMs was: for TA, CCl{sub 4} > ethanol > CH{sub 3}CN > ethanol-H{sub 2}O > DMF; for MCH, H{sub 2}O > ethanol-H{sub 2}O {approx} CCl{sub 4} > ethanol {approx} CH{sub 3}CN > DMF. Through relating the C, {phi}{sub 1Hz}, {Delta}i and R{sub ct} of SAMs (TA and MCH) with parameters of solvent (polarity E{sub T}{sup N}, solubility parameter {delta} and octanol/water partition coefficients logP{sub ow}), it was found that solvents with bigger logP{sub ow} (smaller E{sub T}{sup N} and {delta}) availed the ordering of TA-SAMs but the effect of solvents on MCH self-assembly was complex and MCH-SAMs formed in H{sub 2}O (the biggest E{sub T}{sup N}, {delta} and the smallest logP{sub ow}) and CCl{sub 4} (the smallest E{sub T}{sup N}, {delta} and the biggest logP{sub ow}) were more ordered than in other solvents.

  14. Dynamic monolayer gradients: Active spatiotemporal control of alkanethiol coatings on thin gold films

    Energy Technology Data Exchange (ETDEWEB)

    Terrill, R.H.; Balss, K.M.; Zhang, Y.; Bohn, P.W.

    2000-02-09

    The chemical modification of coinage metal surfaces with {omega}-functionalized alkanethiols has proven to be a popular system for studies of wetting, adhesion, chemical affinity, and electron transfer. Varying the composition of binary hydrophilic-hydrophobic self-assembled monolayers (SAMs) can vary the wetting properties of a surface in a continuous manner, a fact that has been exploited to distribute surface-active molecules inhomogeneously under mass-transport control. One goal of these experiments is to create surfaces which permit supermolecular objects to be manipulated under external control, as recently demonstrated at air-liquid interfaces. The authors report chemical potential distributions of alkanethiols, which can be manipulated in both space and time under active electrochemical control.

  15. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L.S.; Kenny, M.J.; Wieczorek, L. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1993-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  16. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L S; Kenny, M J; Wieczorek, L [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1994-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  17. Stress relaxation in quasi-two-dimensional self-assembled nanoparticle monolayers

    Science.gov (United States)

    Boucheron, Leandra S.; Stanley, Jacob T.; Dai, Yeling; You, Siheng Sean; Parzyck, Christopher T.; Narayanan, Suresh; Sandy, Alec R.; Jiang, Zhang; Meron, Mati; Lin, Binhua; Shpyrko, Oleg G.

    2018-05-01

    We experimentally probed the stress relaxation of a monolayer of iron oxide nanoparticles at the water-air interface. Upon drop-casting onto a water surface, the nanoparticles self-assembled into islands of two-dimensional hexagonally close packed crystalline domains surrounded by large voids. When compressed laterally, the voids gradually disappeared as the surface pressure increased. After the compression was stopped, the surface pressure (as measured by a Wilhelmy plate) evolved as a function of the film aging time with three distinct timescales. These aging dynamics were intrinsic to the stressed state built up during the non-equilibrium compression of the film. Utilizing x-ray photon correlation spectroscopy, we measured the characteristic relaxation time (τ ) of in-plane nanoparticle motion as a function of the aging time through both second-order and two-time autocorrelation analysis. Compressed and stretched exponential fitting of the intermediate scattering function yielded exponents (β ) indicating different relaxation mechanisms of the films under different compression stresses. For a monolayer compressed to a lower surface pressure (between 20 mN/m and 30 mN/m), the relaxation time (τ ) decreased continuously as a function of the aging time, as did the fitted exponent, which transitioned from being compressed (>1 ) to stretched (stress release through crystalline domain reorganization. However, for a monolayer compressed to a higher surface pressure (around 40 mN/m), the relaxation time increased continuously and the compressed exponent varied very little from a value of 1.6, suggesting that the system may have been highly stressed and jammed. Despite the interesting stress relaxation signatures seen in these samples, the structural ordering of the monolayer remained the same over the sample lifetime, as revealed by grazing incidence x-ray diffraction.

  18. Manipulation of charge carrier injection into organic field-effect transistors by self-assembled monolayers of alkanethiols

    NARCIS (Netherlands)

    Asadi, Kamal; Gholamrezaie, Fatemeh; Smits, Edsger C. P.; Blom, Paul W. M.; de Boer, Bert

    2007-01-01

    Charge carrier injection into two semiconducting polymers is investigated in field-effect transistors using gold source and drain electrodes that are modified by self-assembled monolayers of alkanethiols and perfluorinated alkanethiols. The presence of an interfacial dipole associated with the

  19. Replacing -CH2CH2- with -CONH- does not significantly change rates of charge transport through Ag(TS)-SAM//Ga2O3/EGaIn junctions.

    Science.gov (United States)

    Thuo, Martin M; Reus, William F; Simeone, Felice C; Kim, Choongik; Schulz, Michael D; Yoon, Hyo Jae; Whitesides, George M

    2012-07-04

    This paper describes physical-organic studies of charge transport by tunneling through self-assembled monolayers (SAMs), based on systematic variations of the structure of the molecules constituting the SAM. Replacing a -CH(2)CH(2)- group with a -CONH- group changes the dipole moment and polarizability of a portion of the molecule and has, in principle, the potential to change the rate of charge transport through the SAM. In practice, this substitution produces no significant change in the rate of charge transport across junctions of the structure Ag(TS)-S(CH(2))(m)X(CH(2))(n)H//Ga(2)O(3)/EGaIn (TS = template stripped, X = -CH(2)CH(2)- or -CONH-, and EGaIn = eutectic alloy of gallium and indium). Incorporation of the amide group does, however, increase the yields of working (non-shorting) junctions (when compared to n-alkanethiolates of the same length). These results suggest that synthetic schemes that combine a thiol group on one end of a molecule with a group, R, to be tested, on the other (e.g., HS~CONH~R) using an amide-based coupling provide practical routes to molecules useful in studies of molecular electronics.

  20. Understanding the role of thiol and disulfide self-assembled DNA receptor monolayers for biosensing applications.

    Science.gov (United States)

    Carrascosa, Laura G; Martínez, Lidia; Huttel, Yves; Román, Elisa; Lechuga, Laura M

    2010-09-01

    A detailed study of the immobilization of three differently sulfur-modified DNA receptors for biosensing applications is presented. The three receptors are DNA-(CH)n-SH-, DNA-(CH)n-SS-(CH)n-DNA, and DNA-(CH)n-SS-DMTO. Nanomechanical and surface plasmon resonance biosensors and fluorescence and radiolabelling techniques were used for the experimental evaluation. The results highlight the critical role of sulfur linker type in DNA self-assembly, affecting the kinetic adsorption and spatial distribution of DNA chains within the monolayer and the extent of chemisorption and physisorption. A spacer (mercaptohexanol, MCH) is used to evaluate the relative efficiencies of chemisorption of the three receptors by analysing the extent to which MCH can remove physisorbed molecules from each type of monolayer. It is demonstrated that -SH derivatization is the most suitable for biosensing purposes as it results in densely packed monolayers with the lowest ratio of physisorbed probes.

  1. Diamondoid monolayers as electron emitters

    Science.gov (United States)

    Yang, Wanli [El Cerrito, CA; Fabbri, Jason D [San Francisco, CA; Melosh, Nicholas A [Menlo Park, CA; Hussain, Zahid [Orinda, CA; Shen, Zhi-Xun [Stanford, CA

    2012-04-10

    Provided are electron emitters based upon diamondoid monolayers, preferably self-assembled higher diamondoid monolayers. High intensity electron emission has been demonstrated employing such diamondoid monolayers, particularly when the monolayers are comprised of higher diamondoids. The application of such diamondoid monolayers can alter the band structure of substrates, as well as emit monochromatic electrons, and the high intensity electron emissions can also greatly improve the efficiency of field-effect electron emitters as applied to industrial and commercial applications.

  2. Linear and Star Poly(ionic liquid) Assemblies: Surface Monolayers and Multilayers.

    Science.gov (United States)

    Erwin, Andrew J; Xu, Weinan; He, Hongkun; Matyjaszewski, Krzysztof; Tsukruk, Vladimir V

    2017-04-04

    The surface morphology and organization of poly(ionic liquid)s (PILs), poly[1-(4-vinylbenzyl)-3-butylimidazolium bis(trifluoromethylsulfonyl)imide] are explored in conjunction with their molecular architecture, adsorption conditions, and postassembly treatments. The formation of stable PIL Langmuir and Langmuir-Blodgett (LB) monolayers at the air-water and air-solid interfaces is demonstrated. The hydrophobic bis(trifluoromethylsulfonyl)imide (Tf 2 N - ) is shown to be a critical agent governing the assembly morphology, as observed in the reversible condensation of LB monolayers into dense nanodroplets. The PIL is then incorporated as an unconventional polyelectrolyte component in the layer-by-layer (LbL) films of hydrophobic character. We demonstrate that the interplay of capillary forces, macromolecular mobility, and structural relaxation of the polymer chains influence the dewetting mechanisms in the PIL multilayers, thereby enabling access to a diverse set of highly textured, porous, and interconnected network morphologies for PIL LbL films that would otherwise be absent in conventional LbL films. Their compartmentalized internal structure is relevant to molecular separation membranes, ultrathin hydrophobic coatings, targeted cargo delivery, and highly conductive films.

  3. A study of the inhibiton of copper corrosion by triethyl phosphate and triphenyl phosphate self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    HOUYI MA

    2006-02-01

    Full Text Available Two kinds of phosphates, triethyl phosphate (TEP and triphenyl phosphate (TPP, were used to form self-assembled monolayers for the inhibition of the corrosion of copper in 0.2 mol dm–3 NaCl solution. Electrochemical impedance spectroscopy (EIS was applied to investigate the inhibition effects. The results showed that their inhibition ability first increased with increasing immersion time in ethanolic solutions of the corresponding compounds. However, when the immersion time was increased over some critical point, the inhibition effect decreased. For the same immersion time, the inhibition effect of the TPP monolayer was more pronounced than that of the TEP monolayer. Thus, ab initio calculations were used to interpret the relationship between the inhibition effects and the structures of the compounds.

  4. Ordered self-assembled monolayers terminated with different chemical functional groups direct neural stem cell linage behaviours

    International Nuclear Information System (INIS)

    Yao, Shenglian; Liu, Xi; He, Jin; Wang, Xiumei; Wang, Ying; Cui, Fu-Zhai

    2016-01-01

    Neural stem cells (NSCs) have been a promising candidate for stem cell-based nerve tissue regeneration. Therefore, the design of idea biomaterials that deliver precise regulatory signals to control stem cell fate is currently a crucial issue that depends on a profound understanding of the interactions between NSCs with the surrounding micro-environment. In this work, self-assembled monolayers of alkanethiols on gold with different chemical groups, including hydroxyl (−OH), amino (−NH 2 ), carboxyl (−COOH) and methyl (−CH 3 ), were used as a simple model to study the effects of surface chemistry on NSC fate decisions. Contact angle measurement and x-ray photoelectron spectroscopy (XPS) examination implied that all types of alkanethiols self-assembled on gold into a close-packed phase structure with similar molecular densities. In this study, we evaluated NSC adhesion, migration and differentiation in response to different chemical functional groups cultured under serum-free conditions. Our studies showed that NSCs exhibited certain phenotypes with extreme sensitivity to surface chemical groups. Compared with other functional groups, the SAMs with hydroxyl end-groups provided the best micro-environment in promoting NSC migration and maintaining an undifferentiated or neuronal differentiation state.  −NH 2 surfaces directed neural stem cells into astrocytic lineages, while NSCs on  −COOH and  −CH 3 surfaces had a similar potency to differentiate into three nerve lineages. To further investigate the possible signaling pathway, the gene expression of integrin β1 and β4 were examined. The results indicated that a high expression of β1 integrin would probably have a tight correlation with the expression of nestin, which implied the stemness of NSCs, while β4 integrin seemed to correspond to the differentiated NSCs. The results presented here give useful information for the future design of biomaterials to regulate the preservation

  5. Ultrasensitive electrochemical biosensor based on the oligonucleotide self-assembled monolayer-mediated immunosensing interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Dengyou; Luo, Qimei [Science College of Hunan Agricultural University, Changsha 410128 (China); Deng, Fawen [The Fourth Hospital of Chansha, Changsha 410006 (China); Li, Zhen [Science College of Hunan Agricultural University, Changsha 410128 (China); Li, Benxiang, E-mail: 172170960@qq.com [Science College of Hunan Agricultural University, Changsha 410128 (China); Shen, Zhifa, E-mail: shenzhifa@wmu.edu.cn [Key Laboratory of Laboratory Medicine, Ministry of Education, Zhejiang Provincial Key Laboratory of Medical Genetics, College of Laboratory Medicine and Life Sciences, Wenzhou Medical University, Wenzhou 325035 (China)

    2017-06-08

    Highly sensitive and selective quantitation of a variety of proteins over a wide concentration range is highly desirable for increased accuracy of biomarker detection or for multidisease diagnostics. In the present contribution, using human immunoglobulin G (HIgG) as the model target protein, an electrochemical ultrasensitive immunosensing platform was developed based on the oligonucleotide self-assembled monolayer-mediated (OSAM) sensing interface. For this immunosensor, the “signal-on” signaling mechanism and enzymatic signal amplification effect were integrated into one sensing architecture. Moreover, the thiolated flexible single-stranded DNAs immobilized onto gold electrode surface not only performs the wobbling motion to facilitate the electron transfer between the electrode surface and biosensing layer but also fundamentally prohibiting the direct interaction of proteins with gold substrate. Thus, the electrochemical signal could be efficiently enhanced and the unspecific adsorption or cross-reaction might be eliminated. As a result, utilizing the newly-proposed immunosensor, the HIgG can be detected down to 0.5 ng/mL, and the high detection specificity is offered. The successful design of OSAM and the highly desirable detection capability of new immunosensor are expected to provide a perspective for fabricating new robust immunosensing platform and for promising potential of oligonucleotide probe in biological research and biomedical diagnosis. - Highlights: • An electrochemical ultrasensitive immunosensing platform was developed based on the oligonucleotide self-assembled monolayer (OASM). • OASM severs as a flexible monolayer to promote electron transfer and prohibits the direct interaction of proteins with gold substrate. • The electrochemical signal is efficiently enhanced and the unspecific adsorption or cross-reaction is eliminated. • Target protein can be detected down to 0.5 ng/mL, and the high detection specificity can be obtained.

  6. MURI Center for Materials Chemistry in the Space Environment

    Science.gov (United States)

    2006-11-30

    ionic species in relevant reaction environments, surface photochemistry expertise, synchrotron-based measurement and irradiation, synthesis of structural...and Ne+ ions with dodecanethiolate and semifluorinated dodecanethiolate self-assembled monolayers (SAM), polyhedral oligomeric silsesquioxane (POSS...POSS/Kapton models as gas phase species, and with alkane thiol self assembled monolayers on gold surfaces, and with liquid squalane. We have also

  7. Self-assembled monolayers of 1-alkenes on oxidized platinum surfaces as platforms for immobilized enzymes for biosensing

    International Nuclear Information System (INIS)

    Alonso, Jose Maria; Bielen, Abraham A.M.; Olthuis, Wouter; Kengen, Servé W.M.; Zuilhof, Han; Franssen, Maurice C.R.

    2016-01-01

    Highlights: • Three different oxidases are covalently attached to alkene based SAMs on PtOx. • Attached enzymes remain active and their activity is assessed by chronoamperometry. • Functionalized PtOx allows electron mediator free chronoamperometry measurements. • The thus formed enzyme electrodes are useful as biosensors for glucose and lactate. • Immobilization of human HAOX foresees in vivo lactate monitoring in humans. - Abstract: Alkene-based self-assembled monolayers grafted on oxidized Pt surfaces were used as a scaffold to covalently immobilize oxidase enzymes, with the aim to develop an amperometric biosensor platform. NH_2-terminated organic layers were functionalized with either aldehyde (CHO) or N-hydroxysuccinimide (NHS) ester-derived groups, to provide anchoring points for enzyme immobilization. The functionalized Pt surfaces were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (CA), infrared reflection absorption spectroscopy (IRRAS) and atomic force microscopy (AFM). Glucose oxidase (GOX) was covalently attached to the functionalized Pt electrodes, either with or without additional glutaraldehyde crosslinking. The responses of the acquired sensors to glucose concentrations ranging from 0.5 to 100 mM were monitored by chronoamperometry. Furthermore, lactate oxidase (LOX) and human hydroxyacid oxidase (HAOX) were successfully immobilized onto the PtOx surface platform. The performance of the resulting lactate sensors was investigated for lactate concentrations ranging from 0.05 to 20 mM. The successful attachment of active enzymes (GOX, LOX and HAOX) on Pt electrodes demonstrates that covalently functionalized PtOx surfaces provide a universal platform for the development of oxidase enzyme-based sensors.

  8. Self-assembled monolayers of 1-alkenes on oxidized platinum surfaces as platforms for immobilized enzymes for biosensing

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, Jose Maria; Bielen, Abraham A.M. [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands); Olthuis, Wouter [BIOS Lab on a Chip Group, MESA+ and MIRA Institutes, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kengen, Servé W.M. [Laboratory of Microbiology, Wageningen University, 6703HB Wageningen (Netherlands); Zuilhof, Han, E-mail: han.zuilhof@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands); Department of Chemical and Materials Engineering, King Abdulaziz University, Jeddah 22254 (Saudi Arabia); Franssen, Maurice C.R., E-mail: maurice.franssen@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands)

    2016-10-15

    Highlights: • Three different oxidases are covalently attached to alkene based SAMs on PtOx. • Attached enzymes remain active and their activity is assessed by chronoamperometry. • Functionalized PtOx allows electron mediator free chronoamperometry measurements. • The thus formed enzyme electrodes are useful as biosensors for glucose and lactate. • Immobilization of human HAOX foresees in vivo lactate monitoring in humans. - Abstract: Alkene-based self-assembled monolayers grafted on oxidized Pt surfaces were used as a scaffold to covalently immobilize oxidase enzymes, with the aim to develop an amperometric biosensor platform. NH{sub 2}-terminated organic layers were functionalized with either aldehyde (CHO) or N-hydroxysuccinimide (NHS) ester-derived groups, to provide anchoring points for enzyme immobilization. The functionalized Pt surfaces were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (CA), infrared reflection absorption spectroscopy (IRRAS) and atomic force microscopy (AFM). Glucose oxidase (GOX) was covalently attached to the functionalized Pt electrodes, either with or without additional glutaraldehyde crosslinking. The responses of the acquired sensors to glucose concentrations ranging from 0.5 to 100 mM were monitored by chronoamperometry. Furthermore, lactate oxidase (LOX) and human hydroxyacid oxidase (HAOX) were successfully immobilized onto the PtOx surface platform. The performance of the resulting lactate sensors was investigated for lactate concentrations ranging from 0.05 to 20 mM. The successful attachment of active enzymes (GOX, LOX and HAOX) on Pt electrodes demonstrates that covalently functionalized PtOx surfaces provide a universal platform for the development of oxidase enzyme-based sensors.

  9. AFM investigation of effect of absorbed water layer structure on growth mechanism of octadecyltrichlorosilane self-assembled monolayer on oxidized silicon

    International Nuclear Information System (INIS)

    Li, Shaowei; Zheng, Yanjun; Chen, Changfeng

    2016-01-01

    The growth mechanism of an octadecyltrichlorosilane (OTS) self-assembled monolayer on a silicon oxide surface at various relative humidities has been investigated. Atomic force microscopy images show that excess water may actually hinder the nucleation and growth of OTS islands. A moderate amount of water is favorable for the nucleation and growth of OTS islands in the initial stage; however, the completion of the monolayer is very slow in the final stage. The growth of OTS islands on a low-water-content surface maintains a relatively constant speed and requires the least amount of time. The mobility of water molecules is thought to play an important role in the OTS monolayers, and a low-mobility water layer provides a steady condition for OTS monolayer growth.

  10. On the stability of silicon field effect capacitors with phosphate buffered saline electrolytic gate and self assembled monolayer gate insulator

    International Nuclear Information System (INIS)

    Hemed, Nofar Mintz; Inberg, Alexandra; Shacham-Diamand, Yosi

    2013-01-01

    We herein report on the stability of Electrolyte/Insulator/Semiconductor (EIS) devices with Self-Assembled Monolayer (SAM) gate insulator layers, i.e. Electrolyte/SAM/Semiconductor (ESS) devices. ESS devices can be functionalized creating highly specific sensors that can be integrated on standard silicon platform. However, biosensors by their nature are in contact with biological solutions that contain ions and molecules that may affect the device characteristics and cause electrical instability. In this paper we present a list of potential hazards to ESS devices and a study of the device stability under common testing conditions analyzing possible causes for the instabilities. ESS capacitors under open circuit conditions (i.e. open circuit bias of ∼0.6 V vs. Ag/AgCl reference electrode) were periodically characterized. We measured the complex impedance of the capacitors versus bias and extracted the effective capacitance vs. voltage (C–V) curves using two methods. We observed a parallel shift of the C–V curves toward negative bias; showing an effective accumulation of positive charge. The quantitative analysis of the drift vs. time was found to depend on the effective capacitance evaluation method. This effect is discussed and a best-known method is proposed. The devices surface composition was tested before and after the stress experiment by X-ray Photoelectron Spectroscopy (XPS) and sodium accumulation was observed. To further explore the flat-band voltage drift effect and to challenge the assumption that alkali ions are involved in the drift we conceived a novel alkali-free phosphate buffer saline (AF-PBS) where the sodium and potassium ions are replaced by ammonium ion and tested the capacitor under similar conditions to standard PBS. We found that the drift of the AF-PBS solution was much less at the first hour but was similar to that of the conventional PBS for longer stress times; hence, AF-PBS does not solve the long-term instability problem

  11. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    Energy Technology Data Exchange (ETDEWEB)

    Can, Mustafa [Izmir Katip Celebi University, Faculty of Engineering, Department of Engineering Sciences, Çiğli, Izmir (Turkey); Havare, Ali Kemal [Toros University, Faculty of Engineering, Electric and Electronic Department, Mersin (Turkey); Aydın, Hasan; Yagmurcukardes, Nesli [Izmir Institute of Technology, Material Science and Engineering, Izmir (Turkey); Demic, Serafettin [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey); Icli, Sıddık [Ege University, Solar Energy Institute, Izmir (Turkey); Okur, Salih, E-mail: salih.okur@ikc.edu.tr [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey)

    2014-09-30

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  12. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    International Nuclear Information System (INIS)

    Can, Mustafa; Havare, Ali Kemal; Aydın, Hasan; Yagmurcukardes, Nesli; Demic, Serafettin; Icli, Sıddık; Okur, Salih

    2014-01-01

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  13. Optical imaging beyond the diffraction limit by SNEM: effects of AFM tip modifications with thiol monolayers on imaging quality.

    Science.gov (United States)

    Cumurcu, Aysegul; Diaz, Jordi; Lindsay, Ian D; de Beer, Sissi; Duvigneau, Joost; Schön, Peter; Julius Vancso, G

    2015-03-01

    Tip-enhanced nanoscale optical imaging techniques such as apertureless scanning near-field optical microscopy (a-SNOM) and scanning near-field ellipsometric microscopy (SNEM) applications can suffer from a steady degradation in performance due to adhesion of atmospheric contaminants to the metal coated tip. Here, we demonstrate that a self-assembled monolayer (SAM) of ethanethiol (EtSH) is an effective means of protecting gold-coated atomic force microscopy (AFM) probe tips from accumulation of surface contaminants during prolonged exposure to ambient air. The period over which they yield consistent and reproducible results for scanning near-field ellipsometric microscopy (SNEM) imaging is thus extended. SNEM optical images of a microphase separated polystyrene-block-poly (methylmethacrylate) (PS-b-PMMA) diblock copolymer film, which were captured with bare and SAM-protected gold-coated AFM probes, both immediately after coating and following five days of storage in ambient air, were compared. During this period the intensity of the optical signals from the untreated gold tip fell by 66%, while those from the SAM protected tip fell by 14%. Additionally, gold coated AFM probe tips were modified with various lengths of alkanethiols to measure the change in intensity variation in the optical images with SAM layer thickness. The experimental results were compared to point dipole model calculations. While a SAM of 1-dodecanethiol (DoSH) was found to strongly suppress field enhancement we find that it can be locally removed from the tip apex by deforming the molecules under load, restoring SNEM image contrast. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Optical imaging beyond the diffraction limit by SNEM: Effects of AFM tip modifications with thiol monolayers on imaging quality

    Energy Technology Data Exchange (ETDEWEB)

    Cumurcu, Aysegul [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); Dutch Polymer Institute (DPI), P.O. Box 902, 5600 AX, Eindhoven (Netherlands); Diaz, Jordi [Scientific and Technological Centers of the University of Barcelona, C/ Lluís Solé i Sabaris, 1-3, 08028 Barcelona (Spain); Lindsay, Ian D. [Nanophysics and Soft Matter Group, H.H. Wills Physics Laboratory, University of Bristol, Tyndall Avenue, Bristol BS8 1TL (United Kingdom); Beer, Sissi de; Duvigneau, Joost [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); Schön, Peter [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); NanoBioInterface, Research Center Design and Technology, Saxion University of Applied Sciences, 7500 KB Enschede (Netherlands); Julius Vancso, G., E-mail: g.j.vancso@utwente.nl [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands)

    2015-03-15

    Tip-enhanced nanoscale optical imaging techniques such as apertureless scanning near-field optical microscopy (a-SNOM) and scanning near-field ellipsometric microscopy (SNEM) applications can suffer from a steady degradation in performance due to adhesion of atmospheric contaminants to the metal coated tip. Here, we demonstrate that a self-assembled monolayer (SAM) of ethanethiol (EtSH) is an effective means of protecting gold-coated atomic force microscopy (AFM) probe tips from accumulation of surface contaminants during prolonged exposure to ambient air. The period over which they yield consistent and reproducible results for scanning near-field ellipsometric microscopy (SNEM) imaging is thus extended. SNEM optical images of a microphase separated polystyrene-block-poly (methylmethacrylate) (PS-b-PMMA) diblock copolymer film, which were captured with bare and SAM-protected gold-coated AFM probes, both immediately after coating and following five days of storage in ambient air, were compared. During this period the intensity of the optical signals from the untreated gold tip fell by 66%, while those from the SAM protected tip fell by 14%. Additionally, gold coated AFM probe tips were modified with various lengths of alkanethiols to measure the change in intensity variation in the optical images with SAM layer thickness. The experimental results were compared to point dipole model calculations. While a SAM of 1-dodecanethiol (DoSH) was found to strongly suppress field enhancement we find that it can be locally removed from the tip apex by deforming the molecules under load, restoring SNEM image contrast. - Highlights: • SAM of ethanethiol is used to prevent contamination of gold coated tips. • Functionalizing gold coated tips with a SAM lead to reproducible SNEM imaging. • Point dipole model agreed with the experimental results of the SNEM images. • SAM of 1-dodecanethiol was found to strongly suppress field enhancement in SNEM. • SAM of 1-dodecanethiol

  15. High-Performance n-Channel Organic Transistors Using High-Molecular-Weight Electron-Deficient Copolymers and Amine-Tailed Self-Assembled Monolayers.

    Science.gov (United States)

    Wang, Yang; Hasegawa, Tsukasa; Matsumoto, Hidetoshi; Mori, Takehiko; Michinobu, Tsuyoshi

    2018-03-01

    While high-performance p-type semiconducting polymers are widely reported, their n-type counterparts are still rare in terms of quantity and quality. Here, an improved Stille polymerization protocol using chlorobenzene as the solvent and palladium(0)/copper(I) as the catalyst is developed to synthesize high-quality n-type polymers with number-average molecular weight up to 10 5 g mol -1 . Furthermore, by sp 2 -nitrogen atoms (sp 2 -N) substitution, three new n-type polymers, namely, pBTTz, pPPT, and pSNT, are synthesized, and the effect of different sp 2 -N substitution positions on the device performances is studied for the first time. It is found that the incorporation of sp 2 -N into the acceptor units rather than the donor units results in superior crystalline microstructures and higher electron mobilities. Furthermore, an amine-tailed self-assembled monolayer (SAM) is smoothly formed on a Si/SiO 2 substrate by a simple spin-coating technique, which can facilitate the accumulation of electrons and lead to more perfect unipolar n-type transistor performances. Therefore, a remarkably high unipolar electron mobility up to 5.35 cm 2 V -1 s -1 with a low threshold voltage (≈1 V) and high on/off current ratio of ≈10 7 is demonstrated for the pSNT-based devices, which are among the highest values for unipolar n-type semiconducting polymers. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Faradaic impedance titration and control of electron transfer of 1-(12-mercaptododecyl)imidazole monolayer on a gold electrode

    International Nuclear Information System (INIS)

    Hwang, Seongpil; Lee, Bang Sook; Chi, Young Shik; Kwak, Juhyoun; Choi, Insung S.; Lee, Sang-gi

    2008-01-01

    In this work, we studied interfacial proton transfer of the self-assembled monolayer (SAM) of 1-(12-mercaptododecyl)imidazole on a gold electrode by faradaic impedance titration method with Fe(CN) 6 3- as an anionic redox probe molecule. The surface pK 1/2 was found to be 7.3, which was nearly the same as that of 1-alkylimidazole in solution. We also investigated the electrochemical properties of the SAM-modified electrode by cyclic voltammetry. Cyclic voltammetry was performed (1) in the solution containing Fe(CN) 6 3- with repeated alternation of pH values to investigate the electrostatic interaction of the protonated or deprotonated imidazole with Fe(CN) 6 3- and (2) in the acidic or basic electrolyte containing Ru(NH 3 ) 6 3+ as a cationic redox probe to verify the effect of the polarity of a redox probe. We observed the reversible adsorption/desorption of Fe(CN) 6 3- and concluded that the adsorbed Fe(CN) 6 3- catalyzed the electron transfer of both Fe(CN) 6 3- itself and cationic Ru(NH 3 ) 6 3+

  17. Organic field-effect transistors as a test-bed for molecular electronics : a combined study with large-area molecular junctions

    NARCIS (Netherlands)

    Asadi, K.; Katsouras, I.; Harkema, J.; Gholamrezaie, F.; Smits, E.C.P.; Biscarini, F.; Blom b, P.W.M.; Leeuw, D.M. de

    2012-01-01

    The contact resistance of a transistor using self-assembled monolayer (SAM)-modified source and drain electrodes depends on the SAM tunnel resistance, the height of the injection barrier and the morphology at the contact. To disentangle the different contributions, we have combined here the

  18. Organic field-effect transistors as a test-bed for molecular electronics : A combined study with large-area molecular junctions

    NARCIS (Netherlands)

    Asadi, Kamal; Katsouras, Ilias; Harkema, Jan; Gholamrezaie, Fatemeh; Smits, Edsger C. F.; Biscarini, Fabio; Blom, Paul W. M.; de Leeuw, Dago M.

    2012-01-01

    The contact resistance of a transistor using self-assembled monolayer (SAM)-modified source and drain electrodes depends on the SAM tunnel resistance, the height of the injection barrier and the morphology at the contact. To disentangle the different contributions, we have combined here the

  19. Organic field-effect transistors as a test-bed for molecular electronics : A combined study with large-area molecular junctions

    NARCIS (Netherlands)

    Asadi, Kamal; Katsouras, Ilias; Harkema, Jan; Gholamrezaie, Fatemeh; Smits, Edsger C. F.; Biscarini, Fabio; Blom, Paul W. M.; de Leeuw, Dago M.

    The contact resistance of a transistor using self-assembled monolayer (SAM)-modified source and drain electrodes depends on the SAM tunnel resistance, the height of the injection barrier and the morphology at the contact. To disentangle the different contributions, we have combined here the

  20. Adsorption characteristics of self-assembled thiol and dithiol layer on gold

    International Nuclear Information System (INIS)

    Tlili, A.; Abdelghani, A.; Aguir, K.; Gillet, M.; Jaffrezic-Renault, N.

    2007-01-01

    Monolayers of functional proteins are important in many fields related to pure and applied biochemistry and biophysics. The formation of extended uniform protein monolayers by single- or multiple-step self-chemisorption depends on the quality of the functionalized gold surface. The optical and the electrical properties of the 1-nonanethiol and 1,9-nonanedithiol deposited on gold with the self-assembled technique were investigated. We use cyclic voltammetry and impedance spectroscopy to characterize the insulating properties of the two layers. The analysis of the impedance spectra in terms of equivalent circuit of the gold/electrolyte and gold/SAM/electrolyte interface allows defining the thickness of the two thiols and the percentage of coverage area. Atomic force microscopy, contact angle measurement and Fourier transform infra-red spectroscopy have been used for homogeneity, hydrophobic properties and molecular structure of the formed thiols layer, respectively. The measured thickness with impedance spectroscopy fit well the results found with atomic force microscopy

  1. Label-free and reagentless electrochemical detection of PCR fragments using self-assembled quinone derivative monolayer: Application to Mycobacterium tuberculosis

    DEFF Research Database (Denmark)

    Zhang, Q D; March, G; Noel, V

    2012-01-01

    We report a signal-on, label-free and reagentless electrochemical DNA biosensor, based on a mixed self-assembled monolayer of thiolated hydroxynaphthoquinone and thiolated oligonucleotide. Electrochemical changes resulting from hybridization were evidenced with oligonucleotide targets (as models...

  2. Direct imaging by atomic force microscopy of surface-localized self-assembled monolayers on a cuprate superconductor and surface X-ray scattering analysis of analogous monolayers on the surface of water

    DEFF Research Database (Denmark)

    Schougaard, Steen B.; Reitzel, Niels; Bjørnholm, Thomas

    2007-01-01

    A self-assembled monolayer of CF3(CF2)(3)(CH2)(11)NH2 atop the (001) surface of the high-temperature superconductor YBa2Cu3O7-x was imaged by atomic force microscopy (AFM). The AFM images provide direct 2D-structural evidence for the epitaxial 5.5 angstrom square root 2 x root 2R45 degrees unit...... was studied by grazing-incidence X-ray diffraction and specular X-ray reflectivity. Structural differences and similarities between the water-supported and superconductor-localized monolayers are discussed....

  3. Molecular self-assembly approaches for supramolecular electronic and organic electronic devices

    Science.gov (United States)

    Yip, Hin-Lap

    Molecular self-assembly represents an efficient bottom-up strategy to generate structurally well-defined aggregates of semiconducting pi-conjugated materials. The capability of tuning the chemical structures, intermolecular interactions and nanostructures through molecular engineering and novel materials processing renders it possible to tailor a large number of unprecedented properties such as charge transport, energy transfer and light harvesting. This approach does not only benefit traditional electronic devices based on bulk materials, but also generate a new research area so called "supramolecular electronics" in which electronic devices are built up with individual supramolecular nanostructures with size in the sub-hundred nanometers range. My work combined molecular self-assembly together with several novel materials processing techniques to control the nucleation and growth of organic semiconducting nanostructures from different type of pi-conjugated materials. By tailoring the interactions between the molecules using hydrogen bonds and pi-pi stacking, semiconducting nanoplatelets and nanowires with tunable sizes can be fabricated in solution. These supramolecular nanostructures were further patterned and aligned on solid substrates through printing and chemical templating methods. The capability to control the different hierarchies of organization on surface provides an important platform to study their structural-induced electronic properties. In addition to using molecular self-assembly to create different organic nanostructures, functional self-assembled monolayer (SAM) formed by spontaneous chemisorption on surfaces was used to tune the interfacial property in organic solar cells. Devices showed dramatically improved performance when appropriate SAMs were applied to optimize the contact property for efficiency charge collection.

  4. Specific ion effects on the hydrophobic interaction of benzene self-assembled monolayers

    DEFF Research Database (Denmark)

    Dobberschütz, Sören; Pedersen, Morten Rimmen; Hassenkam, Tue

    2015-01-01

    The interaction of aromatic compounds with various ions in aqueous solutions plays a role in a number of fields, as diverse as protein folding and enhanced oil recovery, among others. Therefore, we have investigated the effect of the four electrolytes, KCl, NaCl, MgCl2 and CaCl2, on the hydrophobic...... interaction of benzene self-assembled monolayers. Using the jump to contact phenomenon of an atomic force microscope (AFM) tip as an indicator of attractive forces between the surfaces of a sample and the tip, we discovered lower frequencies in the snap in as well as narrower distributions for the snap...

  5. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  6. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  7. Passivation of Black Phosphorus via Self-Assembled Organic Monolayers by van der Waals Epitaxy.

    Science.gov (United States)

    Zhao, Yinghe; Zhou, Qionghua; Li, Qiang; Yao, Xiaojing; Wang, Jinlan

    2017-02-01

    An effective passivation approach to protect black phosphorus (BP) from degradation based on multi-scale simulations is proposed. The self-assembly of perylene-3,4,9,10-tetracarboxylic dianhydride monolayers via van der Waals epitaxy on BP does not break the original electronic properties of BP. The passivation layer thickness is only 2 nm. This study opens up a new pathway toward fine passivation of BP. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. "Supersaturated" self-assembled charge-selective interfacial layers for organic solar cells.

    Science.gov (United States)

    Song, Charles Kiseok; Luck, Kyle A; Zhou, Nanjia; Zeng, Li; Heitzer, Henry M; Manley, Eric F; Goldman, Samuel; Chen, Lin X; Ratner, Mark A; Bedzyk, Michael J; Chang, Robert P H; Hersam, Mark C; Marks, Tobin J

    2014-12-24

    To achieve densely packed charge-selective organosilane-based interfacial layers (IFLs) on the tin-doped indium oxide (ITO) anodes of organic photovoltaic (OPV) cells, a series of Ar2N-(CH2)n-SiCl3 precursors with Ar = 3,4-difluorophenyl, n = 3, 6, 10, and 18, was synthesized, characterized, and chemisorbed on OPV anodes to serve as IFLs. To minimize lateral nonbonded -NAr2···Ar2N- repulsions which likely limit IFL packing densities in the resulting self-assembled monolayers (SAMs), precursor mixtures having both small and large n values are simultaneously deposited. These "heterogeneous" SAMs are characterized by a battery of techniques: contact angle measurements, X-ray reflectivity, X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy (UPS), cyclic voltammetry, and DFT computation. It is found that the headgroup densities of these "supersaturated" heterogeneous SAMs (SHSAMs) are enhanced by as much as 17% versus their homogeneous counterparts. Supersaturation significantly modifies the IFL properties including the work function (as much as 16%) and areal dipole moment (as much as 49%). Bulk-heterojunction OPV devices are fabricated with these SHSAMs: ITO/IFL/poly[[4,8-bis[(2-ethylhexyl)oxy]benzo[1,2-b:4,5-b']dithiophene-2,6-diyl][2-[[(2-ethylhexyl)oxy]carbonyl]-3-fluorothieno[3,4-b]thiophenediyl

  9. Integrated electrochemical gluconic acid biosensor based on self-assembled monolayer-modified gold electrodes. Application to the analysis of gluconic acid in musts and wines.

    Science.gov (United States)

    Campuzano, S; Gamella, M; Serra, B; Reviejo, A J; Pingarrón, J M

    2007-03-21

    An integrated amperometric gluconic acid biosensor constructed using a gold electrode (AuE) modified with a self-assembled monolayer (SAM) of 3-mercaptopropionic acid (MPA) on which gluconate dehydrogenase (GADH, 0.84 U) and the mediator tetrathiafulvalene (TTF, 1.5 micromol) were coimmobilized by covering the electrode surface with a dialysis membrane is reported. The working conditions selected were Eapp=+0.15 V and 25+/-1 degrees C. The useful lifetime of one single TTF-GADH-MPA-AuE was surprisingly long. After 53 days of continuous use, the biosensor exhibited 86% of the original sensitivity. A linear calibration plot was obtained for gluconic acid over the 6.0x10(-7) to 2.0x10(-5) M concentration range, with a limit of detection of 1.9x10(-7) M. The effect of potential interferents (glucose, fructose, galactose, arabinose, and tartaric, citric, malic, ascorbic, gallic, and caffeic acids) on the biosensor response was evaluated. The behavior of the biosensor in a flow-injection system in connection with amperometric detection was tested. The analytical usefulness of the biosensor was evaluated by determining gluconic acid in wine and must samples, and the results obtained were validated by comparison with those provided by using a commercial enzyme test kit.

  10. Self-Assembly of Protein Monolayers Engineered for Improved Monoclonal Immunoglobulin G Binding

    Directory of Open Access Journals (Sweden)

    Jeremy H. Lakey

    2011-08-01

    Full Text Available Bacterial outer membrane proteins, along with a filling lipid molecule can be modified to form stable self-assembled monolayers on gold. The transmembrane domain of Escherichia coli outer membrane protein A has been engineered to create a scaffold protein to which functional motifs can be fused. In earlier work we described the assembly and structure of an antibody-binding array where the Z domain of Staphylococcus aureus protein A was fused to the scaffold protein. Whilst the binding of rabbit polyclonal immunoglobulin G (IgG to the array is very strong, mouse monoclonal IgG dissociates from the array easily. This is a problem since many immunodiagnostic tests rely upon the use of mouse monoclonal antibodies. Here we describe a strategy to develop an antibody-binding array that will bind mouse monoclonal IgG with lowered dissociation from the array. A novel protein consisting of the scaffold protein fused to two pairs of Z domains separated by a long flexible linker was manufactured. Using surface plasmon resonance the self-assembly of the new protein on gold and the improved binding of mouse monoclonal IgG were demonstrated.

  11. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound.

    Science.gov (United States)

    Vaithilingam, Jayasheelan; Kilsby, Samuel; Goodridge, Ruth D; Christie, Steven D R; Edmondson, Steve; Hague, Richard J M

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. Copyright © 2014. Published by Elsevier B.V.

  12. Single molecular switch based on thiol tethered iron(II)clathrochelate on gold

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Subramanian [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland); Voloshin, Yan Z. [Nesmeyanov Institute of Organoelement Compounds of the Russian Academy of Sciences, 119991 Moscow (Russian Federation); Radecka, Hanna [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland); Radecki, Jerzy [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland)], E-mail: radecki@pan.olsztyn.pl

    2009-09-30

    Molecular electronics has been associated with high density nano-electronic devices. Developments of molecular electronic devices were based on reversible switching of molecules between the two conductive states. In this paper, self-assembled monolayers of dodecanethiol (DDT) and thiol tethered iron(II)clathrochelate (IC) have been prepared on gold film. The electrochemical and electronic properties of IC molecules inserted into the dodecanethiol monolayer (IC-DDT SAM) were investigated using voltammetric, electrochemical impedance spectroscopy (EIS), scanning tunneling microscopy (STM) and cross-wire tunneling measurements. The voltage triggered switching behaviour of IC molecules on mixed SAM was demonstrated. Deposition of polyaniline on the redox sites of IC-DDT SAM using electrochemical polymerization of aniline was performed in order to confirm that this monolayer acts as nano-patterned semiconducting electrode surface.

  13. LETTER TO THE EDITOR: Surface passivation of (100) InP by organic thiols and polyimide as characterized by steady-state photoluminescence

    Science.gov (United States)

    Schvartzman, M.; Sidorov, V.; Ritter, D.; Paz, Y.

    2001-10-01

    A method for the passivation of indium phosphide, based on thiolated organic self-assembled monolayers (SAMs) that form highly ordered, close-packed structures on the semiconductor surface, is presented. It is shown that the intensity of steady-state photoluminescence (PL) of n-type InP wafers covered with the thiolated SAMs increases significantly (as much as 14-fold) upon their covering with the monolayers. The ease with which one can tailor the outer functional groups of the SAMs provides a way to connect this new class of passivators with standard encapsulators, such as polyimide. Indeed, the PL intensity of SAM-coated InP wafers was not altered upon their overcoating with polyimide, despite the high curing temperature of the polymer (200 °C).

  14. Molecular printboards as general platforms for protein immobilization

    NARCIS (Netherlands)

    Ludden, M.J.W.

    2007-01-01

    This thesis describes the selective attachment of proteins to β-cyclodextrin (βCD) self-assembled monolayers (SAMs), termed molecular printboards through multivalent orthogonal interactions. It is shown that the molecular printboards allow different assembly pathways for the build-up of (complex)

  15. Electro- and photochemical switching of dithienylethene self-assembled monolayers on gold electrodes

    DEFF Research Database (Denmark)

    Browne, W.R.; Kudernac, T.; Katsonis, N.

    2008-01-01

    forms of the dithienylethene SAMs is examined and found to be sensitive to the molecular structure of the switch. For the three dithienylethenes, the electrochemical behavior with respect to electrochemical ring opening/closing is retained in the SAMs. In contrast, a marked dependence on the nature...... of the anchoring group is observed upon immobilization in terms of the retention of the photochemical properties observed in solution. For the meta-thiophenol anchor both photochemical ring opening and closing are observed in the SAM, while for the thienyl-thiol-anchored switches the photochemically properties...

  16. Nanocylindrical confinement imparts highest structural order in molecular self-assembly of organophosphonates on aluminum oxide.

    Science.gov (United States)

    Pathak, Anshuma; Bora, Achyut; Braunschweig, Björn; Meltzer, Christian; Yan, Hongdan; Lemmens, Peter; Daum, Winfried; Schwartz, Jeffrey; Tornow, Marc

    2017-05-18

    We report the impact of geometrical constraint on intramolecular interactions in self-assembled monolayers (SAMs) of alkylphosphonates grown on anodically oxidized aluminum (AAO). Molecular order in these films was determined by sum frequency generation (SFG) spectroscopy, a more sensitive measure of order than infrared absorption spectroscopy. Using SFG we show that films grown on AAO are, within detection limits, nearly perfectly ordered in an all-trans alkyl chain configuration. In marked contrast, films formed on planar, plasma-oxidized aluminum oxide or α-Al 2 O 3 (0001) are replete with gauche defects. We attribute these differences to the nanocylindrical structure of AAO, which enforces molecular confinement.

  17. Effect of amine substituted at ortho and para positions on the electrochemical and electrocatalytic properties of cobalt porphyrins self-assembled on glassy carbon surface

    International Nuclear Information System (INIS)

    Muthukumar, Palanisamy; John, S. Abraham

    2014-01-01

    Highlights: •The self-assembly of ortho and para isomers of Co(II)aminoporphyrin on GCE was studied. •The electrochemical and electrocatalytic properties were examined. •The Co(II)MTpAP showed two redox waves whereas Co(II)MToAP showed a single redox peak. •Both the SAMs greatly catalyzed the dioxigen reduction when compared to GCE. -- Abstract: This work addresses the influence of amine group substituted at para and ortho positions of the phenyl groups on the electrochemical and electrocatalytic properties of cobalt porphyrins self-assembled on glassy carbon electrode (GCE). We have synthesized meso-tetra(para-aminophenyl)porphyrinatocobalt(II) (Co(II)MTpAP) and meso-tetra(ortho-aminophenyl)porphyrinatocobalt(II) (Co(II)MToAP) and were self-assembled on GCE through Michael addition of nucleophilic amine with olefinic GCE surface. Cyclic voltammetry, reflectance spectroscopy and X-ray photoelectron spectroscopy (XPS) techniques were employed to confirm the formation of the self-assembled monolayers (SAMs) of Co(II)MTpAP and Co(II)MToAP on GCEs. Interestingly, the SAM of Co(II)MTpAP in 0.1 M H 2 SO 4 shows two redox waves at 0.37 and 0.60 V whereas the SAM of Co(II)MToAP shows a single redox wave at 0.32 V, indicating the influence of amine group position in the phenyl ring on the redox chemistry of porphyrin. In contrary, the SAMs of the corresponding free base porphyrins prepared under identical conditions show a single redox wave around 0.36 V. Thus, we have assigned the redox wave at 0.37 V to Co(II)Por 1− /Co(II)Por 2− and 0.60 V to Co III/II redox couples for the SAM of Co(II)MTpAP. In the case of Co(II)MToAP, we have assigned the redox wave at 0.32 V due to both Co(II)Por 1− /Co(II)Por 2− and Co III/II . The surface coverage estimated from the charge consumed for the oxidation of Co III/II was used to study the thermodynamics and kinetics of Co(II)MTpAP and Co(II)MToAP self-assembled on GCE. Further, the electrochemical reduction of dioxygen

  18. Investigating organic multilayers by spectroscopic ellipsometry: specific and non-specific interactions of polyhistidine with NTA self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Ilaria Solano

    2016-04-01

    Full Text Available Background: A versatile strategy for protein–surface coupling in biochips exploits the affinity for polyhistidine of the nitrilotriacetic acid (NTA group loaded with Ni(II. Methods based on optical reflectivity measurements such as spectroscopic ellipsometry (SE allow for label-free, non-invasive monitoring of molecule adsorption/desorption at surfaces.Results: This paper describes a SE study about the interaction of hexahistidine (His6 on gold substrates functionalized with a thiolate self-assembled monolayer bearing the NTA end group. By systematically applying the difference spectra method, which emphasizes the small changes of the ellipsometry spectral response upon the nanoscale thickening/thinning of the molecular film, we characterized different steps of the process such as the NTA-functionalization of Au, the adsorption of the His6 layer and its eventual displacement after reaction with competitive ligands. The films were investigated in liquid, and ex situ in ambient air. The SE investigation has been complemented by AFM measurements based on nanolithography methods (nanografting mode.Conclusion: Our approach to the SE data, exploiting the full spectroscopic potential of the method and basic optical models, was able to provide a picture of the variation of the film thickness along the process. The combination of δΔi+1,i(λ, δΨi+1,i(λ (layer-addition mode and δΔ†i',i+1(λ, δΨ†i',i+1(λ (layer-removal mode difference spectra allowed us to clearly disentangle the adsorption of His6 on the Ni-free NTA layer, due to non specific interactions, from the formation of a neatly thicker His6 film induced by the Ni(II-loading of the NTA SAM.

  19. Infrared Absorption Spectroscopic Study on Reaction between Self-Assembled Monolayers and Atmospheric-Pressure Plasma

    Directory of Open Access Journals (Sweden)

    Masanori Shinohara

    2015-01-01

    Full Text Available Plasma is becoming increasingly adopted in bioapplications such as plasma medicine and agriculture. This study investigates the interaction between plasma and molecules in living tissues, focusing on plasma-protein interactions. To this end, the reaction of air-pressure air plasma with NH2-terminated self-assembled monolayer is investigated by infrared spectroscopy in multiple internal reflection geometry. The atmospheric-pressure plasma decomposed the NH2 components, the characteristic units of proteins. The decomposition is attributed to water clusters generated in the plasma, indicating that protein decomposition by plasma requires humid air.

  20. Direct electrochemistry and intramolecular electron transfer of ascorbate oxidase confined on L-cysteine self-assembled gold electrode.

    Science.gov (United States)

    Patil, Bhushan; Kobayashi, Yoshiki; Fujikawa, Shigenori; Okajima, Takeyoshi; Mao, Lanqun; Ohsaka, Takeo

    2014-02-01

    A direct electrochemistry and intramolecular electron transfer of multicopper oxidases are of a great importance for the fabrication of these enzyme-based bioelectrochemical-devices. Ascorbate oxidase from Acremonium sp. (ASOM) has been successfully immobilized via a chemisorptive interaction on the l-cysteine self-assembled monolayer modified gold electrode (cys-SAM/AuE). Thermodynamics and kinetics of adsorption of ASOM on the cys-SAM/AuE were studied using cyclic voltammetry. A well-defined redox wave centered at 166±3mV (vs. Ag│AgCl│KCl(sat.)) was observed in 5.0mM phosphate buffer solution (pH7.0) at the fabricated ASOM electrode, abbreviated as ASOM/cys-SAM/AuE, confirming a direct electrochemistry, i.e., a direct electron transfer (DET) between ASOM and cys-SAM/AuE. The direct electrochemistry of ASOM was further confirmed by taking into account the chemical oxidation of ascorbic acid (AA) by O2 via an intramolecular electron transfer in the ASOM as well as the electrocatalytic oxidation of AA at the ASOM/cys-SAM/AuE. Thermodynamics and kinetics of the adsorption of ASOM on the cys-SAM/AuE have been elaborated along with its direct electron transfer at the modified electrodes on the basis of its intramolecular electron transfer and electrocatalytic activity towards ascorbic acid oxidation and O2 reduction. ASOM saturated surface area was obtained as 2.41×10(-11)molcm(-2) with the apparent adsorption coefficient of 1.63×10(6)Lmol(-1). The ASOM confined on the cys-SAM/AuE possesses its essential enzymatic function. © 2013.

  1. A three-layer model of self-assembly induced surface-energy variation experimentally extracted by using nanomechanically sensitive cantilevers

    International Nuclear Information System (INIS)

    Zuo Guomin; Li Xinxin

    2011-01-01

    This research is aimed at elucidating surface-energy (or interfacial energy) variation during the process of molecule-layer self-assembly on a solid surface. A quasi-quantitative plotting model is proposed and established to distinguish the surface-energy variation contributed by the three characteristic layers of a thiol-on-gold self-assembled monolayer (SAM), namely the assembly-medium correlative gold/head-group layer, the chain/chain interaction layer and the tail/medium layer, respectively. The data for building the model are experimentally extracted from a set of correlative thiol self-assemblies in different media. The variation in surface-energy during self-assembly is obtained by in situ recording of the self-assembly induced nanomechanical surface-stress using integrated micro-cantilever sensors. Based on the correlative self-assembly experiment, and by using the nanomechanically sensitive self-sensing cantilevers to monitor the self-assembly induced surface-stressin situ, the experimentally extracted separate contributions of the three layers to the overall surface-energy change aid a comprehensive understanding of the self-assembly mechanism. Moreover, the quasi-quantitative modeling method is helpful for optimal design, molecule synthesis and performance evaluation of molecule self-assembly for application-specific surface functionalization.

  2. Reflection and extinction of light by self-assembled monolayers of a quinque-thiophene derivative: A coherent scattering approach

    Energy Technology Data Exchange (ETDEWEB)

    Gholamrezaie, Fatemeh; Meskers, Stefan C. J., E-mail: s.c.j.meskers@tue.nl [Molecular Materials and Nanosystems and Institute of Complex Molecular Systems, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Leeuw, Dago M. de [Max Planck Institute for Polymer Research, Ackermannweg 10, 55128 Mainz (Germany)

    2016-06-07

    Scattering matrix theory is used to describe resonant optical properties of molecular monolayers. Three types of coupling are included: exciton-exciton, exciton-photon, and exciton-phonon coupling. We use the K-matrix formalism, developed originally to describe neutron scattering spectra in nuclear physics to compute the scattering of polaritons by phonons. This perturbation approach takes into account the three couplings and allows one to go beyond molecular exciton theory without the need of introducing additional boundary conditions for the polariton. We demonstrate that reflection, absorption, and extinction of light by 2D self-assembled monolayers of molecules containing quinque-thiophene chromophoric groups can be calculated. The extracted coherence length of the Frenkel exciton is discussed.

  3. Supplementary Material for: A new mode of SAM domain mediated oligomerization observed in the CASKIN2 neuronal scaffolding protein

    KAUST Repository

    Smirnova, Ekaterina; Kwan, Jamie; Siu, Ryan; Gao, Xin; Zoidl, Georg; Demeler, Borries; Saridakis, Vivian; Donaldson, Logan

    2016-01-01

    Abstract Background CASKIN2 is a homolog of CASKIN1, a scaffolding protein that participates in a signaling network with CASK (calcium/calmodulin-dependent serine kinase). Despite a high level of homology between CASKIN2 and CASKIN1, CASKIN2 cannot bind CASK due to the absence of a CASK Interaction Domain and consequently, may have evolved undiscovered structural and functional distinctions. Results We demonstrate that the crystal structure of the Sterile Alpha Motif (SAM) domain tandem (SAM1-SAM2) oligomer from CASKIN2 is different than CASKIN1, with the minimal repeating unit being a dimer, rather than a monomer. Analytical ultracentrifugation sedimentation velocity methods revealed differences in monomer/dimer equilibria across a range of concentrations and ionic strengths for the wild type CASKIN2 SAM tandem and a structure-directed double mutant that could not oligomerize. Further distinguishing CASKIN2 from CASKIN1, EGFP-tagged SAM tandem proteins expressed in Neuro2a cells produced punctae that were distinct both in shape and size. Conclusions This study illustrates a new way in which neuronal SAM domains can assemble into large macromolecular assemblies that might concentrate and amplify synaptic responses.

  4. High-Yield Excited Triplet States in Pentacene Self-Assembled Monolayers on Gold Nanoparticles through Singlet Exciton Fission.

    Science.gov (United States)

    Kato, Daiki; Sakai, Hayato; Tkachenko, Nikolai V; Hasobe, Taku

    2016-04-18

    One of the major drawbacks of organic-dye-modified self-assembled monolayers on metal nanoparticles when employed for efficient use of light energy is the fact that singlet excited states on dye molecules can be easily deactivated by means of energy transfer to the metal surface. In this study, a series of 6,13-bis(triisopropylsilylethynyl)pentacene-alkanethiolate monolayer protected gold nanoparticles with different particle sizes and alkane chain lengths were successfully synthesized and were employed for the efficient generation of excited triplet states of the pentacene derivatives by singlet fission. Time-resolved transient absorption measurements revealed the formation of excited triplet states in high yield (172±26 %) by suppressing energy transfer to the gold surface. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Facile preparation of surface-exchangeable core@shell iron oxide@gold nanoparticles for magnetic solid-phase extraction: Use of gold shell as the intermediate platform for versatile adsorbents with varying self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yaping [Beijing National Laboratory of Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School, University of Chinese Academy of Sciences, Beijing 100049 (China); Qi, Li, E-mail: qili@iccas.ac.cn [Beijing National Laboratory of Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Shen, Ying [Beijing National Laboratory of Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School, University of Chinese Academy of Sciences, Beijing 100049 (China); Ma, Huimin [Beijing National Laboratory of Molecular Sciences, Key Laboratory of Analytical Chemistry for Living Biosystems, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-02-06

    Graphical abstract: -- Highlights: •The core@shell Fe{sub 3}O{sub 4}@Au nanoparticles functionalized with SAMs were successfully constructed. •The SAMs could be transformed from one kind to another via thiol exchange process. •The developed nanomaterials could be applied in mode switching MSPE. -- Abstract: The core@shell Fe{sub 3}O{sub 4}@Au nanoparticles (NPs) functionalized with exchangeable self-assembled monolayers have been developed for mode switching magnetic solid-phase extraction (MSPE) using high performance liquid chromatography with ultraviolet detection. The adsorbents were synthesized by chemical coprecipitation to prepare magnetic cores followed by sonolysis to produce gold shells. Functionalization of Fe{sub 3}O{sub 4}@Au NPs surface was realized through self-assembly of commercially available low molecular weight thiol-containing ligands using gold shells as intermediate platform and the dynamic nature of Au–S chemistry allowed substituent of one thiol-containing ligand with another simply by thiol exchange process. The resultant adsorbents were characterized by transmission electronic microscopy, Fourier transform infrared spectroscopy, elemental analysis, contact angle measurement, and vibrating sample magnetometry. To evaluate the versatile performance of the developed MSPE adsorbents, they were applied for normal-phase SPE followed by reversed-phase SPE. A few kinds of diphenols and polycyclic aromatic hydrocarbons (PAHs) were employed as model analytes, respectively. The predominant parameters affecting extraction efficiency were investigated and optimized. Under the optimum experimental conditions, wide dynamic linear range (6.25–1600 μg L{sup −1} for diphenols and 1.56–100 μg L{sup −1} for PAHs) with good linearity (r{sup 2} ≥ 0.989) and low detection limits (0.34–16.67 μg L{sup −1} for diphenols and 0.26–0.52 μg L{sup −1} for PAHs) were achieved. The advantage of the developed method is that the Fe{sub 3}O

  6. Metal complexation and monolayer self-assembly of the bio-organic semiconductor Alizarin

    Energy Technology Data Exchange (ETDEWEB)

    Uppal, Neeti [Dept. Earth and Environmental Sciences, Ludwig-Maximilians-Universitaet Muenchen (LMU) and Center for NanoSciences (CeNS), Muenchen (Germany); Institut fuer Physik, Universitaet Augsburg (Germany); Gast, Norbert [Dept. Earth and Environmental Sciences, Ludwig-Maximilians-Universitaet Muenchen (LMU) and Center for NanoSciences (CeNS), Muenchen (Germany); Zentrum Neue Technologien, Deutsches Museum, Muenchen (Germany); Bueno, Martin [Fakultaet Feinwerk- und Mikrotechnik, Physikalische Technik, Hochschule Muenchen (Germany); Heckl, Wolfgang M. [Dept. of Physics, Technische Universitaet Muenchen (TUM), Garching (Germany); Zentrum Neue Technologien, Deutsches Museum, Muenchen (Germany); Trixler, Frank [Dept. Earth and Environmental Sciences, Ludwig-Maximilians-Universitaet Muenchen (LMU) and Center for NanoSciences (CeNS), Muenchen (Germany); Dept. of Physics, Technische Universitaet Muenchen (TUM), Garching (Germany); Zentrum Neue Technologien, Deutsches Museum, Muenchen (Germany)

    2010-07-01

    Organic Solid/Solid Wetting Deposition (OSWD) (Trixler et al.: Chem.Eur.J. 13 (2007), 7785) enables to deposit insoluble molecules such as organic pigments and semiconductors on substrate surfaces under ambient conditions. We explore the potential of OSWD to grow and manipulate monolayers of biomolecules and their chelates on graphite and use Alizarin as a model system - a natural organic compound which occurs mainly as an anthraquinone glycoside in plants. Our investigations via Scanning Tunneling Microscopy (STM), Tunneling Spectroscopy (TS) and Molecular Modelling reveal that OSWD works also with bio-organic molecules and chelate complexes and show that the advantages of OSWD (self-assembly under ambient conditions in a non-solvent environment, nanomanipulation via molecular extraction) can all be tapped.

  7. From fundamental supramolecular chemistry to self-assembled nanomaterials and medicines and back again - how Sam inspired SAMul.

    Science.gov (United States)

    Smith, David K

    2018-05-08

    This feature article provides a personal insight into the research from my group over the past 10 years. In particular, the article explains how, inspired in 2005 by meeting my now-husband, Sam, who had cystic fibrosis, and who in 2011 went on to have a double lung transplant, I took an active decision to follow a more applied approach to some of our research, attempting to use fundamental supramolecular chemistry to address problems of medical interest. In particular, our strategy uses self-assembly to fabricate biologically-active nanosystems from simple low-molecular-weight building blocks. These systems can bind biological polyanions in highly competitive conditions, allowing us to approach applications in gene delivery and coagulation control. In the process, however, we have also developed new fundamental principles such as self-assembled multivalency (SAMul), temporary 'on-off' multivalency, and adaptive/shape-persistent multivalent binding. By targeting materials with applications in drug formulation and tissue engineering, we have discovered novel self-assembling low-molecular-weight hydrogelators based on the industrially-relevant dibenzylidenesorbitol framework and developed innovative approaches to spatially-resolved gels and functional multicomponent hybrid hydrogels. In this way, taking an application-led approach to research has also delivered significant academic value and conceptual advances. Furthermore, beginning to translate fundamental supramolecular chemistry into real-world applications, starts to demonstrate the power of this approach, and its potential to transform the world around us for the better.

  8. Corrosion prevention of iron with novel organic inhibitor of hydroxamic acid and UV irradiation

    International Nuclear Information System (INIS)

    Deng Huihua; Nanjo, Hiroshi; Qian, Pu; Xia Zhengbin; Ishikawa, Ikuo; Suzuki, Toshishige M.

    2008-01-01

    Corrosion prevention by self-assembled monolayers (SAM) of monomer and polymer inhibitor on iron covered with air-formed oxide films was investigated by cyclic voltammetry in borate buffer solution. Anti-corrosion efficiency of the SAM-coated Fe electrodes depends on UV irradiation duration on Fe electrodes prior to coating and inhibitor concentration to form SAM. The 1-h UV-irradiated Fe electrodes coated with SAM exhibits the most effective corrosion resistance despite the anti-corrosion efficiency of air-formed films on Fe was linearly increased with UV irradiation. The addition of monomer in polymer solution improves the stability and corrosion resistance of SAM

  9. A pentacene monolayer trapped between graphene and a substrate.

    Science.gov (United States)

    Zhang, Qicheng; Peng, Boyu; Chan, Paddy Kwok Leung; Luo, Zhengtang

    2015-09-21

    A self-assembled pentacene monolayer can be fabricated between the solid-solid interface of few-layered graphene (FLG) and the mica substrate, through a diffusion-spreading method. By utilizing a transfer method that allows us to sandwich pentacene between graphene and mica, followed by controlled annealing, we enabled the diffused pentacene to be trapped in the interfaces and led to the formation of a stable monolayer. We found that the formation of a monolayer is kinetically favored by using a 2D Ising lattice gas model for pentacene trapped between the graphene-substrate interfaces. This kinetic Monte Carlo simulation results indicate that, due to the graphene substrate enclosure, the spreading of the first layer proceeds faster than the second layer, as the kinetics favors the filling of voids by molecules from the second layer. This graphene assisted monolayer assembly method provides a new avenue for the fabrication of two-dimensional monolayer structures.

  10. New approach towards imaging λ-DNA using scanning tunneling ...

    Indian Academy of Sciences (India)

    Wintec

    spectroscopy (STS) at different stages depict a broad distribution of defect states in the bandgap ... DNA; scanning tunneling microscopy; Langmuir Blodget technique; silanization. 1. ... assembled monolayer (SAM) of C-8 silane gave stable.

  11. In situ Observation of Direct Electron Transfer Reaction of Cytochrome c Immobilized on ITO Electrode Modified with 11-{2-[2-(2-Methoxyethoxy)ethoxy]ethoxy}undecylphosphonic Acid Self-assembled Monolayer Film by Electrochemical Slab Optical Waveguide Spectroscopy.

    Science.gov (United States)

    Matsuda, Naoki; Okabe, Hirotaka; Omura, Ayako; Nakano, Miki; Miyake, Koji

    2017-01-01

    To immobilize cytochrome c (cyt.c) on an ITO electrode while keeping its direct electron transfer (DET) functionality, the ITO electrode surface was modified with 11-{2-[2-(2-methoxyethoxy)ethoxy]ethoxy}undecylphosphonic acid (CH 3 O (CH 2 CH 2 O) 3 C 11 H 22 PO(OH) 2 , M-EG 3 -UPA) self-assembled monolayer (SAM) film. After a 100-times washing process to exchange a phosphate buffer saline solution surrounding cyt.c and ITO electrode to a fresh one, an in situ observation of visible absorption spectral change with slab optical waveguide (SOWG) spectroscopy showed that 87.7% of the cyt.c adsorbed on the M-EG 3 -UPA modified ITO electrode remained on the ITO electrode. The SOWG absorption spectra corresponding to oxidized and reduced cyt.c were observed with setting the ITO electrode potential at 0.3 and -0.3 V vs. Ag/AgCl, respectively, while probing the DET reaction between cyt.c and ITO electrode occurred. The amount of cyt.c was evaluated to be about 19.4% of a monolayer coverage based on the coulomb amount in oxidation and reduction peaks on cyclic voltammetry (CV) data. The CV peak current maintained to be 83.4% compared with the initial value for a M-EG 3 -UPA modified ITO electrode after 60 min continuous scan with 0.1 V/s between 0.3 and -0.3 V vs. Ag/AgCl.

  12. Ultraflat Au nanoplates as a new building block for molecular electronics.

    Science.gov (United States)

    Jeong, Wooseok; Lee, Miyeon; Lee, Hyunsoo; Lee, Hyoban; Kim, Bongsoo; Park, Jeong Young

    2016-05-27

    We demonstrate the charge transport properties of a self-assembled organic monolayer on Au nanoplates with conductive probe atomic force microscopy (CP-AFM). Atomically flat Au nanoplates, a few hundred micrometers on each side, that have only (111) surfaces, were synthesized using the chemical vapor transport method; these nanoplates were employed as the substrates for hexadecanethiol (HDT) self-assembled monolayers (SAMs). Atomic-scale high-resolution images show (√3 x √3) R30° molecular periodicity, indicating a well-ordered structure of the HDT on the Au nanoplates. We observed reduced friction and adhesion forces on the HDT SAMs on Au nanoplates, compared with Si substrates, which is consistent with the lubricating nature of HDT SAMs. The electrical properties, such as I-V characteristics and current as a function of load, were measured using CP-AFM. We obtained a tunneling decay constant (β) of 0.57 Å(-1), including through-bond (βtb = 0.99 Å(-1)) and through-space (βts = 1.36 Å(-1)) decay constants for the two-pathway model. This indicates that the charge transport properties of HDT SAMs on Au nanoplates are consistent with those on a Au (111) film, suggesting that SAMs on nanoplates can provide a new building block for molecular electronics.

  13. Photopatterning of self assembled monolayers on oxide surfaces for the selective attachment of biomolecules.

    Science.gov (United States)

    Hazarika, Pompi; Behrendt, Jonathan M; Petersson, Linn; Wingren, Christer; Turner, Michael L

    2014-03-15

    The immobilization of functional biomolecules to surfaces is a critical process for the development of biosensors for disease diagnostics. In this work we report the patterned attachment of single chain fragment variable (scFv) antibodies to the surface of metal oxides by the photodeprotection of self-assembled monolayers, using near-UV light. The photodeprotection step alters the functionality at the surface; revealing amino groups that are utilized to bind biomolecules in the exposed regions of the substrate only. The patterned antibodies are used for the detection of specific disease biomarker proteins in buffer and in complex samples such as human serum. © 2013 Elsevier B.V. All rights reserved.

  14. Hydrophobicity-induced drying transition in alkanethiol self ...

    Indian Academy of Sciences (India)

    Raman Research Institute, C.V. Raman Avenue, Bangalore 560 080, India ... Hydrophobicity; hydrophobic gap; self-assembled monolayer; length scale dependent .... From our work, we find that when the alkanethiol SAM is prepared from a.

  15. Electrochemical detection of Hg(II in water using self-assembled single walled carbon nanotube-poly(m-amino benzene sulfonic acid on gold electrode

    Directory of Open Access Journals (Sweden)

    Gauta Gold Matlou

    2016-09-01

    Full Text Available This work reports on the detection of mercury using single walled carbon nanotube-poly (m-amino benzene sulfonic acid (SWCNT-PABS modified gold electrode by self-assembled monolayers (SAMs technique. A thiol containing moiety (dimethyl amino ethane thiol (DMAET was used to facilitate the assembly of the SWCNT-PABS molecules onto the Au electrode surface. The successfully assembled monolayers were characterised using atomic force microscopy (AFM. Cyclic voltammetric and electrochemical impedance spectroscopic studies of the modified electrode (Au-DMAET-(SWCNT-PABS showed improved electron transfer over the bare Au electrode and the Au-DMAET in [Fe (CN6]3−/4− solution. The Au-DMAET-(SWCNT-PABS was used for the detection of Hg in water by square wave anodic stripping voltammetry (SWASV analysis at the following optimized conditions: deposition potential of −0.1 V, deposition time of 30 s, 0.1 M HCl electrolyte and pH 3. The sensor showed a good sensitivity and a limit of detection of 0.06 μM with a linear concentration range of 20 ppb to 250 ppb under the optimum conditions. The analytical applicability of the proposed method with the sensor electrode was tested with real water sample and the method was validated with inductively coupled plasma – optical emission spectroscopy. Keywords: Self-assembly, Gold electrode, Carbon nanotubes, Electrochemical detection, Mercury

  16. Comparing the performances of electrochemical sensors using p-aminophenol redox cycling by different reductants on gold electrodes modified with self-assembled monolayers

    International Nuclear Information System (INIS)

    Xia, Ning; Ma, Fengji; Zhao, Feng; He, Qige; Du, Jimin; Li, Sujuan; Chen, Jing; Liu, Lin

    2013-01-01

    Highlights: • Performances of p-AP redox cycling using different reductants on gold surface are compared. • Background current decreases in order of hydrazine, Na 2 SO 3 , NaBH 4 , NADH, cysteamine, and TCEP. • Chemical reaction rate with QI increases in order of NADH, TCEP, and cysteamine. • NADH, TCEP and cysteamine are suitable for p-AP redox cycling on gold electrode. -- Abstract: p-Aminophenol (p-AP) redox cycling using chemical reductants is one strategy for developing sensitive electrochemical sensors. However, most of the reported reductants are only used on indium-tin oxide (ITO) electrodes but not gold electrodes due to the high background current caused by the oxidation reaction of the reductants on the highly electrocatalytic gold electrodes. Therefore, new strategies and/or reductants are in demand for expanding the application of p-AP redox cycling on gold electrodes. In this work, we compared the performances of several reductants in p-AP redox cycling on self-assembled monolayers (SAMs)-modified gold electrodes. Among the tested reagents, nicotinamide adenine dinucleotide (NADH), tris(2-carboxyethyl)phosphine (TCEP) and cysteamine were demonstrated to be suitable for p-AP redox cycling on the alkanethiol-modified gold electrodes because of their low background current. The rate of chemical reaction between reductants and p-quinone imine (QI, the electrochemically oxidized product of p-AP) increases in the order of NADH −1 was achieved. We believe that our work will be valuable for the development of electrochemical sensors using p-AP redox cycling on gold electrodes

  17. Akinbulu et al (11)

    African Journals Online (AJOL)

    USER

    Electrical and structural integrities of Self-assembled Monolayers (SAMs) of some organosulphur-metal ... interactions between closely packed tail groups. ... strength of Au-S bond is reported to be in the order of ... electrode/solution interface.

  18. Selective Binding, Self-Assembly and Nanopatterning of the Creutz-Taube Ion on Surfaces

    Directory of Open Access Journals (Sweden)

    Qingling Hang

    2009-02-01

    Full Text Available The surface attachment properties of the Creutz-Taube ion, i.e., [(NH35Ru(pyrazineRu(NH35]5+, on both hydrophilic and hydrophobic types of surfaces were investigated using X-ray photoelectron spectroscopy (XPS. The results indicated that the Creutz-Taube ions only bound to hydrophilic surfaces, such as SiO2 and –OH terminated organic SAMs on gold substrates. No attachment of the ions on hydrophobic surfaces such as –CH3 terminated organic SAMs and poly(methylmethacrylate (PMMA thin films covered gold or SiO2 substrates was observed. Further ellipsometric, atomic force microscopy (AFM and time-dependent XPS studies suggested that the attached cations could form an inorganic analog of the self-assembled monolayer on SiO2 substrate with a “lying-down” orientation. The strong electrostatic interaction between the highly charged cations and the anionic SiO2 surface was believed to account for these observations. Based on its selective binding property, patterning of wide (~200 nm and narrow (~35 nm lines of the Creutz-Taube ions on SiO2 surface were demonstrated through PMMA electron resist masks written by electron beam lithography (EBL.

  19. Mercaptobenzothiazole on gold biosensor systems for organophosphate and carbamate pesticide compounds

    CSIR Research Space (South Africa)

    Somerset, VS

    2010-05-01

    Full Text Available .............................................. 179 2.5.2.1.3 Poly(2,5-dimethoxy aniline), PDMA........................................ 182 2.5.3 Conducting mechanism of PANI .......................................................... 184 2.5.4 Synthesis of polyaniline, PANI... of mercaptobenzothiazole self-assembled monolayer on gold electrode 242 3.4.2 Characterisation of Au/MBT SAM-modified electrode ....................... 242 3.5 Synthesis of polyaniline films onto gold disk (Au), MBT SAM modified electrode...

  20. Surface reconstitution of glucose oxidase onto a norbornylogous bridge self-assembled monolayer

    International Nuclear Information System (INIS)

    Liu Jingquan; Paddon-Row, Michael N.; Gooding, J. Justin

    2006-01-01

    An electrode construct was fabricated in which a self-assembled monolayer containing a novel norbornylogous bridge was covalently attached to flavin adenine dinucleotide (FAD), the redox active centre of several oxidase enzymes. The electrochemistry of the construct was investigated before and after the reconstitution of glucose oxidase around the surface bound FAD. Rapid rates of electron transfer were observed both before and after the reconstitution of biocatalytically active enzyme. However, no biocatalytic activity was observed under anaerobic conditions suggesting the a lack of enzyme turnover through direct electron transfer. It is proposed that a decrease in the electronic coupling between the redox active FAD and the electrode following reconstitution of the glucose oxidase - a probable consequence of the FAD being immersed in a protein environment - was responsible for the inability of the enzyme to be turned over under anaerobic conditions

  1. The interfacial-organized monolayer water film (MWF) induced ``two-step'' aggregation of nanographene: both in stacking and sliding assembly pathways

    Science.gov (United States)

    Lv, Wenping; Wu, Ren'an

    2013-03-01

    A computational investigation was carried out to understand the aggregation of nanoscale graphene with two typical pathways of stacking assembly and sliding assembly in water. The interfacial-organized monolayer water film (MWF) induced ``two-step'' aggregation of nanographene in both stacking and sliding assembly pathways was reported for the first time. By means of potential mean forces (PMFs) calculation, no energy barrier was observed during the sliding assembly of two graphene nanosheets, while the PMF profiles could be impacted by the contact forms of nanographene and the MWF within the interplate of two graphene nanosheets. To explore the potential physical basis of the ``hindering role'' of self-organized interfacial water, the dynamical and structural properties as well as the status of hydrogen bonds (H-bonds) for interfacial water were investigated. We found that the compact, ordered structure and abundant H-bonds of the MWF could be taken as the fundamental aspects of the ``hindering role'' of interfacial water for the hydrophobic assembly of nanographene. These findings are displaying a potential to further understand the hydrophobic assembly which mostly dominate the behaviors of nanomaterials, proteins etc. in aqueous solutions.A computational investigation was carried out to understand the aggregation of nanoscale graphene with two typical pathways of stacking assembly and sliding assembly in water. The interfacial-organized monolayer water film (MWF) induced ``two-step'' aggregation of nanographene in both stacking and sliding assembly pathways was reported for the first time. By means of potential mean forces (PMFs) calculation, no energy barrier was observed during the sliding assembly of two graphene nanosheets, while the PMF profiles could be impacted by the contact forms of nanographene and the MWF within the interplate of two graphene nanosheets. To explore the potential physical basis of the ``hindering role'' of self-organized interfacial

  2. SAM-VI RNAs selectively bind S-adenosylmethionine and exhibit similarities to SAM-III riboswitches.

    Science.gov (United States)

    Mirihana Arachchilage, Gayan; Sherlock, Madeline E; Weinberg, Zasha; Breaker, Ronald R

    2018-03-04

    Five distinct riboswitch classes that regulate gene expression in response to the cofactor S-adenosylmethionine (SAM) or its metabolic breakdown product S-adenosylhomocysteine (SAH) have been reported previously. Collectively, these SAM- or SAH-sensing RNAs constitute the most abundant collection of riboswitches, and are found in nearly every major bacterial lineage. Here, we report a potential sixth member of this pervasive riboswitch family, called SAM-VI, which is predominantly found in Bifidobacterium species. SAM-VI aptamers selectively bind the cofactor SAM and strongly discriminate against SAH. The consensus sequence and structural model for SAM-VI share some features with the consensus model for the SAM-III riboswitch class, whose members are mainly found in lactic acid bacteria. However, there are sufficient differences between the two classes such that current bioinformatics methods separately cluster representatives of the two motifs. These findings highlight the abundance of RNA structures that can form to selectively recognize SAM, and showcase the ability of RNA to utilize diverse strategies to perform similar biological functions.

  3. Three-input gate logic circuits on chemically assembled single-electron transistors with organic and inorganic hybrid passivation layers.

    Science.gov (United States)

    Majima, Yutaka; Hackenberger, Guillaume; Azuma, Yasuo; Kano, Shinya; Matsuzaki, Kosuke; Susaki, Tomofumi; Sakamoto, Masanori; Teranishi, Toshiharu

    2017-01-01

    Single-electron transistors (SETs) are sub-10-nm scale electronic devices based on conductive Coulomb islands sandwiched between double-barrier tunneling barriers. Chemically assembled SETs with alkanethiol-protected Au nanoparticles show highly stable Coulomb diamonds and two-input logic operations. The combination of bottom-up and top-down processes used to form the passivation layer is vital for realizing multi-gate chemically assembled SET circuits, as this combination enables us to connect conventional complementary metal oxide semiconductor (CMOS) technologies via planar processes. Here, three-input gate exclusive-OR (XOR) logic operations are demonstrated in passivated chemically assembled SETs. The passivation layer is a hybrid bilayer of self-assembled monolayers (SAMs) and pulsed laser deposited (PLD) aluminum oxide (AlO[Formula: see text]), and top-gate electrodes were prepared on the hybrid passivation layers. Top and two-side-gated SETs showed clear Coulomb oscillation and diamonds for each of the three available gates, and three-input gate XOR logic operation was clearly demonstrated. These results show the potential of chemically assembled SETs to work as logic devices with multi-gate inputs using organic and inorganic hybrid passivation layers.

  4. An ultrathin polymer coating of carboxylate self-assembled monolayer adsorbed on passivated iron to prevent iron corrosion in 0.1 M Na2SO4

    International Nuclear Information System (INIS)

    Aramaki, Kunitsugu; Shimura, Tadashi

    2010-01-01

    For preparing an ultrathin two-dimensional polymer coating adsorbed on passivated iron, a 16-hydroxyhexadecanoate ion HO(CH 2 ) 15 CO 2 - self-assembled monolayer (SAM) was modified with 1,2-bis(triethoxysilyl)ethane (C 2 H 5 O) 3 Si(CH 2 ) 2 Si(OC 2 H 5 ) 3 and octadecyltriethoxysilane C 18 H 37 Si(OC 2 H 5 ) 3 . Protection of passivated iron against passive film breakdown and corrosion of iron was investigated by monitoring of the open-circuit potential and repeated polarization measurements in an aerated 0.1 M Na 2 SO 4 solution during immersion for many hours. The time required for passive film breakdown of the polymer-coated electrode was markedly higher in this solution than that of the passivated one, indicating protection of the passive film from breakdown by coverage with the polymer coating. The protective efficiencies of the passive film covered with the coating were extremely high, more than 99.9% in 0.1 M Na 2 SO 4 before the passive film was broken down, showing prominent cooperative suppression of iron corrosion in the solution by coverage with the passive film and polymer coating. The polymer-coated surface was characterized by contact angle measurement and electron-probe microanalysis (EPMA). Prevention of passive film breakdown and iron corrosion for the polymer-coated electrode healed in 0.1 M NaNO 3 was also examined in 0.1 M Na 2 SO 4 .

  5. Electron transport through rectifying self-assembled monolayer diodes on silicon: Fermi-level pinning at the molecule-metal interface.

    Science.gov (United States)

    Lenfant, S; Guerin, D; Tran Van, F; Chevrot, C; Palacin, S; Bourgoin, J P; Bouloussa, O; Rondelez, F; Vuillaume, D

    2006-07-20

    We report the synthesis and characterization of molecular rectifying diodes on silicon using sequential grafting of self-assembled monolayers of alkyl chains bearing a pi group at their outer end (Si/sigma-pi/metal junctions). We investigate the structure-performance relationships of these molecular devices, and we examine the extent to which the nature of the pi end group (change in the energy position of their molecular orbitals) drives the properties of these molecular diodes. Self-assembled monolayers of alkyl chains (different chain lengths from 6 to 15 methylene groups) functionalized by phenyl, anthracene, pyrene, ethylene dioxythiophene, ethylene dioxyphenyl, thiophene, terthiophene, and quaterthiophene were synthesized and characterized by contact angle measurements, ellipsometry, Fourier transform infrared spectroscopy, and atomic force microscopy. We demonstrate that reasonably well-packed monolayers are obtained in all cases. Their electrical properties were assessed by dc current-voltage characteristics and high-frequency (1-MHz) capacitance measurements. For all of the pi groups investigated here, we observed rectification behavior. These results extend our preliminary work using phenyl and thiophene groups (Lenfant et al., Nano Lett. 2003, 3, 741). The experimental current-voltage curves were analyzed with a simple analytical model, from which we extracted the energy position of the molecular orbital of the pi group in resonance with the Fermi energy of the electrodes. We report experimental studies of the band lineup in these silicon/alkyl pi-conjugated molecule/metal junctions. We conclude that Fermi-level pinning at the pi group/metal interface is mainly responsible for the observed absence of a dependence of the rectification effect on the nature of the pi groups, even though the groups examined were selected to have significant variations in their electronic molecular orbitals.

  6. SAM Photovoltaic Model Technical Reference

    Energy Technology Data Exchange (ETDEWEB)

    Gilman, P. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2015-05-27

    This manual describes the photovoltaic performance model in the System Advisor Model (SAM). The U.S. Department of Energy’s National Renewable Energy Laboratory maintains and distributes SAM, which is available as a free download from https://sam.nrel.gov. These descriptions are based on SAM 2015.1.30 (SSC 41).

  7. Parabolic Trough Collector Cost Update for the System Advisor Model (SAM)

    Energy Technology Data Exchange (ETDEWEB)

    Kurup, Parthiv [National Renewable Energy Lab. (NREL), Golden, CO (United States); Turchi, Craig S. [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2015-11-01

    This report updates the baseline cost for parabolic trough solar fields in the United States within NREL's System Advisor Model (SAM). SAM, available at no cost at https://sam.nrel.gov/, is a performance and financial model designed to facilitate decision making for people involved in the renewable energy industry. SAM is the primary tool used by NREL and the U.S. Department of Energy (DOE) for estimating the performance and cost of concentrating solar power (CSP) technologies and projects. The study performed a bottom-up build and cost estimate for two state-of-the-art parabolic trough designs -- the SkyTrough and the Ultimate Trough. The SkyTrough analysis estimated the potential installed cost for a solar field of 1500 SCAs as $170/m2 +/- $6/m2. The investigation found that SkyTrough installed costs were sensitive to factors such as raw aluminum alloy cost and production volume. For example, in the case of the SkyTrough, the installed cost would rise to nearly $210/m2 if the aluminum alloy cost was $1.70/lb instead of $1.03/lb. Accordingly, one must be aware of fluctuations in the relevant commodities markets to track system cost over time. The estimated installed cost for the Ultimate Trough was only slightly higher at $178/m2, which includes an assembly facility of $11.6 million amortized over the required production volume. Considering the size and overall cost of a 700 SCA Ultimate Trough solar field, two parallel production lines in a fully covered assembly facility, each with the specific torque box, module and mirror jigs, would be justified for a full CSP plant.

  8. Self-assembling siloxane bilayer directly on SiO2 surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives.

    Science.gov (United States)

    Chen, Ying; Xu, Pengcheng; Li, Xinxin

    2010-07-02

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO(2) surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO(2) cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  9. Self-assembling siloxane bilayer directly on SiO2 surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives

    International Nuclear Information System (INIS)

    Chen Ying; Xu Pengcheng; Li Xinxin

    2010-01-01

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO 2 surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO 2 cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  10. Chiral hierarchical self-assembly in Langmuir monolayers of diacetylenic lipids

    KAUST Repository

    Basnet, Prem B.

    2013-01-01

    When compressed in the intermediate temperature range below the chain-melting transition yet in the low-pressure liquid phase, Langmuir monolayers made of chiral lipid molecules form hierarchical structures. Using Brewster angle microscopy to reveal this structure, we found that as the liquid monolayer is compressed, an optically anisotropic condensed phase nucleates in the form of long, thin claws. These claws pack closely to form stripes. This appears to be a new mechanism for forming stripes in Langmuir monolayers. In the lower temperature range, these stripes arrange into spirals within overall circular domains, while near the chain-melting transition, the stripes arrange into target patterns. We attributed this transition to a change in boundary conditions at the core of the largest-scale circular domains. © 2013 The Royal Society of Chemistry.

  11. Molecular Electronics of Self-Assembled Monolayers

    DEFF Research Database (Denmark)

    Wang, Xintai

    This thesis deals withmolecular electronic investigations on self-assembledmonolayers. The thesis is divided into seven chapters, as outlined below.Chapter 1 is a general introduction of the history of molecular electronics and its current state.Chapter 2 is separated into three parts. Part I...... providesa brief introduction toself-assembledmonolayers(SAMs), includingits structure, formation, and its role in molecular electronic investigations. Part II is an introduction of different molecular functions, which are interesting for designing real devices. Part III is an introduction of a novel carbon...... material: graphene, and how such material can be incorporated intothe field of molecular electronics.Chapter 3 is a brief introduction of important instruments used in this thesis.Chapter 4, 5 and 6 describe the major experimental work in this thesis. Chapter 4 introduces two novel anchoring...

  12. Graphitization of self-assembled monolayers using patterned nickel-copper layers

    Science.gov (United States)

    Yang, Gwangseok; Kim, Hong-Yeol; Kim, Jihyun

    2017-07-01

    Controlling the optical and electrical properties of graphene is of great importance because it is directly related to commercialization of graphene-based electronic and optoelectronic devices. The development of a spatially controlled layer-tunable and direct growth method is a favored strategy because it allows for the manipulation of the optical and electrical properties of graphene without complex processes. Here, patterned Ni on Cu layers is employed to achieve spatially thickness-tuned graphene because its thickness depends on the carbon solubility of catalytic metals. Transfer-free graphene is directly grown on an arbitrary target substrate by using self-assembled monolayers as the carbon source. The optical transmittance at a wavelength of 550 nm and the sheet resistance of graphene are adjusted from 65.0% and 2.33 kΩ/◻ to 85.8% and 7.98 kΩ/◻, respectively. Ambipolar behavior with a hole carrier mobility of 3.4 cm2/(V.s) is obtained from the fabricated device. Therefore, a spatially controlled layer-tunable and transfer-free growth method can be used to realize advanced designs for graphene-based optical and electrical devices.

  13. Transfer-Free Growth of Multilayer Graphene Using Self-Assembled Monolayers.

    Science.gov (United States)

    Yang, Gwangseok; Kim, Hong-Yeol; Jang, Soohwan; Kim, Jihyun

    2016-10-12

    Large-area graphene needs to be directly synthesized on the desired substrates without using a transfer process so that it can easily be used in industrial applications. However, the development of a direct method for graphene growth on an arbitrary substrate remains challenging. Here, we demonstrate a bottom-up and transfer-free growth method for preparing multilayer graphene using a self-assembled monolayer (trimethoxy phenylsilane) as the carbon source. Graphene was directly grown on various substrates such as SiO 2 /Si, quartz, GaN, and textured Si by a simple thermal annealing process employing catalytic metal encapsulation. To determine the optimal growth conditions, experimental parameters such as the choice of catalytic metal, growth temperatures, and gas flow rate were investigated. The optical transmittance at 550 nm and the sheet resistance of the prepared transfer-free graphene are 84.3% and 3500 Ω/□, respectively. The synthesized graphene samples were fabricated into chemical sensors. High and fast responses to both NO 2 and NH 3 gas molecules were observed. The transfer-free graphene growth method proposed in this study is highly compatible with previously established fabrication systems, thereby opening up new possibilities for using graphene in versatile applications.

  14. Cyclic voltammetry and scanning electrochemical microscopy studies of methylene blue immobilized on the self-assembled monolayer of n-dodecanethiol

    International Nuclear Information System (INIS)

    Salamifar, Seyed Ehsan; Mehrgardi, Masoud Ayatollahi; Kazemi, Sayed Habib; Mousavi, Mir Fazllollah

    2010-01-01

    Electron transfer (ET) kinetics through n-dodecanethiol (C 12 SH) self-assembled monolayer on gold electrode was studied using cyclic voltammetry (CV), scanning electrochemical microscopy (SECM) and electrochemical impedance spectroscopy (EIS). An SECM model for compensating pinhole contribution, was used to measure the ET kinetics of solution-phase probes of ferrocyanide/ferricyanide (Fe(CN) 6 4-/3- ) and ferrocenemethanol/ferrociniummethanol (FMC 0/+ ) through the C 12 SH monolayer yielding standard tunneling rate constant (k ET 0 ) of (4 ± 1) x 10 -11 and (3 ± 1) x 10 -10 cm s -1 for Fe(CN) 6 4-/3- and FMC 0/+ respectively. Decay tunneling constants (β) of 0.97 and 0.96 A -1 for saturated alkane thiol chains were obtained using Fe(CN) 6 4- and FMC respectively. Also, it was found that methylene blue (MB) molecules are effectively immobilized on the C 12 SH monolayer and can mediate the ET between the solution-phase probes and underlying gold substrate. SECM-mediated model was used to simultaneously measure the bimolecular ET between the solution-phase probes and the monolayer-immobilized MB molecules, as well as tunneling ET between the monolayer-immobilized MB molecules and the underlying gold electrode, allowing the measurement of k BI = (5 ± 1) x 10 6 and (4 ± 2) x 10 7 cm 3 mol -1 s -1 for the bimolecular ET and k ET/MB 0 =(1±0.3)x10 -3 and (7 ± 3) x 10 -2 s -1 for the standard tunneling rate constant of ET using Fe(CN) 6 4-/3- and FMC 0/+ probes respectively.

  15. Self-assembled organic radicals on Au(111) surfaces: a combined ToF-SIMS, STM, and ESR study.

    Science.gov (United States)

    Mannini, Matteo; Sorace, Lorenzo; Gorini, Lapo; Piras, Federica M; Caneschi, Andrea; Magnani, Agnese; Menichetti, Stefano; Gatteschi, Dante

    2007-02-27

    Electron spin resonance (ESR), time-of-flight secondary ion mass spectrometry (ToF-SIMS), and scanning tunneling microscopy (STM) have been used in parallel to characterize the deposition on gold surface of a series of nitronyl nitroxide radicals. These compounds have been specifically synthesized with methyl-thio linking groups suitable to interact with the gold surface to form self-assembled monolayers (SAMs), which can be considered relevant in the research for molecular-based spintronics devices, as suggested in recent papers. The degree of the expected ordering on the surface of these SAMs has been tuned by varying the chemical structure of synthesized radicals. ToF-SIMS has been used to support the evidence of the occurrence of the deposition process. STM has shown the different qualities of the obtained SAMs, with the degree of local order increasing as the degree of freedom of the molecules on the surface is decreased. Finally, ESR has confirmed that the deposition process does not affect the paramagnetic characteristics of radicals and that it affords a complete single-layered coverage of the surface. Further, the absence of angular dependence in the spectra indicates that the small regions of local ordering do not give rise to a long-range order and suggests a quite large mobility of the radical on the surface, probably due to the weak interaction with gold provided by the methyl-thio linking group.

  16. Self-assembled monolayers of shape-persistent macrocycles on graphite: interior design and conformational polymorphism.

    Science.gov (United States)

    Vollmeyer, Joscha; Eberhagen, Friederike; Höger, Sigurd; Jester, Stefan-S

    2014-01-01

    Three shape-persistent naphthylene-phenylene-acetylene macrocycles of identical backbone structures and extraannular substitution patterns but different (empty, apolar, polar) nanopore fillings are self-assembled at the solid/liquid interface of highly oriented pyrolytic graphite and 1,2,4-trichlorobenzene. Submolecularly resolved images of the resulting two-dimensional (2D) crystalline monolayer patterns are obtained by in situ scanning tunneling microscopy. A concentration-dependent conformational polymorphism is found, and open and more dense packing motifs are observed. For all three compounds alike lattice parameters are found, therefore the intermolecular macrocycle distances are mainly determined by their size and symmetry. This is an excellent example that the graphite acts as a template for the macrocycle organization independent from their specific interior.

  17. Self-assembled monolayers of shape-persistent macrocycles on graphite: interior design and conformational polymorphism

    Directory of Open Access Journals (Sweden)

    Joscha Vollmeyer

    2014-11-01

    Full Text Available Three shape-persistent naphthylene–phenylene–acetylene macrocycles of identical backbone structures and extraannular substitution patterns but different (empty, apolar, polar nanopore fillings are self-assembled at the solid/liquid interface of highly oriented pyrolytic graphite and 1,2,4-trichlorobenzene. Submolecularly resolved images of the resulting two-dimensional (2D crystalline monolayer patterns are obtained by in situ scanning tunneling microscopy. A concentration-dependent conformational polymorphism is found, and open and more dense packing motifs are observed. For all three compounds alike lattice parameters are found, therefore the intermolecular macrocycle distances are mainly determined by their size and symmetry. This is an excellent example that the graphite acts as a template for the macrocycle organization independent from their specific interior.

  18. The Au-S bond in biomolecular adsorption and electrochemical electron transfer

    DEFF Research Database (Denmark)

    Ford, M. J.; Hush, N. S.; Marcuccio, S.

    Interfacial electrochemical electron transfer (ET) of redox metalloproteins is long established. For the proteins to retain full ET or enzyme activity, modification of the electrode surfaces, such as goldsurfaces by self-assembled molecular monolayers (SAMs), is nearly always required, where pure...

  19. Structural and electronic properties of single molecules and organic layers on surfaces

    NARCIS (Netherlands)

    Sotthewes, Kai

    2016-01-01

    Single molecules and organic layers on well-defined solid surfaces have attracted tremendous attention owing to their interesting physical and chemical properties. The ultimate utility of single molecules or self-assembled monolayers (SAMs) for potential applications is critically dependent on the

  20. Effects of Immersion Solvent on Photovoltaic and Photophysical Properties of Porphyrin-Sensitized Solar Cells.

    Science.gov (United States)

    Hayashi, Hironobu; Higashino, Tomohiro; Kinjo, Yuriko; Fujimori, Yamato; Kurotobi, Kei; Chabera, Pavel; Sundström, Villy; Isoda, Seiji; Imahori, Hiroshi

    2015-08-26

    Memory effects in self-assembled monolayers (SAMs) of zinc porphyrin carboxylic acid on TiO2 electrodes have been demonstrated for the first time by evaluating the photovoltaic and electron transfer properties of porphyrin-sensitized solar cells prepared by using different immersion solvents sequentially. The structure of the SAM of the porphyrin on the TiO2 was maintained even after treating the porphyrin monolayer with different neat immersion solvents (memory effect), whereas it was altered by treatment with solutions containing different porphyrins (inverse memory effect). Infrared spectroscopy shows that the porphyrins in the SAM on the TiO2 could be exchanged with the same or analogous porphyrin, leading to a change in the structure of the porphyrin SAM. The memory and inverse memory effects are well correlated with a change in porphyrin geometry, mainly the tilt angle of the porphyrin along the long molecular axis from the surface normal on the TiO2, as well as with kinetics of electron transfer between the porphyrin and TiO2. Such a new structure-function relationship for DSSCs will be very useful for the rational design and optimization of photoelectrochemical and photovoltaic properties of molecular assemblies on semiconductor surfaces.

  1. A Simple Small Size and Low Cost Sensor Based on Surface Plasmon Resonance for Selective Detection of Fe(III

    Directory of Open Access Journals (Sweden)

    Nunzio Cennamo

    2014-03-01

    Full Text Available A simple, small size, and low cost sensor based on a Deferoxamine Self Assembled Monolayer (DFO-SAM and Surface Plasmon Resonance (SPR transduction, in connection with a Plastic Optical Fiber (POF, has been developed for the selective detection of Fe(III. DFO-SAM sensors based on appropriate electrochemical techniques can be frequently found in the scientific literature. In this work, we present the first example of a DFO-SAM sensor based on SPR in an optical fiber. The SPR sensing platform was realized by removing the cladding of a plastic optical fiber along half the circumference, spin coating a buffer of Microposit S1813 photoresist on the exposed core, and finally sputtering a thin gold film. The hydroxamate siderophore deferoxamine (DFO, having high binding affinity for Fe(III, is then used in its immobilized form, as self-assembled monolayer on the gold layer surface of the POF sensor. The results showed that the DFO-SAM-POF-sensor was able to sense the formation of the Fe(III/DFO complex in the range of concentrations between 1 μm and 50 μm with a linearity range from 0 to 30 μm of Fe(III. The selectivity of the sensor was also proved by interference tests.

  2. A simple small size and low cost sensor based on surface plasmon resonance for selective detection of Fe(III).

    Science.gov (United States)

    Cennamo, Nunzio; Alberti, Giancarla; Pesavento, Maria; D'Agostino, Girolamo; Quattrini, Federico; Biesuz, Raffaela; Zeni, Luigi

    2014-03-07

    A simple, small size, and low cost sensor based on a Deferoxamine Self Assembled Monolayer (DFO-SAM) and Surface Plasmon Resonance (SPR) transduction, in connection with a Plastic Optical Fiber (POF), has been developed for the selective detection of Fe(III). DFO-SAM sensors based on appropriate electrochemical techniques can be frequently found in the scientific literature. In this work, we present the first example of a DFO-SAM sensor based on SPR in an optical fiber. The SPR sensing platform was realized by removing the cladding of a plastic optical fiber along half the circumference, spin coating a buffer of Microposit S1813 photoresist on the exposed core, and finally sputtering a thin gold film. The hydroxamate siderophore deferoxamine (DFO), having high binding affinity for Fe(III), is then used in its immobilized form, as self-assembled monolayer on the gold layer surface of the POF sensor. The results showed that the DFO-SAM-POF-sensor was able to sense the formation of the Fe(III)/DFO complex in the range of concentrations between 1 μm and 50 μm with a linearity range from 0 to 30 μm of Fe(III). The selectivity of the sensor was also proved by interference tests.

  3. Tip-Loading, Force-Dependent Tunneling Behavior in Alkanethiol Self-Assembled Monolayers Studied Through Conducting Atomic Force Microscopy

    International Nuclear Information System (INIS)

    Lee, Min Hyung; Song, Hyun Wook

    2013-01-01

    The force-dependent tunneling transport in metal/alkanethiol/metal junctions was examined using CAFM. Tunneling current and current density through alkanethiol SAMs increased with increasing tip-loading force in CAFM, which suggests that a potential change in geometry of the molecules under the tip loads influences the transport properties of alkanethiol SAMs. Enhanced intermolecular tunneling transport in the tilted molecular configuration under tip-loading effect is likely responsible for such an increase in tunneling current density. We also demonstrated that through-bond tunneling is a more efficient pathway in alkanethiol SAMs than are intermolecular chain-to-chain pathways, by demonstrating a dependence of current density on the associated tunneling distances. We report a tip-loading, force-dependent tunneling behavior in alkanethiol SAMs using CAFM. A variable tip-loading force applies to alkanethiol SAMs with a standard AFM feedback, and current(I)-voltage(V) characteristics are simultaneously measured while varying the loading forces. In particular, we observe how a tip-loading force in CAFM influences the transport properties of alkanethiol SAMs

  4. Formation and electrochemical investigation of ordered cobalt coordinated peptide monolayers on gold substrates

    International Nuclear Information System (INIS)

    Wang Xinxin; Nagata, Kenji; Higuchi, Masahiro

    2012-01-01

    The monolayers composed of cobalt coordinated peptides were prepared on gold substrates by two different approaches. One was the self-assembly method, which was used to prepare a peptide monolayer on the gold substrate via the spontaneous attachment of peptides owing to the interaction between gold and sulfur at the N-terminal of the peptide. The other one was the stepwise polymerization method that was utilized to fabricate the unidirectionally arranged peptide monolayer by the stepwise condensation of amino acids from the initiator fixed on the gold substrate. Leu 2 Ala(4-Pyri)Leu 6 Ala(4-Pyri)Leu 6 sequence was chosen as the cobalt coordinated peptide. The 4-pyridyl alanines, Ala(4-Pyri)s, were introduced as ligands for cobalt to the leucine-rich sequential peptide. The complexation between cobalt and pyridyl groups of the peptide induced the formation of a stable α-helical bundle, which oriented perpendicularly to the substrate surface. In the case of the monolayer fabricated by the stepwise polymerization method, the direction of the peptide macro-dipole moment aligned unidirectionally, and the cobalt complexes were fixed in the monolayer to form the ordered arrangement. On the other hand, the peptides prepared by the self-assembly method formed the mixture of parallel and antiparallel packing owing to the dipole-dipole interaction. The spatial location of the cobalt complexes in the monolayer prepared by the self-assembly method was distorted, compared with that in the monolayer fabricated by the stepwise polymerization method. The vectorial electron flow through the peptide monolayer was achieved by the regular alignment of the peptide macro-dipole moment and the cobalt complexes in the monolayer fabricated by the stepwise polymerization method. - Highlights: ► We fabricated ordered Co coordinated peptide monolayers on the gold substrates. ► The Co complexes in peptide monolayer formed an ordered arrangement of the peptide. ► The peptide macro

  5. Natural Deposition Strategy for Interfacial, Self-Assembled, Large-Scale, Densely Packed, Monolayer Film with Ligand-Exchanged Gold Nanorods for In Situ Surface-Enhanced Raman Scattering Drug Detection.

    Science.gov (United States)

    Mao, Mei; Zhou, Binbin; Tang, Xianghu; Chen, Cheng; Ge, Meihong; Li, Pan; Huang, Xingjiu; Yang, Liangbao; Liu, Jinhuai

    2018-03-15

    Liquid interfacial self-assembly of metal nanoparticles holds great promise for its various applications, such as in tunable optical devices, plasmonics, sensors, and catalysis. However, the construction of large-area, ordered, anisotropic, nanoparticle monolayers and the acquisition of self-assembled interface films are still significant challenges. Herein, a rapid, validated method to fabricate large-scale, close-packed nanomaterials at the cyclohexane/water interface, in which hydrophilic cetyltrimethylammonium bromide coated nanoparticles and gold nanorods (AuNRs) self-assemble into densely packed 2D arrays by regulating the surface ligand and suitable inducer, is reported. Decorating AuNRs with polyvinylpyrrolidone not only extensively decreases the charge of AuNRs, but also diminishes repulsive forces. More importantly, a general, facile, novel technique to transfer an interfacial monolayer through a designed in situ reaction cell linked to a microfluidic chip is revealed. The self-assembled nanofilm can then automatically settle on the substrate and be directly detected in the reaction cell in situ by means of a portable Raman spectrometer. Moreover, a close-packed monolayer of self-assembled AuNRs provides massive, efficient hotspots to create great surface-enhanced Raman scattering (SERS) enhancement, which provides high sensitivity and reproducibility as the SERS-active substrate. Furthermore, this strategy was exploited to detect drug molecules in human urine for cyclohexane-extracted targets acting as the oil phase to form an oil/water interface. A portable Raman spectrometer was employed to detect methamphetamine down to 100 ppb levels in human urine, exhibiting excellent practicability. As a universal platform, handy tool, and fast pretreatment method with a good capability for drug detection in biological systems, this technique shows great promise for rapid, credible, and on-spot drug detection. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Nanopatterned surface with adjustable area coverage and feature size fabricated by photocatalysis

    Energy Technology Data Exchange (ETDEWEB)

    Bai Yang; Zhang Yan; Li Wei; Zhou Xuefeng; Wang Changsong; Feng Xin [State Key Laboratory of Materials-oriented Chemical Engineering, Nanjing University of Technology, Nanjing, Jiangsu 210009 (China); Zhang Luzheng [Petroleum Research Recovery Center, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Lu Xiaohua, E-mail: xhlu@njut.edu.cn [State Key Laboratory of Materials-oriented Chemical Engineering, Nanjing University of Technology, Nanjing, Jiangsu 210009 (China)

    2009-08-30

    We report an effective approach to fabricate nanopatterns of alkylsilane self-assembly monolayers (SAMs) with desirable coverage and feature size by gradient photocatalysis in TiO{sub 2} aqueous suspension. Growth and photocatalytic degradation of octadecyltrichlorosilane (OTS) were combined to fabricate adjustable monolayered nanopatterns on mica sheet in this work. Systematic atomic force microscopy (AFM) analysis showed that OTS-SAMs that have similar area coverage with different feature sizes and similar feature size with different area coverages can be fabricated by this approach. Contact angle measurement was applied to confirm the gradually varied nanopatterns contributed to the gradient of UV light illumination. Since this approach is feasible for various organic SAMs and substrates, a versatile method was presented to prepare tunable nanopatterns with desirable area coverage and feature size in many applications, such as molecular and biomolecular recognition, sensor and electrode modification.

  7. Nanopatterned surface with adjustable area coverage and feature size fabricated by photocatalysis

    International Nuclear Information System (INIS)

    Bai Yang; Zhang Yan; Li Wei; Zhou Xuefeng; Wang Changsong; Feng Xin; Zhang Luzheng; Lu Xiaohua

    2009-01-01

    We report an effective approach to fabricate nanopatterns of alkylsilane self-assembly monolayers (SAMs) with desirable coverage and feature size by gradient photocatalysis in TiO 2 aqueous suspension. Growth and photocatalytic degradation of octadecyltrichlorosilane (OTS) were combined to fabricate adjustable monolayered nanopatterns on mica sheet in this work. Systematic atomic force microscopy (AFM) analysis showed that OTS-SAMs that have similar area coverage with different feature sizes and similar feature size with different area coverages can be fabricated by this approach. Contact angle measurement was applied to confirm the gradually varied nanopatterns contributed to the gradient of UV light illumination. Since this approach is feasible for various organic SAMs and substrates, a versatile method was presented to prepare tunable nanopatterns with desirable area coverage and feature size in many applications, such as molecular and biomolecular recognition, sensor and electrode modification.

  8. Congressmember Sam Farr: Five Decades of Public Service

    OpenAIRE

    Reti, Irene H.; Farr, Sam

    2017-01-01

    Congressmember Sam Farr (born July 4, 1941) represented California’s Central Coast in the United States House of Representatives for twenty-three years until his retirement from office in 2016.  Farr also served six years as a member of the Monterey County Board of Supervisors and twelve years in the California State Assembly. This oral history, a transcript of twenty-five hours of interviews conducted by Irene Reti, director of the UCSC Library’s Regional History Project, during the period i...

  9. Robust, self-assembled, biocompatible films

    Energy Technology Data Exchange (ETDEWEB)

    Swanson, Basil I; Anderson, Aaron S.; Dattelbaum, Andrew M.; Schmidt, Jurgen G.

    2014-06-24

    The present invention provides a composite material including a substrate having an oxide surface, and, a continuous monolayer on the oxide surface, the monolayer including a silicon atom from a trifunctional alkyl/alkenyl/alkynyl silane group that attaches to the oxide surface, an alkyl/alkenyl/alkynyl portion of at least three carbon atoms, a polyalkylene glycol spacer group, and either a reactive site (e.g., a recognition ligand) or a site resistant to non-specific binding (e.g., a methoxy or the like) at the terminus of each modified SAM. The present invention further provides a sensor element, a sensor array and a method of sensing, each employing the composite material. Patterning is also provided together with backfilling to minimize non-specific binding.

  10. Protein immobilization on Ni(II) ion patterns prepared by microcontact printing and dip-pen nanolithography

    NARCIS (Netherlands)

    Wu, Chien-Ching; Reinhoudt, David N; Otto, Cees; Velders, Aldrik H; Subramaniam, Vinod

    2010-01-01

    An indirect method of protein patterning by using Ni(II) ion templates for immobilization via a specific metal-protein interaction is described. A nitrilotriacetic acid (NTA)-terminated self-assembled monolayer (SAM) allows oriented binding of histidine-tagged proteins via complexation with late

  11. Investigation of Streptococcus mutans biofilm growth on modified Au(111)-surfaces using AFM and electrochemistry

    DEFF Research Database (Denmark)

    Hu, Yifan; Zhang, Jingdong; Ulstrup, Jens

    2011-01-01

    Biofilms of the bacterium Streptococcus mutans constitute perhaps the most important direct cause of human dental caries formation. We have studied S. mutans biofilm formation and properties on Au(111)-surfaces modified by self-assembled molecular monolayers (SAMs) of different thiol-based molecu...

  12. Oriented crystalline monolayers and bilayers of 2 x 2 silver(1) grid architectures at the air-solution interface: Their assembly and crystal structure elucidation

    DEFF Research Database (Denmark)

    Weissbuch, J.; Baxter, P.N.W.; Kuzmenko, I.

    2000-01-01

    Oriented crystalline monolayers, similar to 14 Angstrom thick, of a 2 x 2 Ag+ grid complex, self-assembled at the air-solution interface starting from an water-insoluble ligand 3,6-bis[2-(6-phenylpyridine)]pyridazine spread on silver-ion-containing solutions,were examined by grazing-incidence X...

  13. Cyclic voltammetry and scanning electrochemical microscopy studies of methylene blue immobilized on the self-assembled monolayer of n-dodecanethiol

    Energy Technology Data Exchange (ETDEWEB)

    Salamifar, Seyed Ehsan [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Mehrgardi, Masoud Ayatollahi [Department of Chemistry, University of Isfahan, Isfahan (Iran, Islamic Republic of); Kazemi, Sayed Habib [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan (Iran, Islamic Republic of); Mousavi, Mir Fazllollah, E-mail: mousavim@modares.ac.i [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of)

    2010-12-30

    Electron transfer (ET) kinetics through n-dodecanethiol (C{sub 12}SH) self-assembled monolayer on gold electrode was studied using cyclic voltammetry (CV), scanning electrochemical microscopy (SECM) and electrochemical impedance spectroscopy (EIS). An SECM model for compensating pinhole contribution, was used to measure the ET kinetics of solution-phase probes of ferrocyanide/ferricyanide (Fe(CN){sub 6}{sup 4-/3-}) and ferrocenemethanol/ferrociniummethanol (FMC{sup 0/+}) through the C{sub 12}SH monolayer yielding standard tunneling rate constant (k{sub ET}{sup 0}) of (4 {+-} 1) x 10{sup -11} and (3 {+-} 1) x 10{sup -10} cm s{sup -1} for Fe(CN){sub 6}{sup 4-/3-} and FMC{sup 0/+} respectively. Decay tunneling constants ({beta}) of 0.97 and 0.96 A{sup -1} for saturated alkane thiol chains were obtained using Fe(CN){sub 6}{sup 4-} and FMC respectively. Also, it was found that methylene blue (MB) molecules are effectively immobilized on the C{sub 12}SH monolayer and can mediate the ET between the solution-phase probes and underlying gold substrate. SECM-mediated model was used to simultaneously measure the bimolecular ET between the solution-phase probes and the monolayer-immobilized MB molecules, as well as tunneling ET between the monolayer-immobilized MB molecules and the underlying gold electrode, allowing the measurement of k{sub BI} = (5 {+-} 1) x 10{sup 6} and (4 {+-} 2) x 10{sup 7} cm{sup 3} mol{sup -1} s{sup -1} for the bimolecular ET and k{sub ET/MB}{sup 0}=(1{+-}0.3)x10{sup -3} and (7 {+-} 3) x 10{sup -2} s{sup -1} for the standard tunneling rate constant of ET using Fe(CN){sub 6}{sup 4-/3-} and FMC{sup 0/+} probes respectively.

  14. Functionalizable self-assembled trichlorosilyl-based monolayer for application in biosensor technology

    Energy Technology Data Exchange (ETDEWEB)

    De La Franier, Brian; Jankowski, Alexander; Thompson, Michael, E-mail: mikethom@chem.utoronto.ca

    2017-08-31

    This paper describes the design and synthesis of 3-(3-(trichlorosilyl)propoxy)propanoyl chloride (MEG-Cl), a compound capable of forming functionalizable monolayers on hydroxylated surfaces. The compound was synthesized in high purity, as suggested by nuclear magnetic resonance analysis, and in moderate overall yield. Contact angle measurement and X-ray photoelectron spectroscopy confirm the binding of MEG-Cl to an amorphous glass substrate and the further modification of the monolayer with a nickel (II)-binding ligand for the purpose of binding polyhistidine-tagged proteins. The compound will be useful in biosensing applications due to its ability to be easily modified with any number of nucleophilic functional groups subsequent to substrate monolayer formation.

  15. Sum frequency generation (SFG) vibrational spectroscopy of planar phosphatidylethanolamine hybrid bilayer membranes under water.

    Science.gov (United States)

    Kett, Peter J N; Casford, Michael T L; Davies, Paul B

    2010-06-15

    Sum frequency generation (SFG) spectroscopy has been used to study the structure of phosphatidylethanolamine hybrid bilayer membranes (HBMs) under water at ambient temperatures. The HBMs were formed using a modified Langmuir-Schaefer technique and consisted of a layer of dipalmitoyl phosphatidylethanolamine (DPPE) physisorbed onto an octadecanethiol (ODT) self-assembled monolayer (SAM) at a series of surface pressures from 1 to 40 mN m(-1). The DPPE and ODT were selectively deuterated so that the contributions to the SFG spectra from the two layers could be determined separately. SFG spectra in both the C-H and C-D stretching regions confirmed that a monolayer of DPPE had been adsorbed to the ODT SAM and that there were gauche defects within the alkyl chains of the phospholipid. On adsorption of a layer of DPPE, methylene modes from the ODT SAM were detected, indicating that the phospholipid had partially disordered the alkanethiol monolayer. SFG spectra recorded in air indicated that removal of water from the surface of the HBM resulted in disruption of the DPPE layer and the formation of phospholipid bilayers.

  16. SYNCHROTRON X-RAY OBSERVATIONS OF A MONOLAYER TEMPLATE FOR MINERALIZATION

    International Nuclear Information System (INIS)

    Dimasi, E.; Gower, L.B.

    2000-01-01

    Mineral nucleation at a Langmuir film interface has been studied by synchrotron x-ray scattering. Diluted calcium bicarbonate solutions were used as subphases for arachidic and stearic acid monolayers, compressed in a Langmuir trough. Self-assembly of the monolayer template is observed directly, and subsequent crystal growth monitored in-situ

  17. Experimental Investigation Of Microbially Induced Corrosion Of Test Samples And Effect Of Self-assembled Hydrophobic Monolayers. Exposure Of Test Samples To Continuous Microbial Cultures, Chemical Analysis, And Biochemical Studies

    CERN Document Server

    Laurinavichius, K S

    1998-01-01

    Experimental Investigation Of Microbially Induced Corrosion Of Test Samples And Effect Of Self-assembled Hydrophobic Monolayers. Exposure Of Test Samples To Continuous Microbial Cultures, Chemical Analysis, And Biochemical Studies

  18. Dynamical simulation of electron transfer processes in self-assembled monolayers at metal surfaces using a density matrix approach.

    Science.gov (United States)

    Prucker, V; Bockstedte, M; Thoss, M; Coto, P B

    2018-03-28

    A single-particle density matrix approach is introduced to simulate the dynamics of heterogeneous electron transfer (ET) processes at interfaces. The characterization of the systems is based on a model Hamiltonian parametrized by electronic structure calculations and a partitioning method. The method is applied to investigate ET in a series of nitrile-substituted (poly)(p-phenylene)thiolate self-assembled monolayers adsorbed at the Au(111) surface. The results show a significant dependence of the ET on the orbital symmetry of the donor state and on the molecular and electronic structure of the spacer.

  19. Dynamical simulation of electron transfer processes in self-assembled monolayers at metal surfaces using a density matrix approach

    Science.gov (United States)

    Prucker, V.; Bockstedte, M.; Thoss, M.; Coto, P. B.

    2018-03-01

    A single-particle density matrix approach is introduced to simulate the dynamics of heterogeneous electron transfer (ET) processes at interfaces. The characterization of the systems is based on a model Hamiltonian parametrized by electronic structure calculations and a partitioning method. The method is applied to investigate ET in a series of nitrile-substituted (poly)(p-phenylene)thiolate self-assembled monolayers adsorbed at the Au(111) surface. The results show a significant dependence of the ET on the orbital symmetry of the donor state and on the molecular and electronic structure of the spacer.

  20. The Sam-Sam interaction between Ship2 and the EphA2 receptor: design and analysis of peptide inhibitors.

    Science.gov (United States)

    Mercurio, Flavia Anna; Di Natale, Concetta; Pirone, Luciano; Iannitti, Roberta; Marasco, Daniela; Pedone, Emilia Maria; Palumbo, Rosanna; Leone, Marilisa

    2017-12-12

    The lipid phosphatase Ship2 represents a drug discovery target for the treatment of different diseases, including cancer. Its C-terminal sterile alpha motif domain (Ship2-Sam) associates with the Sam domain from the EphA2 receptor (EphA2-Sam). This interaction is expected to mainly induce pro-oncogenic effects in cells therefore, inhibition of the Ship2-Sam/EphA2-Sam complex may represent an innovative route to discover anti-cancer therapeutics. In the present work, we designed and analyzed several peptide sequences encompassing the interaction interface of EphA2-Sam for Ship2-Sam. Peptide conformational analyses and interaction assays with Ship2-Sam conducted through diverse techniques (CD, NMR, SPR and MST), identified a positively charged penta-amino acid native motif in EphA2-Sam, that once repeated three times in tandem, binds Ship2-Sam. NMR experiments show that the peptide targets the negatively charged binding site of Ship2-Sam for EphA2-Sam. Preliminary in vitro cell-based assays indicate that -at 50 µM concentration- it induces necrosis of PC-3 prostate cancer cells with more cytotoxic effect on cancer cells than on normal dermal fibroblasts. This work represents a pioneering study that opens further opportunities for the development of inhibitors of the Ship2-Sam/EphA2-Sam complex for therapeutic applications.

  1. Gold electrode modified by self-assembled monolayers of thiols to ...

    Indian Academy of Sciences (India)

    The ssDNA probe presents a well-defined oxidation peak at +0.158 V. When the hybridization occurs, this peak disappears which confirms the efficacy of the annealing and the DNA double helix performing without the presence of electroactive indicators. The use of SAM resulted in a stable immobilization of the ssDNA ...

  2. Parallel- and serial-contact electrochemical metallization of monolayer nanopatterns: A versatile synthetic tool en route to bottom-up assembly of electric nanocircuits

    Directory of Open Access Journals (Sweden)

    Jonathan Berson

    2012-02-01

    Full Text Available Contact electrochemical transfer of silver from a metal-film stamp (parallel process or a metal-coated scanning probe (serial process is demonstrated to allow site-selective metallization of monolayer template patterns of any desired shape and size created by constructive nanolithography. The precise nanoscale control of metal delivery to predefined surface sites, achieved as a result of the selective affinity of the monolayer template for electrochemically generated metal ions, provides a versatile synthetic tool en route to the bottom-up assembly of electric nanocircuits. These findings offer direct experimental support to the view that, in electrochemical metal deposition, charge is carried across the electrode–solution interface by ion migration to the electrode rather than by electron transfer to hydrated ions in solution.

  3. Electrochemistry of Single Metalloprotein and DNA‐Based Molecules at Au(111) Electrode Surfaces

    DEFF Research Database (Denmark)

    Salvatore, Princia; Zeng, Dongdong; Karlsen, Kasper Kannegård

    2013-01-01

    We have briefly overviewed recent efforts in the electrochemistry of single transition metal complex, redox metalloprotein, and redox‐marked oligonucleotide (ON) molecules. We have particularly studied self‐assembled molecular monolayers (SAMs) of several 5′‐C6‐SH single‐ (ss) and double‐strand (...

  4. Creating periodic local strain in monolayer graphene with nanopillars patterned by self-assembled block copolymer

    Energy Technology Data Exchange (ETDEWEB)

    Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Gui, Gui; Ma, Alice L.; Ma, Zhenqiang, E-mail: nealey@uchicago.edu, E-mail: mazq@engr.wisc.edu [Department of Electrical and Computer Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Liu, Chi-Chun; Nealey, Paul F., E-mail: nealey@uchicago.edu, E-mail: mazq@engr.wisc.edu [Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States)

    2015-10-05

    A simple and viable method was developed to produce biaxial strain in monolayer graphene on an array of SiO{sub 2} nanopillars. The array of SiO{sub 2} nanopillars (1 cm{sup 2} in area, 80 nm in height, and 40 nm in pitch) was fabricated by employing self-assembled block copolymer through simple dry etching and deposition processes. According to high resolution micro-Raman spectroscopy and atomic force microscopy analyses, 0.9% of maximum biaxial tensile strain and 0.17% of averaged biaxial tensile strain in graphene were created. This technique provides a simple and viable method to form biaxial tensile strain in graphene and offers a practical platform for future studies in graphene strain engineering.

  5. SAM Theory Manual

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Rui [Argonne National Lab. (ANL), Argonne, IL (United States)

    2017-03-01

    The System Analysis Module (SAM) is an advanced and modern system analysis tool being developed at Argonne National Laboratory under the U.S. DOE Office of Nuclear Energy’s Nuclear Energy Advanced Modeling and Simulation (NEAMS) program. SAM development aims for advances in physical modeling, numerical methods, and software engineering to enhance its user experience and usability for reactor transient analyses. To facilitate the code development, SAM utilizes an object-oriented application framework (MOOSE), and its underlying meshing and finite-element library (libMesh) and linear and non-linear solvers (PETSc), to leverage modern advanced software environments and numerical methods. SAM focuses on modeling advanced reactor concepts such as SFRs (sodium fast reactors), LFRs (lead-cooled fast reactors), and FHRs (fluoride-salt-cooled high temperature reactors) or MSRs (molten salt reactors). These advanced concepts are distinguished from light-water reactors in their use of single-phase, low-pressure, high-temperature, and low Prandtl number (sodium and lead) coolants. As a new code development, the initial effort has been focused on modeling and simulation capabilities of heat transfer and single-phase fluid dynamics responses in Sodium-cooled Fast Reactor (SFR) systems. The system-level simulation capabilities of fluid flow and heat transfer in general engineering systems and typical SFRs have been verified and validated. This document provides the theoretical and technical basis of the code to help users understand the underlying physical models (such as governing equations, closure models, and component models), system modeling approaches, numerical discretization and solution methods, and the overall capabilities in SAM. As the code is still under ongoing development, this SAM Theory Manual will be updated periodically to keep it consistent with the state of the development.

  6. Directed spatial organization of zinc oxide nanostructures

    Science.gov (United States)

    Hsu, Julia [Albuquerque, NM; Liu, Jun [Richland, WA

    2009-02-17

    A method for controllably forming zinc oxide nanostructures on a surface via an organic template, which is formed using a stamp prepared from pre-defined relief structures, inking the stamp with a solution comprising self-assembled monolayer (SAM) molecules, contacting the stamp to the surface, such as Ag sputtered on Si, and immersing the surface with the patterned SAM molecules with a zinc-containing solution with pH control to form zinc oxide nanostructures on the bare Ag surface.

  7. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.

    2012-09-05

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4\\'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  8. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.; Shekhah, O.; Stammer, X.; Arslan, H.K.; Liu, B.; Schupbach, B.; Terfort, A.; Woll, C.

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  9. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Science.gov (United States)

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  10. SAM Photovoltaic Model Technical Reference 2016 Update

    Energy Technology Data Exchange (ETDEWEB)

    Gilman, Paul [National Renewable Energy Laboratory (NREL), Golden, CO (United States); DiOrio, Nicholas A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Freeman, Janine M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Janzou, Steven [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Dobos, Aron [No longer NREL employee; Ryberg, David [No longer NREL employee

    2018-03-19

    This manual describes the photovoltaic performance model in the System Advisor Model (SAM) software, Version 2016.3.14 Revision 4 (SSC Version 160). It is an update to the 2015 edition of the manual, which describes the photovoltaic model in SAM 2015.1.30 (SSC 41). This new edition includes corrections of errors in the 2015 edition and descriptions of new features introduced in SAM 2016.3.14, including: 3D shade calculator Battery storage model DC power optimizer loss inputs Snow loss model Plane-of-array irradiance input from weather file option Support for sub-hourly simulations Self-shading works with all four subarrays, and uses same algorithm for fixed arrays and one-axis tracking Linear self-shading algorithm for thin-film modules Loss percentages replace derate factors. The photovoltaic performance model is one of the modules in the SAM Simulation Core (SSC), which is part of both SAM and the SAM SDK. SAM is a user-friedly desktop application for analysis of renewable energy projects. The SAM SDK (Software Development Kit) is for developers writing their own renewable energy analysis software based on SSC. This manual is written for users of both SAM and the SAM SDK wanting to learn more about the details of SAM's photovoltaic model.

  11. Permethylated 12-Vertex p-Carborane Self-Assembled Monolayers

    Czech Academy of Sciences Publication Activity Database

    Scholz, F.; Nothofer, H. G.; Wessels, J. M.; Nelles, G.; Wrochem von, F.; Roy, S.; Chen, X.; Michl, Josef

    2011-01-01

    Roč. 115, č. 46 (2011), s. 22998-23007 ISSN 1932-7447 Grant - others:National Science Foundation(US) CHE-0848477 Institutional research plan: CEZ:AV0Z40550506 Keywords : p-carbone * monolayer * scanning tunneling microscopy * ultraviolet photoelectron spectroscopy * X-ray photoelectron Subject RIV: CC - Organic Chemistry Impact factor: 4.805, year: 2011

  12. Investigation of the geometrical arrangement and single molecule charge transport in self-assembled monolayers of molecular towers based on tetraphenylmethane tripod

    Czech Academy of Sciences Publication Activity Database

    Sebechlebská, T.; Šebera, Jakub; Kolivoška, Viliam; Lindner, M.; Gasior, Jindřich; Meszáros, G.; Valášek, M.; Mayor, M.; Hromadová, Magdaléna

    2017-01-01

    Roč. 258, DEC 20 (2017), s. 1191-1200 ISSN 0013-4686 R&D Projects: GA ČR GJ16-07460Y Grant - others:AV ČR(CZ) MTA-16-02 Program:Bilaterální spolupráce Institutional support: RVO:61388955 Keywords : molecular electronics * multipodal platforms * reductive desorption of self-assembled monolayers Subject RIV: CG - Electrochemistry OBOR OECD: Electrochemistry (dry cells, batteries, fuel cells, corrosion metals, electrolysis) Impact factor: 4.798, year: 2016

  13. Self-assembling siloxane bilayer directly on SiO{sub 2} surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives

    Energy Technology Data Exchange (ETDEWEB)

    Chen Ying; Xu Pengcheng; Li Xinxin, E-mail: xxli@mail.sim.ac.cn [State Key Lab of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2010-07-02

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO{sub 2} surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO{sub 2} cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  14. Self-assembled silver nanoparticles monolayers on mica-AFM, SEM, and electrokinetic characteristics

    International Nuclear Information System (INIS)

    Oćwieja, Magdalena; Morga, Maria; Adamczyk, Zbigniew

    2013-01-01

    A monodisperse silver particle suspension was produced by a chemical reduction method in an aqueous medium using sodium citrate. The average particle size determined by dynamic light scattering (DLS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) was 28.5 nm. The DLS measurements confirmed that the suspension was stable for the ionic strength up to 3 × 10 −2 M NaCl. The electrophoretic mobility measurements revealed that the electrokinetic charge of particles was negative for pH range 3–10, assuming −50 e for pH = 9 and 0.01 M NaCl. Using the suspension, silver particle monolayers on mica modified by poly(allylamine hydrochloride) were produced under diffusion-controlled transport. Monolayer coverage, quantitatively determined by AFM and SEM, was regulated within broad limits by adjusting the nanoparticle deposition time. This allowed one to uniquely express the zeta potential of silver monolayers, determined by the in situ streaming potential measurements, in terms of particle coverage. Such dependencies obtained for various ionic strengths and pH, were successfully interpreted in terms of the 3D electrokinetic model. A universal calibrating graph was produced in this way, enabling one to determine silver monolayer coverage from the measured value of the streaming potential. Our experimental data prove that it is feasible to produce uniform and stable silver particle monolayers of well-controlled coverage and defined electrokinetic properties.

  15. Construction of multilayers of bare and Pd modified gold nanoclusters and their electrocatalytic properties for oxygen reduction

    Directory of Open Access Journals (Sweden)

    Motoko Harada, Hidenori Noguchi, Nikolas Zanetakis, Satoru Takakusagi, Wenbo Song and Kohei Uosaki

    2011-01-01

    Full Text Available Multilayers of gold nanoclusters (GNCs coated with a thin Pd layer were constructed using GNCs modified with self-assembled monolayers (SAMs of mercaptoundecanoic acid and a polyallylamine hydrochloride (PAH multilayer assembly, which has been reported to act as a three-dimensional electrode. SAMs were removed from GNCs by electrochemical anodic decomposition and then a small amount of Pd was electrochemically deposited on the GNCs. The kinetics of the oxygen reduction reaction (ORR on the Pd modified GNC/PAH multilayer assembly was studied using a rotating disk electrode, and a significant increase in the ORR rate was observed after Pd deposition. Electrocatalytic activities in alkaline and acidic solutions were compared both for the GNC multilayer electrode and Pd modified GNC electrode.

  16. Crystal structures of the SAM-III/S[subscript MK] riboswitch reveal the SAM-dependent translation inhibition mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Lu, C.; Smith, A.M.; Fuchs, R.T.; Ding, F.; Rajashankar, K.; Henkin, T.M.; Ke, A. (Cornell); (OSU)

    2010-01-07

    Three distinct classes of S-adenosyl-L-methionine (SAM)-responsive riboswitches have been identified that regulate bacterial gene expression at the levels of transcription attenuation or translation inhibition. The SMK box (SAM-III) translational riboswitch has been identified in the SAM synthetase gene in members of the Lactobacillales. Here we report the 2.2-{angstrom} crystal structure of the Enterococcus faecalis SMK box riboswitch. The Y-shaped riboswitch organizes its conserved nucleotides around a three-way junction for SAM recognition. The Shine-Dalgarno sequence, which is sequestered by base-pairing with the anti-Shine-Dalgarno sequence in response to SAM binding, also directly participates in SAM recognition. The riboswitch makes extensive interactions with the adenosine and sulfonium moieties of SAM but does not appear to recognize the tail of the methionine moiety. We captured a structural snapshot of the SMK box riboswitch sampling the near-cognate ligand S-adenosyl-L-homocysteine (SAH) in which SAH was found to adopt an alternative conformation and fails to make several key interactions.

  17. Soft and probe lithography without ink transfer

    NARCIS (Netherlands)

    Huskens, Jurriaan; Li, X.; Péter, M.; Reinhoudt, David

    2004-01-01

    Microcontact printing (mCP) and dip-pen nanolithography (DPN) are versatile techniques for the creation of patterned surfaces. They commonly employ the transfer of an ink (e.g. a thiol) onto a surface (e.g. a gold-coated substrate) thus forming a self-assembled monolayer (SAM). Resolution of these

  18. Redox Behavior of the S-Adenosylmethionine (SAM)-Binding Fe-S Cluster in Methylthiotransferase RimO, toward Understanding Dual SAM Activity.

    Science.gov (United States)

    Molle, Thibaut; Moreau, Yohann; Clemancey, Martin; Forouhar, Farhad; Ravanat, Jean-Luc; Duraffourg, Nicolas; Fourmond, Vincent; Latour, Jean-Marc; Gambarelli, Serge; Mulliez, Etienne; Atta, Mohamed

    2016-10-18

    RimO, a radical-S-adenosylmethionine (SAM) enzyme, catalyzes the specific C 3 methylthiolation of the D89 residue in the ribosomal S 12 protein. Two intact iron-sulfur clusters and two SAM cofactors both are required for catalysis. By using electron paramagnetic resonance, Mössbauer spectroscopies, and site-directed mutagenesis, we show how two SAM molecules sequentially bind to the unique iron site of the radical-SAM cluster for two distinct chemical reactions in RimO. Our data establish that the two SAM molecules bind the radical-SAM cluster to the unique iron site, and spectroscopic evidence obtained under strongly reducing conditions supports a mechanism in which the first molecule of SAM causes the reoxidation of the reduced radical-SAM cluster, impeding reductive cleavage of SAM to occur and allowing SAM to methylate a HS - ligand bound to the additional cluster. Furthermore, by using density functional theory-based methods, we provide a description of the reaction mechanism that predicts the attack of the carbon radical substrate on the methylthio group attached to the additional [4Fe-4S] cluster.

  19. Self-assembled silver nanoparticles monolayers on mica-AFM, SEM, and electrokinetic characteristics.

    Science.gov (United States)

    Oćwieja, Magdalena; Morga, Maria; Adamczyk, Zbigniew

    2013-03-01

    A monodisperse silver particle suspension was produced by a chemical reduction method in an aqueous medium using sodium citrate. The average particle size determined by dynamic light scattering (DLS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) was 28.5 nm. The DLS measurements confirmed that the suspension was stable for the ionic strength up to 3 × 10 -2  M NaCl. The electrophoretic mobility measurements revealed that the electrokinetic charge of particles was negative for pH range 3-10, assuming -50  e for pH = 9 and 0.01 M NaCl. Using the suspension, silver particle monolayers on mica modified by poly(allylamine hydrochloride) were produced under diffusion-controlled transport. Monolayer coverage, quantitatively determined by AFM and SEM, was regulated within broad limits by adjusting the nanoparticle deposition time. This allowed one to uniquely express the zeta potential of silver monolayers, determined by the in situ streaming potential measurements, in terms of particle coverage. Such dependencies obtained for various ionic strengths and pH, were successfully interpreted in terms of the 3D electrokinetic model. A universal calibrating graph was produced in this way, enabling one to determine silver monolayer coverage from the measured value of the streaming potential. Our experimental data prove that it is feasible to produce uniform and stable silver particle monolayers of well-controlled coverage and defined electrokinetic properties.

  20. Integrated circuits based on conjugated polymer monolayer.

    Science.gov (United States)

    Li, Mengmeng; Mangalore, Deepthi Kamath; Zhao, Jingbo; Carpenter, Joshua H; Yan, Hongping; Ade, Harald; Yan, He; Müllen, Klaus; Blom, Paul W M; Pisula, Wojciech; de Leeuw, Dago M; Asadi, Kamal

    2018-01-31

    It is still a great challenge to fabricate conjugated polymer monolayer field-effect transistors (PoM-FETs) due to intricate crystallization and film formation of conjugated polymers. Here we demonstrate PoM-FETs based on a single monolayer of a conjugated polymer. The resulting PoM-FETs are highly reproducible and exhibit charge carrier mobilities reaching 3 cm 2  V -1  s -1 . The high performance is attributed to the strong interactions of the polymer chains present already in solution leading to pronounced edge-on packing and well-defined microstructure in the monolayer. The high reproducibility enables the integration of discrete unipolar PoM-FETs into inverters and ring oscillators. Real logic functionality has been demonstrated by constructing a 15-bit code generator in which hundreds of self-assembled PoM-FETs are addressed simultaneously. Our results provide the state-of-the-art example of integrated circuits based on a conjugated polymer monolayer, opening prospective pathways for bottom-up organic electronics.

  1. Overcrowding drives the unjamming transition of gap-free monolayers

    Science.gov (United States)

    Lan, Ganhui; Su, Tao

    Collective cell motility plays central roles in various biological phenomena such as wound healing, cancer metastasis and embryogenesis. These are demonstrations of the unjamming transition in biology. However, contradictory to the typical density-driven jamming in particulate assemblies, cellular systems often get unjammed in highly packed, sometimes overcrowding environments. Here, we investigate monolayers' collective behaviors when cell number changes under the gap-free constraint. We report that overcrowding can unjam gap-free monolayers through increasing isotropic compression. We show that the transition boundary is determined by the isotropic compression and the cell-cell adhesion. Furthermore, we construct the free energy landscape for the T1 topological transition during monolayer rearrangement, and discover that the landscape evolves from single-barrier W shape to double-barrier M shape during the unjamming process. We also discover a distributed-to-disordered morphological transition of cells' geometry, coinciding with the unjamming transition. Our analyses reveal that the overcrowding and adhesion induced unjamming reflects the mechanical yielding of the highly deformable monolayer, suggesting an alternative mechanism that cells may robustly gain collective mobility through proliferation in confined environments, which differs from those caused by loosing up a packed particulate assembly. This work is supported by the GWU College Facilitating Funds.

  2. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Directory of Open Access Journals (Sweden)

    Christof Wöll

    2012-09-01

    Full Text Available The liquid phase epitaxy (LPE of the metal-organic framework (MOF HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA, 4’-carboxyterphenyl-4-methanethiol (TPMTA and 9-carboxy-10-(mercaptomethyltriptycene (CMMT. The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100 direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111 direction.

  3. Crystal Structure of Bicc1 SAM Polymer and Mapping of Interactions between the Ciliopathy-Associated Proteins Bicc1, ANKS3, and ANKS6.

    Science.gov (United States)

    Rothé, Benjamin; Leettola, Catherine N; Leal-Esteban, Lucia; Cascio, Duilio; Fortier, Simon; Isenschmid, Manuela; Bowie, James U; Constam, Daniel B

    2018-02-06

    Head-to-tail polymers of sterile alpha motifs (SAM) can scaffold large macromolecular complexes. Several SAM-domain proteins that bind each other are mutated in patients with cystic kidneys or laterality defects, including the Ankyrin (ANK) and SAM domain-containing proteins ANKS6 and ANKS3, and the RNA-binding protein Bicc1. To address how their interactions are regulated, we first determined a high-resolution crystal structure of a Bicc1-SAM polymer, revealing a canonical SAM polymer with a high degree of flexibility in the subunit interface orientations. We further mapped interactions between full-length and distinct domains of Bicc1, ANKS3, and ANKS6. Neither ANKS3 nor ANKS6 alone formed macroscopic homopolymers in vivo. However, ANKS3 recruited ANKS6 to Bicc1, and the three proteins together cooperatively generated giant macromolecular complexes. Thus, the giant assemblies are shaped by SAM domains, their flanking sequences, and SAM-independent protein-protein and protein-mRNA interactions. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. An Nd:YLF laser Q-switched by a monolayer-graphene saturable-absorber mirror

    International Nuclear Information System (INIS)

    Matía-Hernando, Paloma; Guerra, José Manuel; Weigand, Rosa

    2013-01-01

    We demonstrate Q-switched operation of a transversely diode-pumped Nd:YLF (yttrium lithium fluoride) laser using chemical vapour deposition-grown large-area monolayer graphene transferred to a dielectric saturable-absorber mirror (G-SAM). The resulting compact design operates at 1047 nm with 2.5 μs pulses in a 100% modulation Q-switch regime with an average and very stable output power of 0.5 W. Different cavity lengths have been employed and the results are compared against a theoretical model based on rate equations, evidencing the role of transverse pumping in the system. The model also reveals that monolayer graphene effectively leads to shorter and more powerful pulses compared to those with multilayer graphene. These results establish the potential of single-layer graphene for providing a reliable and efficient Q-switch mechanism in solid-state lasers. (paper)

  5. DNA biosensor for detection of Salmonella typhi from blood sample of typhoid fever patient using gold electrode modified by self-assembled monolayers of thiols

    Science.gov (United States)

    Suryapratiwi, Windha Novita; Paat, Vlagia Indira; Gaffar, Shabarni; Hartati, Yeni Wahyuni

    2017-05-01

    Electrochemical biosensors are currently being developed in order to handle various clinical problems in diagnosing infectious diseases caused by pathogenic bacteria, or viruses. On this research, voltammetric DNA biosensor using gold electrode modified by thiols with self-assembled monolayers had been developed to detect a certain sequence of Salmonella typhi DNA from blood sample of typhoid fever patient. Thiol groups of cysteamines (Cys) and aldehyde groups from glutaraldehydes (Glu) were used as a link to increase the performance of gold electrode in detecting guanine oxidation signal of hybridized S. typhi DNA and ssDNA probe. Standard calibration method was used to determine analytical parameters from the measurements. The result shown that, the detection of S. typhi DNA from blood sample of typhoid fever patient can be carried out by voltammetry using gold electrode modified by self-assembled monolayers of thiols. A characteristic oxidation potential of guanine using Au/Cys/Gluwas obtained at +0.17 until +0.20 V. Limit of detection and limit of quantification from this measurements were 1.91μg mL-1 and 6.35 μg mL-1. The concentration of complement DNA from sample was 6.96 μg mL-1.

  6. A Microelectrode Array with Reproducible Performance Shows Loss of Consistency Following Functionalization with a Self-Assembled 6-Mercapto-1-hexanol Layer

    Directory of Open Access Journals (Sweden)

    Damion K. Corrigan

    2018-06-01

    Full Text Available For analytical applications involving label-free biosensors and multiple measurements, i.e., across an electrode array, it is essential to develop complete sensor systems capable of functionalization and of producing highly consistent responses. To achieve this, a multi-microelectrode device bearing twenty-four equivalent 50 µm diameter Pt disc microelectrodes was designed in an integrated 3-electrode system configuration and then fabricated. Cyclic voltammetry and electrochemical impedance spectroscopy were used for initial electrochemical characterization of the individual working electrodes. These confirmed the expected consistency of performance with a high degree of measurement reproducibility for each microelectrode across the array. With the aim of assessing the potential for production of an enhanced multi-electrode sensor for biomedical use, the working electrodes were then functionalized with 6-mercapto-1-hexanol (MCH. This is a well-known and commonly employed surface modification process, which involves the same principles of thiol attachment chemistry and self-assembled monolayer (SAM formation commonly employed in the functionalization of electrodes and the formation of biosensors. Following this SAM formation, the reproducibility of the observed electrochemical signal between electrodes was seen to decrease markedly, compromising the ability to achieve consistent analytical measurements from the sensor array following this relatively simple and well-established surface modification. To successfully and consistently functionalize the sensors, it was necessary to dilute the constituent molecules by a factor of ten thousand to support adequate SAM formation on microelectrodes. The use of this multi-electrode device therefore demonstrates in a high throughput manner irreproducibility in the SAM formation process at the higher concentration, even though these electrodes are apparently functionalized simultaneously in the same film

  7. Vapor Phase Self-assembled Monolayers for Anti-stiction Applications in MEMS

    DEFF Research Database (Denmark)

    Zhuang, Yanxin; Hansen, Ole; Knieling, Thomas

    2007-01-01

    (CF2)7(CH2)2SiCl3 (FDTS), and CH3(CH2)17(CH2)2SiCl3 (OTS). The SAM coatings that were grown on silicon substrates were characterized with respect to static contact angle, surface energy, roughness, nanoscale adhesive force, nanoscale friction force, and thermal stability. The best overall anti...

  8. The effect of gauche molecular conformations on the phase diagram of a Langmuir monolayer

    NARCIS (Netherlands)

    Zangi, R; Rice, SA

    2003-01-01

    Experimental and simulation studies have shown that the gauche conformational degrees of freedom of long-chain amphiphile molecules assembled in a dense Langmuir monolayer play an important role in determining the structures of the several phases that the monolayer supports. Nevertheless, for

  9. Unanticipated C=C bonds in covalent monolayers on silicon revealed by NEXAFS.

    Science.gov (United States)

    Lee, Michael V; Lee, Jonathan R I; Brehmer, Daniel E; Linford, Matthew R; Willey, Trevor M

    2010-02-02

    Interfaces are crucial to material properties. In the case of covalent organic monolayers on silicon, molecular structure at the interface controls the self-assembly of the monolayers, which in turn influences the optical properties and electrical transport. These properties intrinsically affect their application in biology, tribology, optics, and electronics. We use near-edge X-ray absorption fine structure spectroscopy to show that the most basic covalent monolayers formed from 1-alkenes on silicon retain a double bond in one-fifth to two-fifths of the resultant molecules. Unsaturation in the predominantly saturated monolayers will perturb the regular order and affect the dependent properties. The presence of unsaturation in monolayers produced by two different methods also prompts the re-evaluation of other radical-based mechanisms for forming covalent monolayers on silicon.

  10. Highly Sensitive Aluminium(III) Ion Sensor Based on a Self-assembled Monolayer on a Gold Nanoparticles Modified Screen-printed Carbon Electrode.

    Science.gov (United States)

    See, Wong Pooi; Heng, Lee Yook; Nathan, Sheila

    2015-01-01

    A new approach for the development of a highly sensitive aluminium(III) ion sensor via the preconcentration of aluminium(III) ion with a self-assembled monolayer on a gold nanoparticles modified screen-printed carbon electrode and current mediation by potassium ferricyanide redox behavior during aluminium(III) ion binding has been attempted. A monolayer of mercaptosuccinic acid served as an effective complexation ligand for the preconcentration of trace aluminium; this led to an enhancement of aluminium(III) ion capture and thus improved the sensitivity of the sensor with a detection limit of down to the ppb level. Under the optimum experimental conditions, the sensor exhibited a wide linear dynamic range from 0.041 to 12.4 μM. The lower detection limit of the developed sensor was 0.037 μM (8.90 ppb) using a 10 min preconcentration time. The sensor showed excellent selectivity towards aluminium(III) ion over other interference ions.

  11. Nano-arrays of SAM by dip-pen nanowriting (DPN) technique for futuristic bio-electronic and bio-sensor applications

    International Nuclear Information System (INIS)

    Agarwal, Pankaj B.; Kumar, A.; Saravanan, R.; Sharma, A.K.; Shekhar, Chandra

    2010-01-01

    Nano-arrays of bio-molecules have potential applications in many areas namely, bio-sensors, bio/molecular electronics and virus detection. Spot array, micro-contact printing and photolithography are used for micron size array fabrications while Dip-Pen Nanowriting (DPN) is employed for submicron/nano size arrays. We have fabricated nano-dots of 16-MHA (16-mercaptohexadecanoic acid) self-assembled monolayer (SAM) on gold substrate by DPN technique with different dwell time under varying relative humidity. These patterns were imaged in the same system in LFM (Lateral Force Microscopy) mode with fast scanning speed (5 Hz). The effect of humidity on size variation of nano-dots has been studied. During experiments, relative humidity (RH) was varied from 20% to 60%, while the temperature was kept constant ∼ 25 o C. The minimum measured diameter of the dot is ∼ 294 nm at RH = 20% for a dwell time of 2 s. The thickness of the 16-MHA dots, estimated in NanoRule image analysis software is ∼ 2 nm, which agrees well with the length of single MHA molecule (2.2 nm). The line profile has been used to estimate the size and thickness of dots. The obtained results will be useful in further development of nano-array based bio-sensors and bio-electronic devices.

  12. Molecular Processes Underlying the Structure and Assembly of Thin Films and Nanoparticles at Complex interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Richmond, Geraldine [Univ. of Oregon, Eugene, OR (United States)

    2016-06-03

    differences in how water behaves at hydrophobic self-assembled monolayer (SAMS)/water interfaces relative to the organic liquid/water interfaces. Several monolayer films have been examined in these studies using a combination of vibrational sum frequency spectroscopy (VSFS), contact angle measurements and AFM. At the hydrocarbon monolayer/water interface we find that water has a weak bonding interaction with the monolayer film that results in an orientation of water at the terminus of these hydrocarbon chains. The water-film interaction is still present for fluorinated films but it is found to be considerably weaker. Hydration and Surfactant Adsorption at Salt/Water Interfaces This set of studies has examined the molecular characteristics of the CaF2/water interface using VSFS. Our first studies detailed the structure and orientation of water molecules adsorbed at this mineral surfaces including studies of the surface in the presence of aqueous solutions of salts. These studies have been followed by a series of static and time-resolved studies of the adsorption of carboxylic acid containing organics at this surface, specifically carboxylic acid surfactants and acetic acid. In the latter we have developed a new method for time resolved studies that involve sequential wavelength tuning and automated control of spatial beam overlap at the target can probe amplitude changes of sum-frequency resonances in widely spaced infrared regions. This offers great advantages for the study of the synchronism of molecular processes at interfaces. This approach is particularly suitable to investigate the synchronization of interfacial processes such as surfactant adsorption at charged mineral surfaces. Macromolecular Assembly at Liquid/Liquid Interfaces Macromolecular assembly at the interface between water and a hydrophobic surface underlies some of the most important biological and environmental processes on the planet. Our work has examined polymer adsorption and assembly of

  13. Bidisperse silica nanoparticles close-packed monolayer on silicon substrate by three step spin method

    Science.gov (United States)

    Khanna, Sakshum; Marathey, Priyanka; Utsav, Chaliawala, Harsh; Mukhopadhyay, Indrajit

    2018-05-01

    We present the studies on the structural properties of monolayer Bidisperse silica (SiO2) nanoparticles (BDS) on Silicon (Si-100) substrate using spin coating technique. The Bidisperse silica nanoparticle was synthesised by the modified sol-gel process. Nanoparticles on the substrate are generally assembled in non-close/close-packed monolayer (CPM) form. The CPM form is obtained by depositing the colloidal suspension onto the silicon substrate using complex techniques. Here we report an effective method for forming a monolayer of bidisperse silica nanoparticle by three step spin coating technique. The samples were prepared by mixing the monodisperse solutions of different particles size 40 and 100 nm diameters. The bidisperse silica nanoparticles were self-assembled on the silicon substrate forming a close-packed monolayer film. The scanning electron microscope images of bidisperse films provided in-depth film structure of the film. The maximum surface coverage obtained was around 70-80%.

  14. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Silane coupling reagent (3-mercaptopropyl trimethoxysilane (MPTS)) was used to prepare twodimensional self-assembled monolayer (SAM) on silicon substrate. The terminal –SH group was in situ oxidized to –SO3H group to endow the film with good chemisorption ability. Then TiO2 thin films were deposited on the ...

  15. SAM International Case Studies: DPV Analysis in Mexico

    Energy Technology Data Exchange (ETDEWEB)

    McCall, James D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2018-03-28

    Presentation demonstrates the use of the System Advisor Model (SAM) in international analyses, specifically Mexico. Two analyses are discussed with relation to SAM modelling efforts: 1) Customer impacts from changes to net metering and billing agreements and 2) Potential benefits of PV for Mexican solar customers, the Mexican Treasury, and the environment. Along with the SAM analyses, integration of the International Utility Rate Database (I-URDB) with SAM and future international SAM work are discussed. Presentation was created for the International Solar Energy Society's (ISES) webinar titled 'International use of the NREL System Advisor Model (SAM) with case studies'.

  16. Chiral hierarchical self-assembly in Langmuir monolayers of diacetylenic lipids

    KAUST Repository

    Basnet, Prem B.; Mandal, Pritam; Malcolm, Dominic W.; Mann, Elizabeth; Chaieb, Saharoui

    2013-01-01

    When compressed in the intermediate temperature range below the chain-melting transition yet in the low-pressure liquid phase, Langmuir monolayers made of chiral lipid molecules form hierarchical structures. Using Brewster angle microscopy to reveal

  17. Controlled interface between carbon fiber and epoxy by molecular self-assembly method

    International Nuclear Information System (INIS)

    He Jinmei; Huang Yudong; Liu Li; Cao Hailin

    2006-01-01

    In this paper, a new treatment method based on molecular self-assembly on carbon fiber surface was proposed for obtaining a controlled interface between carbon fiber and epoxy matrix in composite system. To form the controlled interfacial region, the surfaces of carbon fibers were first metallized by electroless Ag plating, then were reacted with a series of thiols (different chain lengths and terminally functional groups) to form self-assembly monolayers (SAMs), which further reacted with epoxy resin to generate a strong adhesion interface. The morphology, structure and composition of untreated and treated carbon fiber surface were investigated by atomic force microscope (AFM), surface-enhanced Raman scattering spectroscopy (SERS) and X-ray photoelectron spectroscopy (XPS), respectively. SERS study showed that thiols chemisorbed on Ag/carbon fiber in the form of thiolate species via the strong S-Ag coordinative bond. XPS study further confirmed the chemisorption by an S 2p 3/2 component observed at 162.2 eV. The binding energy was characteristic of silver thiolate. The interfacial shear strength of the carbon fiber/epoxy microcomposites was evaluated by the microbond technique. The results showed that there was a direct effect of the interfacial parameters changes such as chain lengths and surface functional groups on the fiber/matrix adhesion

  18. Active cell-matrix coupling regulates cellular force landscapes of cohesive epithelial monolayers

    Science.gov (United States)

    Zhao, Tiankai; Zhang, Yao; Wei, Qiong; Shi, Xuechen; Zhao, Peng; Chen, Long-Qing; Zhang, Sulin

    2018-03-01

    Epithelial cells can assemble into cohesive monolayers with rich morphologies on substrates due to competition between elastic, edge, and interfacial effects. Here we present a molecularly based thermodynamic model, integrating monolayer and substrate elasticity, and force-mediated focal adhesion formation, to elucidate the active biochemical regulation over the cellular force landscapes in cohesive epithelial monolayers, corroborated by microscopy and immunofluorescence studies. The predicted extracellular traction and intercellular tension are both monolayer size and substrate stiffness dependent, suggestive of cross-talks between intercellular and extracellular activities. Our model sets a firm ground toward a versatile computational framework to uncover the molecular origins of morphogenesis and disease in multicellular epithelia.

  19. Non-invasive SFG spectroscopy: a tool to reveal the conformational change of grafted chains due to bacterial adhesion

    Science.gov (United States)

    Bulard, Emilie; Dubost, Henri; Fontaine-Aupart, Marie-Pierre; Zheng, Wanquan; Herry, Jean-Marie; Bellon-Fontaine, Marie-No"lle; Briandet, Romain; Bourguignon, Bernard

    2011-07-01

    In many fields such as biomedical or food industry, surface colonization by micro-organisms leads to biofilms formation that are tridimentional biostructures highly resistant to the action of antimicrobials, by mechanisms still unclear. In order to deepen our understanding of the initial interaction of bacteria cells with a solid surface, we analyze by in situ vibrational Sum Frequency Generation (SFG) spectroscopy the effect of the adhesion of hydrophilic Lactoccocus lactis bacteria and its hydrophobic mutants in distilled water on a self-assembled monolayer (SAM) of octadecanethiol (ODT) on a gold film. When a homogeneous bacterial monolayer is deposited on this ordered surface, SFG spectrum of the ODT SAM shows significant intensity changes from that in air or in water. Its modelling as a function of conformation allows to distinguish optical effects due to the water solution surrounding bacteria from conformational changes of the ODT SAM due to the presence of the bacteria cells. Futhermore, bacterial adhesion induces different measurable effects on the ODT SAM conformation, depending on the hydrophobic / hydrophilic character of the bacterial surface. Such a result deserves to be taken into account for the design of new materials with improved properties or to control biofilm formation.

  20. Tuning the optical emission of MoS{sub 2} nanosheets using proximal photoswitchable azobenzene molecules

    Energy Technology Data Exchange (ETDEWEB)

    Li, Juan [Physik Department and NIM, Walter Schottky Institute, Technische Universität München, Am Coulombwall 4, Garching D-85748 (Germany); Physik Department E20, Technische Universität München, James-Franck-St. 1, Garching D-85748 (Germany); Wierzbowski, Jakob; Ceylan, Özlem; Klein, Julian; Anh, Tuan Le; Meggendorfer, Felix; Finley, Jonathan J.; Margapoti, Emanuela, E-mail: emanuela.margapoti@wsi.tum.de [Physik Department and NIM, Walter Schottky Institute, Technische Universität München, Am Coulombwall 4, Garching D-85748 (Germany); Nisic, Filippo; Dragonetti, Claudia [Dipartimento di Chimica, Università degli Studi di Milano and UdR dell' INSTM di Milano, Via Golgi 19, I-20133 Milano (Italy); Palma, Carlos-Andres; Barth, Johannes V. [Physik Department E20, Technische Universität München, James-Franck-St. 1, Garching D-85748 (Germany)

    2014-12-15

    We report photoluminescence measurements performed on monolayer- and two-layer-MoS{sub 2} placed on two types of mixed self-assembled monolayers (mSAMs) of photoswitchable azobenzene molecules. The two mSAMs differ via the electronegative character of the azobenzene derivatives. Thin layers of a transition metal dichalcogenide—MoS{sub 2}—were mechanically exfoliated on mSAM to allow for direct interaction between the molecules and the MoS{sub 2} layers. When the MoS{sub 2} nanosheet is in contact with the electropositive azobenzene molecules in trans configuration, an emission side band at lower energies and at low excitation powers suggest n-type doping. The photoisomerization of the molecules from trans to cis configuration lowers the doping, quenching the side band and enhancing the overall PL efficiency by a factor of ∼3. Opposite results were observed with the chlorinated, more electronegative molecules, exhibiting a reversed trend in the PL efficiency between trans and cis, but with an overall larger intensity. The type of doping induced by the two types of mSAMs was determined by Kelvin probe force microscopy technique.

  1. Adsorption of hyaluronic acid on solid supports: role of pH and surface chemistry in thin film self-assembly.

    Science.gov (United States)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Linardy, Eric; Dreaden, Erik C; Zhdanov, Vladimir P; Hammond, Paula T; Cho, Nam-Joon

    2015-06-15

    Owing to its biocompatibility, resistance to biofouling, and desirable physicochemical and biological properties, hyaluronic acid (HA) has been widely used to modify the surface of various materials. The role of various physicochemical factors in HA adsorption remains, however, to be clarified. Herein, we employed quartz crystal microbalance with dissipation (QCM-D) in order to investigate HA adsorption at different pH conditions onto three substrates-silicon oxide, amine-terminated self-assembled monolayer (SAM) on gold, and carboxylic acid-terminated SAM on gold. The QCM-D experiments indicated specific pH conditions where either strong or weak HA adsorption occurs. The morphology of the adsorbed HA layers was investigated by atomic force microscopy (AFM), and we identified that strong HA adsorption produced a complete, homogenous and smooth HA layer, while weak HA adsorption resulted in rough and inhomogeneous HA layers. The observed specifics of the kinetics of HA adsorption, including a short initial linear phase and subsequent long non-linear phase, were described by using a mean-field kinetic model taking HA diffusion limitations and reconfiguration in the adsorbed state into account. The findings extend the physicochemical background of design strategies for improving the use of passive HA adsorption for surface modification applications. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. Electro-optic investigation of the n-alkanethiol GaAs(001) interface: Surface phenomena and applications to photoluminescence-based biosensing

    Science.gov (United States)

    Marshall, Gregory M.

    Semiconductor surfaces coupled to molecular structures derived from organic chemistry form the basis of an emerging class of field-effect devices. In addition to molecular electronics research, these interfaces are developed for a variety of sensor applications in the electronic and optical domains. Of practical interest are self-assembled monolayers (SAMs) comprised of n-alkanethiols [HS(CH2)n], which couple to the GaAs(001) surface through S-GaAs covalent bond formation. These SAMs offer potential functionality in terms of the requisite sensor chemistry and the passivation effect such coupling is known to afford. In this thesis, the SAM-GaAs interface is investigated in the context of a photonic biosensor based on photoluminescence (PL) variation. The scope of the work is categorized into three parts: i) the structural and compositional analysis of the surface using X-ray photoelectron spectroscopy (XPS), ii) the investigation of electronic properties at the interface under equilibrium conditions using infrared (IR) spectroscopy, the Kelvin probe method, and XPS, and iii) the analysis of the electro-optic response under steady-state photonic excitation, specifically, the surface photovoltage (SPV) and PL intensity. Using a partial overlayer model of angle-resolved XPS spectra in which the component assignments are shown to be quantitatively valid, the coverage fraction of methyl-terminated SAMs is shown to exceed 90%. Notable among the findings are a low-oxide, Ga-rich surface with elemental As present in sub-monolayer quantities consistent with theoretical surface morphologies. Modal analysis of transmission IR spectra show that the SAM molecular order is sufficient to support a Beer-Lambert determination of the IR optical constants, which yields the observation of a SAM-specific absorbance enhancement. By correlation of the IR absorbance with the SAM dipole layer potential, the enhancement mechanism is attributed to the vibrational moments added by the

  3. Hydrogen Oxidation-Selective Electrocatalysis by Fine Tuning of Pt Ensemble Sites to Enhance the Durability of Automotive Fuel Cells.

    Science.gov (United States)

    Yun, Su-Won; Park, Shin-Ae; Kim, Tae-June; Kim, Jun-Hyuk; Pak, Gi-Woong; Kim, Yong-Tae

    2017-02-08

    A simple, inexpensive approach is proposed for enhancing the durability of automotive proton exchange membrane fuel cells by selective promotion of the hydrogen oxidation reaction (HOR) and suppression of the oxygen reduction reaction (ORR) at the anode in startup/shutdown events. Dodecanethiol forms a self-assembled monolayer (SAM) on the surface of Pt particles, thus decreasing the number of Pt ensemble sites. Interestingly, by controlling the dodecanethiol concentration during SAM formation, the number of ensemble sites can be precisely optimized such that it is sufficient for the HOR but insufficient for the ORR. Thus, a Pt surface with an SAM of dodecanethiol clearly effects HOR-selective electrocatalysis. Clear HOR selectivity is demonstrated in unit cell tests with the actual membrane electrode assembly, as well as in an electrochemical three-electrode setup with a thin-film rotating disk electrode configuration. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Mimicking the properties of antifreeze glycoproteins: synthesis and characterization of a model system for ice nucleation and antifreeze studies.

    Science.gov (United States)

    Hederos, Markus; Konradsson, Peter; Borgh, Annika; Liedberg, Bo

    2005-08-25

    Synthesis of beta-D-Gal-(1 --> 3)-beta-D-GalNAc coupled to HOC2H4NHCOC15H30SH is described. This compound was coadsorbed at various proportions with C2H5OC2H4NHCOC15H30SH to form statistically mixed self-assembled monolayers (SAMs) on gold in an attempt to mimic the properties of the active domain in antifreeze glycoproteins (AFGPs). The monolayers were characterized by null ellipsometry, contact angle goniometry, X-ray photoelectron spectroscopy, and infrared reflection-absorption spectroscopy. The disaccharide compound adsorbed preferentially, and SAMs prepared at a solution molar ratio >0.3 displayed total wetting. The mixed SAMs showed well-organized alkyl chains up to a disaccharide surface fraction of 0.8. The amount of gauche conformers in the alkyls increased rapidly above this point, and the monolayers became disordered and less densely packed. Furthermore, the generated mixed SAMs were subjected to water vapor at constant relative humidity and the subsequent ice crystallization on a cooled substrate was monitored via an optical microscope. Interestingly, rapid crystallization occurred within a narrow range of temperatures on mixed SAMs with a high disaccharide content, surface fraction >0.3. The reported crystallization temperatures and the ice layer topography were compared with results obtained for a much simpler reference system composed of -OH/-CH3 terminated n-alkanethiols in order to account for changes in topography of the water/ice layer with surface energy. Although preliminary, the obtained results can be useful in the search for the molecular mechanism behind the antifreeze activity of AFGPs.

  5. Samsø Energy Vision 2030

    DEFF Research Database (Denmark)

    Mathiesen, Brian Vad; Hansen, Kenneth; Ridjan, Iva

    The purpose of this report is to investigate potential scenarios for converting Samsø into 100% renewable energy supply in 2030 with focus on local electricity and biomass resources. Firstly, a 2013 reference scenario is established to investigate whether Samsø is 100% renewable today. Next, scen...

  6. On sulfur core level binding energies in thiol self-assembly and alternative adsorption sites: An experimental and theoretical study

    International Nuclear Information System (INIS)

    Jia, Juanjuan; Kara, Abdelkader; Pasquali, Luca; Bendounan, Azzedine; Sirotti, Fausto; Esaulov, Vladimir A.

    2015-01-01

    Characteristic core level binding energies (CLBEs) are regularly used to infer the modes of molecular adsorption: orientation, organization, and dissociation processes. Here, we focus on a largely debated situation regarding CLBEs in the case of chalcogen atom bearing molecules. For a thiol, this concerns the case when the CLBE of a thiolate sulfur at an adsorption site can be interpreted alternatively as due to atomic adsorption of a S atom, resulting from dissociation. Results of an investigation of the characteristics of thiol self-assembled monolayers (SAMs) obtained by vacuum evaporative adsorption are presented along with core level binding energy calculations. Thiol ended SAMs of 1,4-benzenedimethanethiol (BDMT) obtained by evaporation on Au display an unconventional CLBE structure at about 161.25 eV, which is close to a known CLBE of a S atom on Au. Adsorption and CLBE calculations for sulfur atoms and BDMT molecules are reported and allow delineating trends as a function of chemisorption on hollow, bridge, and atop sites and including the presence of adatoms. These calculations suggest that the 161.25 eV peak is due to an alternative adsorption site, which could be associated to an atop configuration. Therefore, this may be an alternative interpretation, different from the one involving the adsorption of atomic sulfur resulting from the dissociation process of the S–C bond. Calculated differences in S(2p) CLBEs for free BDMT molecules, SH group sulfur on top of the SAM, and disulfide are also reported to clarify possible errors in assignments

  7. On sulfur core level binding energies in thiol self-assembly and alternative adsorption sites: An experimental and theoretical study

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Juanjuan [Institut des Sciences Moléculaires d’Orsay, Université-Paris Sud, 91405 Orsay (France); CNRS, UMR 8214, Institut des Sciences Moléculaires d’Orsay, Orsay ISMO, Bâtiment 351, Université Paris Sud, 91405 Orsay (France); Kara, Abdelkader, E-mail: abdelkader.kara@ucf.edu, E-mail: vladimir.esaulov@u-psud.fr [Department of Physics, University of Central Florida, Orlando, Florida 32816 (United States); Pasquali, Luca [Dipartimento di Ingegneria “E. Ferrari,” Università di Modena e Reggio Emilia, Via Vignolese 905, 41125 Modena (Italy); IOM-CNR, s.s. 14, Km. 163.5 in AREA Science Park, 34149 Basovizza, Trieste (Italy); Department of Physics, University of Johannesburg, P.O. Box 524, Auckland Park 2006 (South Africa); Bendounan, Azzedine; Sirotti, Fausto [Synchrotron SOLEIL, L’Orme des Merisiers, Saint-Aubin, BP 48, F-91192 Gif-sur-Yvette Cedex (France); Esaulov, Vladimir A., E-mail: abdelkader.kara@ucf.edu, E-mail: vladimir.esaulov@u-psud.fr [Institut des Sciences Moléculaires d’Orsay, Université-Paris Sud, 91405 Orsay (France); CNRS, UMR 8214, Institut des Sciences Moléculaires d’Orsay, Orsay ISMO, Bâtiment 351, Université Paris Sud, 91405 Orsay (France); IOM-CNR, s.s. 14, Km. 163.5 in AREA Science Park, 34149 Basovizza, Trieste (Italy)

    2015-09-14

    Characteristic core level binding energies (CLBEs) are regularly used to infer the modes of molecular adsorption: orientation, organization, and dissociation processes. Here, we focus on a largely debated situation regarding CLBEs in the case of chalcogen atom bearing molecules. For a thiol, this concerns the case when the CLBE of a thiolate sulfur at an adsorption site can be interpreted alternatively as due to atomic adsorption of a S atom, resulting from dissociation. Results of an investigation of the characteristics of thiol self-assembled monolayers (SAMs) obtained by vacuum evaporative adsorption are presented along with core level binding energy calculations. Thiol ended SAMs of 1,4-benzenedimethanethiol (BDMT) obtained by evaporation on Au display an unconventional CLBE structure at about 161.25 eV, which is close to a known CLBE of a S atom on Au. Adsorption and CLBE calculations for sulfur atoms and BDMT molecules are reported and allow delineating trends as a function of chemisorption on hollow, bridge, and atop sites and including the presence of adatoms. These calculations suggest that the 161.25 eV peak is due to an alternative adsorption site, which could be associated to an atop configuration. Therefore, this may be an alternative interpretation, different from the one involving the adsorption of atomic sulfur resulting from the dissociation process of the S–C bond. Calculated differences in S(2p) CLBEs for free BDMT molecules, SH group sulfur on top of the SAM, and disulfide are also reported to clarify possible errors in assignments.

  8. System for Award Management (SAM) API

    Data.gov (United States)

    General Services Administration — The SAM API is a RESTful method of retrieving public information about the businesses, organizations, or individuals (referred to as entities) within the SAM entity...

  9. Introducing ionic and/or hydrogen bonds into the SAM//Ga2O3 top-interface of Ag(TS)/S(CH2)nT//Ga2O3/EGaIn junctions.

    Science.gov (United States)

    Bowers, Carleen M; Liao, Kung-Ching; Yoon, Hyo Jae; Rappoport, Dmitrij; Baghbanzadeh, Mostafa; Simeone, Felice C; Whitesides, George M

    2014-06-11

    Junctions with the structure Ag(TS)/S(CH2)nT//Ga2O3/EGaIn (where S(CH2)nT is a self-assembled monolayer, SAM, of n-alkanethiolate bearing a terminal functional group T) make it possible to examine the response of rates of charge transport by tunneling to changes in the strength of the interaction between T and Ga2O3. Introducing a series of Lewis acidic/basic functional groups (T = -OH, -SH, -CO2H, -CONH2, and -PO3H) at the terminus of the SAM gave values for the tunneling current density, J(V) in A/cm(2), that were indistinguishable (i.e., differed by less than a factor of 3) from the values observed with n-alkanethiolates of equivalent length. The insensitivity of the rate of tunneling to changes in the terminal functional group implies that replacing weak van der Waals contact interactions with stronger hydrogen- or ionic bonds at the T//Ga2O3 interface does not change the shape (i.e., the height or width) of the tunneling barrier enough to affect rates of charge transport. A comparison of the injection current, J0, for T = -CO2H, and T = -CH2CH3--two groups having similar extended lengths (in Å, or in numbers of non-hydrogen atoms)--suggests that both groups make indistinguishable contributions to the height of the tunneling barrier.

  10. 78 FR 62627 - Sam Rayburn Dam Rate

    Science.gov (United States)

    2013-10-22

    ..., Wholesale Rates for Hydro Power and Energy Sold to Sam Rayburn Dam Electric Cooperative, Inc. (Contract No... Schedule SRD-08, Wholesale Rates for Hydro Power and Energy Sold to Sam Rayburn Dam Electric Cooperative... ADMINISTRATION RATE SCHEDULE SRD-13 \\1\\ WHOLESALE RATES FOR HYDRO POWER AND ENERGY SOLD TO SAM RAYBURN DAM...

  11. Electrical Resistance of Ag-TS-S(CH2)(n-1)CH3//Ga2O3/EGaln Tunneling Junctions

    NARCIS (Netherlands)

    Cademartiri, Ludovico; Thuo, Martin M.; Nijhuis, Christian A.; Reus, William F.; Tricard, Simon; Barber, Jabulani R.; Sodhi, Rana N. S.; Brodersen, Peter; Kim, Choongik; Chiechi, Ryan C.; Whitesides, George M.

    2012-01-01

    Tunneling junctions having the structure Ag-TS-S(CH2)(n-1)CH3//Ga2O3/EGaIn allow physical-organic studies of charge transport across self-assembled monolayers (SAMs). In ambient conditions, the surface of the liquid metal electrode (EGaIn, 75.5 wt % Ga, 24.5 wt % In, mp 15.7 degrees C) oxidizes and

  12. Pronounced Environmental Effects on Injection Currents in EGaIn Tunneling Junctions Comprising Self-Assembled Monolayers.

    Science.gov (United States)

    Carlotti, Marco; Degen, Maarten; Zhang, Yanxi; Chiechi, Ryan C

    2016-09-15

    Large-area tunneling junctions using eutectic Ga-In (EGaIn) as a top contact have proven to be a robust, reproducible, and technologically relevant platform for molecular electronics. Thus far, the majority of studies have focused on saturated molecules with backbones consisting mainly of alkanes in which the frontier orbitals are either highly localized or energetically inaccessible. We show that self-assembled monolayers of wire-like oligophenyleneethynylenes (OPEs), which are fully conjugated, only exhibit length-dependent tunneling behavior in a low-O 2 environment. We attribute this unexpected behavior to the sensitivity of injection current on environment. We conclude that, contrary to previous reports, the self-limiting layer of Ga 2 O 3 strongly influences transport properties and that the effect is related to the wetting behavior of the electrode. This result sheds light on the nature of the electrode-molecule interface and suggests that adhesive forces play a significant role in tunneling charge-transport in large-area molecular junctions.

  13. Fluorocarbon Contamination from the Drill on the Mars Science Laboratory: Potential Science Impact on Detecting Martian Organics by Sample Analysis at Mars (SAM)

    Science.gov (United States)

    Eigenbrode, J. L.; McAdam, A.; Franz, H.; Freissinet, C.; Bower, H.; Floyd, M.; Conrad, P.; Mahaffy, P.; Feldman, J.; Hurowitz, J.; hide

    2013-01-01

    Polytetrafluoroethylene (PTFE or trade name: Teflon by Dupont Co.) has been detected in rocks drilled during terrestrial testing of the Mars Science Laboratory (MSL) drilling hardware. The PTFE in sediments is a wear product of the seals used in the Drill Bit Assemblies (DBAs). It is expected that the drill assembly on the MSL flight model will also shed Teflon particles into drilled samples. One of the primary goals of the Sample Analysis at Mars (SAM) instrument suite on MSL is to test for the presence of martian organics in samples. Complications introduced by the potential presence of PTFE in drilled samples to the SAM evolved gas analysis (EGA or pyrolysisquadrupole mass spectrometry, pyr-QMS) and pyrolysis- gas chromatography mass spectrometry (Pyr- GCMS) experiments was investigated.

  14. Electrochemical polymerization of an aniline-terminated self-assembled monolayer on indium tin oxide electrodes and its effect on polyaniline electrodeposition

    Energy Technology Data Exchange (ETDEWEB)

    Cruz-Silva, Rodolfo [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001Col. Chamilpa, CP 62210, Cuernavaca, Mor. (Mexico)], E-mail: rcruzsilva@uaem.mx; Nicho, Maria E.; Resendiz, Mary C.; Agarwal, Vivechana [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001Col. Chamilpa, CP 62210, Cuernavaca, Mor. (Mexico); Castillon, Felipe F.; Farias, Mario H. [Centro de Ciencias de la Materia Condensada de la UNAM, Apdo. Postal 2681 C.P. 22800 Ensenada, B.C. (Mexico)

    2008-06-02

    Indium tin oxide (ITO) transparent electrodes were surface modified by a self-assembled monolayer of N-phenyl-{gamma}-aminopropyl-trimethoxysilane (PAPTS). Cyclic voltammetry of the PAPTS monolayer in aniline-free aqueous electrolyte showed the typical shape of a surface-confined monomer, due to the oxidation of the aniline moieties. This process resulted in a two-dimensional polyaniline film with uniform thickness of 1.3 nm, as measured by atomic force microscopy. X-ray photoelectron and UV-visible spectroscopic techniques confirm the formation of a conjugated polymer film. The influence of the surface modification of ITO electrodes on polyaniline electrochemical deposition was also studied. The initial oxidation rate of aniline increased in the PAPTS-modified ITO electrodes, although the overall film formation rate was lower than that of unmodified ITO electrodes. The morphology of the electrodeposited polyaniline films on PAPTS-modified and unmodified ITO electrodes was studied by atomic force microscopy. Films of smaller grain were grown in the PAPTS-modified ITO as compared to films grown on unmodified ITO. A blocking effect due to the propyl spacer is proposed to explain the reduced electron transfer in PAPTS-modified electrodes.

  15. Carrier Transport Enhancement in Conjugated Polymers through Interfacial Self-Assembly of Solution-State Aggregates

    KAUST Repository

    Zhao, Kui

    2016-07-13

    We demonstrate that local and long range orders of poly(3-hexylthiophene) (P3HT) semicrystalline films can be synergistically improved by combining chemical functionalization of the dielectric surface with solution-state disentanglement and pre-aggregation of P3HT in a theta solvent, leading to a very significant enhancement of the field effect carrier mobility. The pre-aggregation and surface functionalization effects combine to enhance the carrier mobility nearly 100-fold as compared with standard film preparation by spin-coating, and nearly 10-fold increase over the benefits of pre-aggregation alone. In situ quartz crystal microbalance with dissipation (QCM-D) experiments reveal enhanced deposition of pre-aggregates on surfaces modified with an alkyl-terminated self-assembled monolayer (SAM) in comparison to un-aggregated polymer chains. Additional investigations reveal the combined pre-aggregation and surface functionalization significantly enhances local order of the conjugated polymer through planarization and extension of the conjugated backbone of the polymer which clearly translate to significant improvements of carrier transport at the semiconductor-dielectric interface in organic thin film transistors. This study points to opportunities in combining complementary routes, such as well-known pre-aggregation with substrate chemical functionalization, to enhance the polymer self-assembly and improve its interfacial order with benefits for transport properties.

  16. Metal ion interaction with phosphorylated tyrosine analogue monolayers on gold.

    Science.gov (United States)

    Petoral, Rodrigo M; Björefors, Fredrik; Uvdal, Kajsa

    2006-11-23

    Phosphorylated tyrosine analogue molecules (pTyr-PT) were assembled onto gold substrates, and the resulting monolayers were used for metal ion interaction studies. The monolayers were characterized by X-ray photoelectron spectroscopy (XPS), infrared reflection-absorption spectroscopy (IRAS), cyclic voltammetry (CV), and electrochemical impedance spectroscopy (EIS), both prior to and after exposure to metal ions. XPS verified the elemental composition of the molecular adsorbate and the presence of metal ions coordinated to the phosphate groups. Both the angle-dependent XPS and IRAS results were consistent with the change in the structural orientation of the pTyr-PT monolayer upon exposure to metal ions. The differential capacitance of the monolayers upon coordination of the metal ions was evaluated using EIS. These metal ions were found to significantly change the capacitance of the pTyr-PT monolayers in contrast to the nonphosphorylated tyrosine analogue (TPT). CV results showed reduced electrochemical blocking capabilities of the phosphorylated analogue monolayer when exposed to metal ions, supporting the change in the structure of the monolayer observed by XPS and IRAS. The largest change in the structure and interfacial capacitance was observed for aluminum ions, compared to calcium, magnesium, and chromium ions. This type of monolayer shows an excellent capability to coordinate metal ions and has a high potential for use as sensing layers in biochip applications to monitor the presence of metal ions.

  17. Self-assembled monolayer exchange reactions as a tool for channel interface engineering in low-voltage organic thin-film transistors.

    Science.gov (United States)

    Lenz, Thomas; Schmaltz, Thomas; Novak, Michael; Halik, Marcus

    2012-10-02

    In this work, we compared the kinetics of monolayer self-assembly long-chained carboxylic acids and phosphonic acids on thin aluminum oxide surfaces and investigated their dielectric properties in capacitors and low-voltage organic thin-film transistors. Phosphonic acid anchor groups tend to substitute carboxylic acid molecules on aluminum oxide surfaces and thus allow the formation of mixed or fully exchanged monolayers. With different alkyl chain substituents (n-alkyl or fluorinated alkyl chains), the exchange reaction can be monitored as a function of time by static contact angle measurements. The threshold voltage in α,α'-dihexyl-sexithiophene thin-film transistors composed of such mixed layer dielectrics correlates with the exchange progress and can be tuned from negative to positive values or vice versa depending on the dipole moment of the alkyl chain substituents. The change in the dipole moment with increasing exchange time also shifts the capacitance of these devices. The rate constants for exchange reactions determined by the time-dependent shift of static contact angle, threshold voltage, and capacitance exhibit virtually the same value thus proving the exchange kinetics to be highly controllable. In general, the exchange approach is a powerful tool in interface engineering, displaying a great potential for tailoring of device characteristics.

  18. System Advisor Model, SAM 2014.1.14: General Description

    Energy Technology Data Exchange (ETDEWEB)

    Blair, Nate [National Renewable Energy Lab. (NREL), Golden, CO (United States); Dobos, Aron P. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Freeman, Janine [National Renewable Energy Lab. (NREL), Golden, CO (United States); Neises, Ty [National Renewable Energy Lab. (NREL), Golden, CO (United States); Wagner, Michael [National Renewable Energy Lab. (NREL), Golden, CO (United States); Ferguson, Tom [Global Resources, Northbrook, IL (United States); Gilman, Paul [National Renewable Energy Lab. (NREL), Golden, CO (United States); Janzou, Steven [Janzou Consulting, Idaho Springs, CO (United States)

    2014-02-01

    This document describes the capabilities of the U.S. Department of Energy and National Renewable Energy Laboratory's System Advisor Model (SAM), Version 2013.9.20, released on September 9, 2013. SAM is a computer model that calculates performance and financial metrics of renewable energy systems. Project developers, policy makers, equipment manufacturers, and researchers use graphs and tables of SAM results in the process of evaluating financial, technology, and incentive options for renewable energy projects. SAM simulates the performance of photovoltaic, concentrating solar power, solar water heating, wind, geothermal, biomass, and conventional power systems. The financial model can represent financial structures for projects that either buy and sell electricity at retail rates (residential and commercial) or sell electricity at a price determined in a power purchase agreement (utility). SAM's advanced simulation options facilitate parametric and sensitivity analyses, and statistical analysis capabilities are available for Monte Carlo simulation and weather variability (P50/P90) studies. SAM can also read input variables from Microsoft Excel worksheets. For software developers, the SAM software development kit (SDK) makes it possible to use SAM simulation modules in their applications written in C/C++, C#, Java, Python, and MATLAB. NREL provides both SAM and the SDK as free downloads at http://sam.nrel.gov. Technical support and more information about the software are available on the website.

  19. Enhanced tribology durability of a self-assembled monolayer of alkylphosphonic acid on a textured copper substrate

    International Nuclear Information System (INIS)

    Wan Yong; Wang Yinhu; Zhang Quan; Wang Zhongqian; Xu Zhen; Liu Changsong; Zhang Junyan

    2012-01-01

    Highlights: ► A textured surface is prepared on the copper substrate by chemical etching. ► The alkylphosphonic acid is assembled on the etched copper substrate. ► Combining texturing and alkylphosphonic acid coating render the films hydrophobicity. ► The dual-layer films possess low friction with extremely long duration. - Abstract: A simple two-step process was developed to render the copper surface with lower friction and long durability. The copper substrate was immersed in a 0.01 M NaOH solution to fabricate a textured Cu 2 O film. A self-assembled monolayer of alkylphosphonic acid was then deposited on the Cu 2 O film to acquire high hydrophobicity. Scanning electron microscopy, Fourier transform infrared microscopy and water contact angle measurements were used to analyze the morphological features, the chemical composition and hydrophobicity of freshly prepared samples, respectively. Moreover, the friction-reducing behavior of the organic-inorganic composite film sliding against steel was evaluated in a ball-on-plate configuration. It was found that the alkylphosphonic acid films on the textured Cu 2 O film led to decreased friction with significantly extended life. For a constant load, the increase in chain length of alkylphosphonic acid yields the films that are dramatically more stable against tribological deformation and provide low coefficients of friction over much longer periods of time.

  20. Monolayer-functionalized microfluidics devices for optical sensing of acidity

    NARCIS (Netherlands)

    Mela, P.; Onclin, S.; Goedbloed, M.H.; Levi, S.; Garcia Parajo, M.F.; van Hulst, N.F.; Ravoo, B.J.; Reinhoudt, David; van den Berg, Albert

    This paper describes the integration of opto-chemosensors in microfluidics networks. Our technique exploits the internal surface of the network as a platform to build a sensing system by coating the surface with a self-assembled monolayer and subsequently binding a fluorescent sensing molecule to