WorldWideScience

Sample records for antibacterial self-etching system

  1. Antibacterial Effect and Tensile Bond Strength of Self-etching Adhesive Resins with and without Methacryloyloxydodecylpyridinium Bromide: An in vitro Study.

    Science.gov (United States)

    Krishnamurthy, Madhuram; Kumar, V Naveen; Leburu, Ashok; Dhanavel, Chakravarthy; Selvendran, Kasiswamy E; Praveen, Nehrudhas

    2018-04-01

    Aim: The aim of the present study was to compare the antibacterial activity of a self-etching primer containing antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB) (Clearfil protect bond) with a conventional self-etching primer without MDPB (Clearfil SE bond) against Streptococcus mutans and the effect of incorporation of MDPB on the tensile bond strength of the experimental self-etching primer (Clearfil protect bond). Materials and methods: The antibacterial activity of the self-etching primers was assessed using agar disk diffusion method and the diameters of the zones of inhibition were measured and ranked. For tensile bond strength testing, 20 noncarious human molars were selected and randomly divided into two groups comprising 10 teeth in each group. Group I specimens were treated with Clearfil SE bond (without MDPB). Group II specimens were treated with Clearfil protect bond (with MDPB). Composite material was placed incrementally and cured for 40 seconds in all the specimens. Tensile bond strength was estimated using the Instron Universal testing machine at a crosshead speed of 1 mm/min. Results: The addition of MDPB into a self-etching primer exerts potential antibacterial effect against S. mutans. The tensile bond strength of MDPB containing self-etching primer was slightly lower than that of the conventional self-etching Clearfil protect bond primer, but the difference was not statistically significant. Conclusion: Thus, a self-etching primer containing MDPB will be a boon to adhesive dentistry as it has bactericidal property with adequate tensile bond strength. Clinical significance: The concept of prevention of extension in adhesive dentistry would result in micro/nanoleakage due to the presence of residual bacteria in the cavity. Self-etching primers with MDPB would improve the longevity of such restorations by providing adequate antibacterial activity without compromising the bond strength. Keywords: Antibacterial property

  2. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  3. Antibacterial Effect of All-in-one Self-etch Adhesives on Enterococcus faecalis

    Directory of Open Access Journals (Sweden)

    Mohammad Esmaeel Ebrahimi Chaharom

    2014-12-01

    Full Text Available Background and aims. The aim of this study was to evaluate the antibacterial activity of one-step self-etch adhesives on Enterococcus faecalis on days 1, 7 and 14 with the use of modified direct contact test. Materials and methods. The modified directcontact test was used to evaluate the antibacterial effect of Adper Easy One, Bond Force, Clearfil S3 Bond, Futurabond M, G-Bond, iBond and OptiBond All-in-one adhesives on Enterococcus faecalisafter aging the samples in phosphate-buffered saline for one, seven and fourteen days. Data were analyzed using one-way ANOVA and post hoc Tukey tests. Aging effect of each adhesive was evaluated by paired-sample test. In this study, P0.05. Conclusion. iBond exhibited the highest antibacterial effect on E. faecalis after one week. Futurabond and OptiBond All-in-one exhibited antibacterial effects against E. faecalis for one week.

  4. Antibacterial Activity of Commercial Dentine Bonding Systems against E. faecalis–Flow Cytometry Study

    Directory of Open Access Journals (Sweden)

    Monika Lukomska-Szymanska

    2017-04-01

    Full Text Available Literature presents inconsistent results on the antibacterial activity of dentine bonding systems (DBS. Antibacterial activity of adhesive systems depends on several factors, including composition and acidity. Flow cytometry is a novel detection method to measure multiple characteristics of a single cell: total cell number, structural (size, shape, and functional parameters (viability, cell cycle. The LIVE/DEAD® BacLightTM bacterial viability assay was used to evaluate an antibacterial activity of DBS by assessing physical membrane disruption of bacteria mediated by DBS. Ten commercial DBSs: four total-etching (TE, four self-etching (SE and two selective enamel etching (SEE were tested. Both total-etching DBS ExciTE F and OptiBond Solo Plus showed comparatively low antibacterial activity against E. faecalis. The lowest activity of all tested TE systems showed Te-Econom Bond. Among SE DBS, G-ænial Bond (92.24% dead cells followed by Clearfil S3 Bond Plus (88.02% and Panavia F 2.0 ED Primer II (86.67% showed the highest antibacterial activity against E. faecalis, which was comparable to isopropranol (positive control. In the present study, self-etching DBS exhibited higher antimicrobial activity than tested total-etching adhesives against E. faecalis.

  5. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  6. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  7. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  8. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  9. Bond strength and morphology of enamel using self-etching adhesive systems with different acidities

    Directory of Open Access Journals (Sweden)

    Sandra Kiss Moura

    2009-08-01

    Full Text Available OBJECTIVES: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. MATERIAL AND METHODS: Composite resin (Filtek Z250 buildups were bonded to untreated (prophylaxis and treated (bur-cut or SiC-paper enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition: Clearfil SE Bond (CSE; OptiBond Solo Plus Self-Etch (OP; AdheSe (AD; Tyrian Self Priming Etching (TY, Adper Scotchbond Multi-Purpose Plus (SBMP and Adper Single Bond (SB. After storage in water (24 h/37°C, the bonded specimens were sectioned into sticks with 0.8 mm² cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa were subjected to two-way ANOVA and Tukey's test (α=0.05. The etching patterns of the adhesive systems were also observed with a scanning electron microscope. RESULTS: The main factor adhesive system was statistically significant (p<0.05. The mean bond strength values (MPa and standard deviations were: CSE (20.5±3.5, OP (11.3±2.3, AD (11.2±2.8, TY (11.1±3.0, SBMP (21.9±4.0 and SB (24.9±3.0. Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. CONCLUSION: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed.

  10. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  11. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  12. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  13. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  14. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  15. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  16. Sealing properties of a self-etching primer system to normal caries-affected and caries-infected dentin.

    Science.gov (United States)

    Lee, Kwang-Won; Son, H-H; Yoshiyama, Masatoshi; Tay, Franklin R; Carvalho, Ricardo M; Pashley, David H

    2003-09-01

    To compare the ability of an experimental antibacterial self-etching primer adhesive system to seal exposure sites in normal, caries-affected and caries-infected human dentin. 30 extracted human third molars were used within 1 month of extraction. 10 intact normal teeth comprised the normal group. 20 teeth with occlusal caries that radiographically extended halfway to the pulp were excavated using caries-detector solution (CDS) and a #4 round carbide bur in a slowspeed handpiece. Half of those teeth were fully excavated free of CDS-stained material without exposing the pulp, and were designated as the caries-affected dentin group. The remaining 10 teeth were excavated as close to the pulp as possible without obtaining an exposure, but whose dentin continued to stain red with CDS; this group was designated as the caries-infected dentin group. The remaining dentin thickness in all of the specimens in the other two groups was then reduced to the same extent as the caries-infected group. Direct exposures of the pulp chamber were made with a 1/4 round bur in the normal dentin or a 25 gauge needle in the other two groups. After measuring the fluid flow through the exposure, the sites were then sealed with an experimental antibacterial fluoride-containing self-etching primer adhesive systems (ABF). Fluid conductance was remeasured every week for 16 weeks. The fluid conductance through the exposure fell 99% in all groups following resin sealing. The seals of normal and caries-affected dentin remained relatively stable over the 16 weeks, while the seals of caries-infected dentin gradually deteriorated, reaching significance at 8 weeks. TEM examination revealed very thin (ca. 0.5 mm) hybrid layers in normal dentin, 3-4 microm thick hybrid layers in caries-affected dentin and 40 microm thick hybrid layers in caries-infected dentin. The tubules of caries-infected dentin were enlarged and filled with bacteria. Resin tags passed around these bacteria in the top 20-40 microm

  17. One-step partial or complete caries removal and bonding with antibacterial or traditional self-etch adhesives: study protocol for a randomized controlled trial.

    Science.gov (United States)

    Villat, Cyril; Attal, Jean-Pierre; Brulat, Nathalie; Decup, Franck; Doméjean, Sophie; Dursun, Elisabeth; Fron-Chabouis, Hélène; Jacquot, Bruno; Muller Bolla, Michèle; Plasse-Pradelle, Nelly; Roche, Laurent; Maucort-Boulch, Delphine; Nony, Patrice; Gritsch, Kerstin; Millet, Pierre; Gueyffier, François; Grosgogeat, Brigitte

    2016-08-15

    Current concepts in conservative dentistry advocate minimally invasive dentistry and pulp vitality preservation. Moreover, complete removal of carious dentin in deep carious lesions often leads to pulp exposure and root canal treatment, despite the absence of irreversible pulp inflammation. For years, partial caries removal has been performed on primary teeth, but little evidence supports its effectiveness for permanent teeth. Furthermore, the recent development of new antibacterial adhesive systems could be interesting in the treatment of such lesions. The objectives of this study are to compare the effectiveness of partial versus complete carious dentin removal in deep lesions (primary objective) and the use of an antibacterial versus a traditional two-step self-etch adhesive system (main secondary objective). The DEep CAries Treatment (DECAT) study protocol is a multicenter, randomized, controlled superiority trial comparing partial versus complete caries removal followed by adhesive restoration. The minimum sample size required is 464 patients. Two successive randomizations will be performed (allocation ratio 1:1): the first for the type of excavation (partial versus complete) and the second (if no root canal treatment is required) for the type of adhesive (antibacterial versus traditional). For the two objectives, the outcome is the success of the treatment after 1 year, measured according to a composite outcome of five FDI criteria: material fracture and retention, marginal adaptation, radiographic examination (including apical pathologies), postoperative sensitivity and tooth vitality, and carious lesion recurrence. The study will investigate the interest of a conservative approach for the management of deep carious lesions in terms of dentin excavation and bioactive adhesive systems. The results may help practitioners achieve the most efficient restorative procedure to maintain pulp vitality and increase the restoration longevity. Clinical

  18. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  19. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  20. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  1. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  2. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  3. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  4. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    OpenAIRE

    Hammad, Shaza M.; El-Wassefy, Noha; Maher, Ahmed; Fawakerji, Shafik M.

    2017-01-01

    ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2) nanofillers in different bonding systems on shear bond strength (SBS) and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A) Conventional acid-etch and primer Transbond XT; B) Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C) Futurabond DC at 1%; D...

  5. Selective etching of injection molded zirconia-toughened alumina: Towards osseointegrated and antibacterial ceramic implants.

    Science.gov (United States)

    Flamant, Quentin; Caravaca, Carlos; Meille, Sylvain; Gremillard, Laurent; Chevalier, Jérôme; Biotteau-Deheuvels, Katia; Kuntz, Meinhard; Chandrawati, Rona; Herrmann, Inge K; Spicer, Christopher D; Stevens, Molly M; Anglada, Marc

    2016-12-01

    Due to their outstanding mechanical properties and excellent biocompatibility, zirconia-toughened alumina (ZTA) ceramics have become the gold standard in orthopedics for the fabrication of ceramic bearing components over the last decade. However, ZTA is bioinert, which hampers its implantation in direct contact with bone. Furthermore, periprosthetic joint infections are now the leading cause of failure for joint arthroplasty prostheses. To address both issues, an improved surface design is required: a controlled micro- and nano-roughness can promote osseointegration and limit bacterial adhesion whereas surface porosity allows loading and delivery of antibacterial compounds. In this work, we developed an integrated strategy aiming to provide both osseointegrative and antibacterial properties to ZTA surfaces. The micro-topography was controlled by injection molding. Meanwhile a novel process involving the selective dissolution of zirconia (selective etching) was used to produce nano-roughness and interconnected nanoporosity. Potential utilization of the porosity for loading and delivery of antibiotic molecules was demonstrated, and the impact of selective etching on mechanical properties and hydrothermal stability was shown to be limited. The combination of injection molding and selective etching thus appears promising for fabricating a new generation of ZTA components implantable in direct contact with bone. Zirconia-toughened alumina (ZTA) is the current gold standard for the fabrication of orthopedic ceramic components. In the present work, we propose an innovative strategy to provide both osseointegrative and antibacterial properties to ZTA surfaces: we demonstrate that injection molding allows a flexible design of surface micro-topography and can be combined with selective etching, a novel process that induces nano-roughness and surface interconnected porosity without the need for coating, avoiding reliability issues. These surface modifications have the

  6. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  7. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Clinical Evaluation of a Self-Etch Adhesive System in Non-Carious ...

    African Journals Online (AJOL)

    Objective: To evaluate the clinical performance of a selfetch adhesive system – Adper's Prompt L-Pop in noncarious cervical lesions over a six months period. Method: One hundred and eighty-one (181) restorations were placed by one operator for 35 patients. The self-etch adhesive was applied to the cavity and composite ...

  9. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    Science.gov (United States)

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  10. Effect of a whitening agent application on enamel bond strength of self-etching primer systems.

    Science.gov (United States)

    Miyazaki, Masashi; Sato, Hikaru; Sato, Tomomi; Moore, B Keith; Platt, Jeffrey A

    2004-06-01

    Though reduction in bond strength after tooth whitening has been reported, little is known about it's effect on enamel bond strength of two-step bonding systems that exclude phosphoric acid etching prior to bonding agent application. The purpose of this study was to determine the effect of whitening procedure using an in-office whitening agent on enamel bond strength of self-etching primer systems. Three self-etching primer systems, Imperva Fluoro Bond, Mac Bond II, Clearfil SE Bond, and a one-bottle adhesive system Single Bond as a control material, were used. Bovine mandibular incisors were mounted in self-curing resin and the facial enamel or dentin surfaces were ground wet on 600-grit SiC paper. An in-office whitening agent, Hi-Lite was applied on the tooth surface according to the manufacturer's instruction. Bonding procedures were done soon after rinsing off the whitening agent or after 24 hours storage in distilled water. Specimens without whitening procedure were prepared as controls. Fifteen specimens per test group were stored in 37 degrees C distilled water for 24 hours, then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Duncan multiple range test were used for statistical analysis of the results. For the specimens made soon after rinsing off the whitening agent, a significant decrease in enamel bond strength was observed for all the bonding systems used. For the specimens made after 24 hours storage in water, a small decrease in enamel bond strength was observed and no significant differences were found compared to those of controls (without whitening). From the results of this study, enamel bond strengths of the self-etching primer systems might be affected to a lesser degree after rinsing with water followed by 24 hours storage in water.

  11. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  12. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  13. Two-year water degradation of self-etching adhesives bonded to bur ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were

  14. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  15. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  16. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  17. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  18. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  19. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  20. Influence of hydrostatic pulpal pressure on the microtensile bond strength of all-in-one self-etching adhesives

    OpenAIRE

    Hosaka, K; Nakajima, M; Monticelli, F; Carrilho, M; Yamauti, M; Aksornmuang, J; Nishitani, Y; Tayh, FR; Pashley, DH; Tagami, J

    2007-01-01

    Purpose: To evaluate the microtensile bond strength (mu TBS) of two all-in-one self-etching adhesive systems and two self etching adhesives with and without simulated hydrostatic pulpal pressure (PP). Materials and Methods: Flat coronal dentin surfaces of extracted human molars were prepared. Two all-in-one self-etching adhesive systems, One-Up Bond F (OBF; Tokuyama) and Clearfil S-3 Bond (Tri-S, Kuraray Medical) and two self-etching primer adhesives, Clearfil Protect Bond (PB; Kuraray) and C...

  1. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    Science.gov (United States)

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  2. Effect of collagen fibrils removal on shear bond strength of total etch and self etch adhesive systems

    Directory of Open Access Journals (Sweden)

    Pishevar L.

    2009-12-01

    Full Text Available "nBackground and Aim: Sodium hypochlorite can remove the organic phase of the demineralized dentin and it produces direct resin bonding with hydroxyapatite crystals. Therefore, the hydrolytic degradation of collagen fibrils which might affect the bonding durability is removed. The aim of this study was to evaluate the effect of collagen fibrils removal by 10% NaOCl on dentin shear bond strength of two total etch and self etch adhesive systems."nMaterials and Methods: Sixty extracted human premolar teeth were used in this study. Buccal surface of teeth were grounded until dentin was exposed. Then teeth were divided into four groups. According to dentin surface treatment, experimental groups were as follows: Group I: Single Bond (3M according to manufacture instruction, Group II: 10% NaOCl+Single bond (3M, Group III: Clearfil SE Bond (Kuraray according to manufacture instruction, and Group IV: Clearfil SE Bond primer. After that, the specimens were immersed in 50% acetone solution for removing extra monomer. Then the specimens were rinsed and dried. 10% NaOCl was applied and finally adhesive was used. Then composite was bonded to the treated surfaces using a 4 2 mm cylindrical plastic mold. Specimens were thermocycled for 500 cycles (5-55ºC. A shear load was employed by a universal testing machine with a cross head speed of 1mm/min. The data were analyzed for statistical significance with One-way ANOVA, Two-way ANOVA and Tukey HSD post-hoc tests."nResults: The mean shear bond strengths of groups were as follows: Single Bond=16.8±4.2, Clearfil SE Bond=23.7±4.07, Single Bond+NaOCl=10.5±4.34, Clearfil SE Bond+NaOCl=23.3±3.65 MPa. Statistical analysis revealed that using 10% NaOCl significantly decreased the shear bond strength in Single Bond group (P=0.00, but caused no significant difference in the shear bond strength in Clearfil SE Bond group (P=0.99."nConclusion: Based on the results of this study, NaOCl treatment did not improve the bond

  3. Bond strength of etch-and-rinse and self-etch adhesive systems to enamel and dentin irradiated with a novel CO2 9.3 μm short-pulsed laser for dental restorative procedures.

    Science.gov (United States)

    Rechmann, Peter; Bartolome, N; Kinsel, R; Vaderhobli, R; Rechmann, B M T

    2017-12-01

    The objective of this study was to evaluate the influence of CO 2 9.3 μm short-pulsed laser irradiation on the shear bond strength of composite resin to enamel and dentin. Two hundred enamel and 210 dentin samples were irradiated with a 9.3 µm carbon dioxide laser (Solea, Convergent Dental, Inc., Natick, MA) with energies which either enhanced caries resistance or were effective for ablation. OptiBond Solo Plus [OptiBondTE] (Kerr Corporation, Orange, CA) and Peak Universal Bond light-cured adhesive [PeakTE] (Ultradent Products, South Jordan, UT) were used. In addition, Scotchbond Universal [ScotchbondSE] (3M ESPE, St. Paul, MN) and Peak SE self-etching primer with Peak Universal Bond light-cured adhesive [PeakSE] (Ultradent Products) were tested. Clearfil APX (Kuraray, New York, NY) was bonded to the samples. After 24 h, a single plane shear bond test was performed. Using the caries preventive setting on enamel resulted in increased shear bond strength for all bonding agents except for self-etch PeakSE. The highest overall bond strength was seen with PeakTE (41.29 ± 6.04 MPa). Etch-and-rinse systems achieved higher bond strength values to ablated enamel than the self-etch systems did. PeakTE showed the highest shear bond strength with 35.22 ± 4.40 MPa. OptiBondTE reached 93.8% of its control value. The self-etch system PeakSE presented significantly lower bond strength. The shear bond strength to dentin ranged between 19.15 ± 3.49 MPa for OptiBondTE and 43.94 ± 6.47 MPa for PeakSE. Etch-and-rinse systems had consistently higher bond strength to CO 2 9.3 µm laser-ablated enamel. Using the maximum recommended energy for dentin ablation, the self-etch system PeakSE reached the highest bond strength (43.9 ± 6.5 MPa).

  4. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    Science.gov (United States)

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  5. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  6. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    Directory of Open Access Journals (Sweden)

    Shaza M. Hammad

    Full Text Available ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2 nanofillers in different bonding systems on shear bond strength (SBS and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A Conventional acid-etch and primer Transbond XT; B Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C Futurabond DC at 1%; D Optibond All-in-One at 7%. Each group was allocated into two subgroups (n = 12 according to experimental time (12 and 24 hours. SBS test was performed using a universal testing machine. ARI scores were determined under a stereomicroscope. Scanning electron microscopy (SEM and transmission electron microscopy (TEM were used to determine the size and distribution of nanofillers. One-way ANOVA was used to compare SBS followed by the post-hoc Tukey test. The chi-square test was used to evaluate ARI scores. Results: Mean SBS of Futurabond DC and Optibond All-in-One were significantly lower than conventional system, and there were no significant differences between means SBS obtained with all self-etch bonding systems used in the study. Lower ARI scores were found for Futurabond DC and Optibond All-in-One. There was no significant difference of SBS and ARI obtained at either time points for all bonding systems. Relative homogeneous distribution of the fillers was observed with the bonding systems. Conclusion: Two nanofilled systems revealed the lowest bond strengths, but still clinically acceptable and less adhesive was left on enamel. It is advisable not to load the brackets immediately to the maximum.

  7. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    Science.gov (United States)

    Hammad, Shaza M.; El-Wassefy, Noha; Maher, Ahmed; Fawakerji, Shafik M.

    2017-01-01

    ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2) nanofillers in different bonding systems on shear bond strength (SBS) and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A) Conventional acid-etch and primer Transbond XT; B) Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C) Futurabond DC at 1%; D) Optibond All-in-One at 7%. Each group was allocated into two subgroups (n = 12) according to experimental time (12 and 24 hours). SBS test was performed using a universal testing machine. ARI scores were determined under a stereomicroscope. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to determine the size and distribution of nanofillers. One-way ANOVA was used to compare SBS followed by the post-hoc Tukey test. The chi-square test was used to evaluate ARI scores. Results: Mean SBS of Futurabond DC and Optibond All-in-One were significantly lower than conventional system, and there were no significant differences between means SBS obtained with all self-etch bonding systems used in the study. Lower ARI scores were found for Futurabond DC and Optibond All-in-One. There was no significant difference of SBS and ARI obtained at either time points for all bonding systems. Relative homogeneous distribution of the fillers was observed with the bonding systems. Conclusion: Two nanofilled systems revealed the lowest bond strengths, but still clinically acceptable and less adhesive was left on enamel. It is advisable not to load the brackets immediately to the maximum. PMID:28444018

  8. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  9. Dentin bond strength and degree of conversion evaluation of experimental self-etch adhesive systems

    OpenAIRE

    Yazdi, Fatemeh-Maleknejad; Moosavi, Horieh; Atai, Mohammad; Zeynali, Mahsa

    2015-01-01

    Background The aim of this study was to investigate the effect of different concentrations of 10-methacryloyloxydecyl dihydrogen phosphate (10-MDP) monomer in one-step self-etch experimental adhesives on dentinal microshear bond strength (?SBS), their degree of conversion and bonded micro structure. Material and Methods Composite resin cylinders (Clearfil AP-X) were bonded on human sound molar dentinal surfaces by using five experimental one-step self-etching adhesives (1-SEAs) containing 0% ...

  10. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. BOND STRENGTH OF SELF-ETCH ADHESIVES WITH PRIMARY AND PERMANENT TEETH DENTIN – IN VITRO STUDY.

    Directory of Open Access Journals (Sweden)

    Natalia Gateva

    2012-03-01

    Full Text Available Objective: The aim of this study was to compare dentin bond strength of primary and permanent teeth with self-etching adhesive systems. Methods: On 40 intact specimens of primary and permanent teeth was created flat dentin surfaces. The patterns were divided in 4 groups. Two different self-etching adhesive systems were used – one two steps (AdheSE, VivaDent and one one step (AdheSE One, VivaDent. Resin composite build-ups were constructed by means of convetional copper ring after applying the adhesive. The specimens were stored in water for 72 h at room temperature. After that specimens were tested for macrotensile bond strength. Debonded surfaces were analyzed by SEM.Conclusions: The measured values of dentin bond strength after applying self-etching adhesives are statistically significant in group of permanent teeth in comparison with group of primary teeth, and for both adhesive generations. Two steps self-etching adhesive provide significant stronger dentin bond strength with both dentitions in comparison with one step self-etching adhesive.

  12. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  13. Influence of frequency on shear fatigue strength of resin composite to enamel bonds using self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Scheidel, Donal D; Barkmeier, Wayne W; Erickson, Robert L; Tsujimoto, Akimasa; Latta, Mark A; Miyazaki, Masashi

    2016-09-01

    The purpose of this study was to determine the influence of different frequency rates on of bond durability of self-etch adhesives to enamel using shear fatigue strength (SFS) testing. A two-step self-etch adhesive (OX, OptiBond XTR), and two single step self-etch adhesives (GB, G-ӕnial Bond and SU, Scotchbond Universal) were used in this study. The shear fatigue strength (SFS) to enamel was obtained. A staircase method was used to determine the SFS values with 50,000 cycles or until failure occurred. Fatigue testing was performed at frequencies of 5Hz, 10Hz, and 20Hz. For each test condition, 30 specimens were prepared for the SFS testing. Regardless of the bond strength test method, OX showed significantly higher SFS values than the two single-step self-etch adhesives. For each of the three individual self-etch adhesives, there was no significant difference in SFS depending on the frequency rate, although 20Hz results tended to be higher. Regardless of the self-etch adhesive system, frequencies of 5Hz, 10Hz, and 20Hz produced similar results in fatigue strength of resin composite bonded to enamel using 50,000 cycles or until bond failure. Accelerated fatigue testing provides valuable information regarding the long term durability of resin composite to enamel bonding using self-etch adhesive system. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  15. The difference of tensile bond strength between total and self etch adhesive systems in dentin

    Directory of Open Access Journals (Sweden)

    Selly Yusalina

    2010-03-01

    Full Text Available Total etch adhesive system has been widely used in teeth conservation area as an adhesive agent before implicating composite resin restoration agent. The aim of this research is to prove the difference of tensile bond strength between total etch (Single Bond and self etch adhesive system (Adper prompt L-Pop on dentin surface in vitro. The extracted and non carries maxillary premolar teeth were used in this research and were divided into 2 groups. The first group comprised 15 specimen teeth etched in phosphoric acid and was applicated with the Single Bond adhesive agent. The second group comprised 15 specimen teeth, applicated with the Adper Prompt-L-Pop. The composite resin (Z 350, 3M was applied incrementally and each of the layers was rayed for 20 seconds. The specimens were stored in physiologic solution before they were tested. Tensile bond strength was measured by LRX Plus Lloyd Instrument, with 1 N load and 1 mm/minute speed, and the measurement result was in Mpa unit. The result was evaluated statistically by the Student t-test with α = 0.05. Single Bond (the 5th generation showed a better bond strength compared to the Adper Prompt-L-Pop (the 6th generation.

  16. Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin.

    Science.gov (United States)

    Naranjo, Jennifer; Ali, Mohsin; Belles, Donald

    2015-11-01

    Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin. With several self-adhesive resin cements currently available, there is confusion about which product and technique is optimal for bonding ceramic restorations to teeth. The objective of this study was to compare the shear bond strength of lithium disilicate cemented to enamel and dentin using 5 adhesive cements. 100 lithium disilicate rods were pretreated with 5% hydrofluoric acid, silane, and cemented to 50 enamel and 50 dentin surfaces using five test cements: Variolink II (etch-and-rinse) control group, Clearfil Esthetic (two step self-etch), RelyX Unicem, SpeedCEM, and BifixSE (self-adhesive). All specimens were stored (37 degrees C, 100% humidity) for 24 hours before testing their shear bond strength using a universal testing machine (Instron). Debonded surfaces were observed under a low-power microscope to assess the location and type of failure. The highest bond strength for both enamel and dentin were recorded for Variolink II, 15.1MPa and 20.4MPa respectively, and the lowest were recorded for BifixSE, 0.6MPa and 0.9MPa respectively. Generally, higher bond strengths were found for dentin (7.4MPa) than enamel (5.3MPa). Tukey's post hoc test showed no significant difference between Clearfil Esthetic and SpeedCem (p = 0.059), Unicem and SpeedCem (p = 0.88), and Unicem and BifixSE (p = 0.092). All cements bonded better to lithium disilicate than to enamel or dentin, as all bond failures occurred at the tooth/adhesive interface except for Variolink II. Bond strengths recorded for self-adhesive cements were very low compared to the control "etch and rinse" and self-etch systems. Further improvements are apparently needed in self-adhesive cements for them to replace multistep adhesive systems. The use of conventional etch and rinse cements such as Veriolink II should be preferred for cementing all ceramic restorations over self-adhesive cements

  17. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    Science.gov (United States)

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    unprepared enamel. The field-emission scanning electron microscope revealed a deep interprismatic etching pattern for the total-etch adhesive, whereas the self-etching systems resulted in an etching pattern ranging from absent to moderate.

  18. Enamel shear bond strength of two orthodontic self-etching bonding systems compared to Transbond™ XT.

    Science.gov (United States)

    Hellak, Andreas; Rusdea, Patrick; Schauseil, Michael; Stein, Steffen; Korbmacher-Steiner, Heike Maria

    2016-11-01

    The aim of this in vitro study was to compare the shear bond strength (SBS) and Adhesive Remnant Index (ARI) scores of two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances to the commonly used total etch system Transbond XT™ (in combination with phosphoric acid). In all, 60 human premolars were randomly divided into three groups of 20 specimens each. In group 1 (control), brackets were bonded with Transbond™ XT primer. Prompt L-Pop™ (group 2) and Scotchbond™ Universal (group 3) were used in the experimental groups. Lower premolar brackets were bonded by light curing the adhesive. After 24 h of storage, the shear bond strength (SBS) was measured using a Zwicki 1120 testing machine. The adhesive remnant index (ARI) was determined under 10× magnification. The Kruskal-Wallis test was used to statistically compare the SBS and the ARI scores. No significant differences in the SBS between any of the experimental groups were detected (group 1: 15.49 ± 3.28 MPa; group 2: 13.89 ± 4.95 MPa; group 3: 14.35 ± 3.56 MPa; p = 0.489), nor were there any significant differences in the ARI scores (p = 0.368). Using the two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances does not affect either the SBS or ARI scores in comparison with the commonly used total-etch system Transbond™ XT. In addition, Scotchbond™ Universal supports bonding on all types of surfaces (enamel, metal, composite, and porcelain) with no need for additional primers. It might therefore be helpful for simplifying bonding in orthodontic procedures.

  19. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  20. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  1. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  2. Influence of voids in the hybrid layer based on self-etching adhesive systems: a 3-D FE analysis

    Directory of Open Access Journals (Sweden)

    Ana Paula Martini

    2009-01-01

    Full Text Available The presence of porosities at the dentin/adhesive interface has been observed with the use of new generation dentin bonding systems. These porosities tend to contradict the concept that etching and hybridization processes occur equally and simultaneously. Therefore, the aim of this study was to evaluate the micromechanical behavior of the hybrid layer (HL with voids based on a self-etching adhesive system using 3-D finite element (FE analysis. MATERIAL AND METHODS: Three FE models (Mr were built: Mr, dentin specimen (41x41x82 μm with a regular and perfect (i.e. pore-free HL based on a self-etching adhesive system, restored with composite resin; Mp, similar to M, but containing 25% (v/v voids in the HL; Mpp, similar to Mr, but containing 50% (v/v voids in the HL. A tensile load (0.03N was applied on top of the composite resin. The stress field was obtained by using Ansys Workbench 10.0. The nodes of the base of the specimen were constrained in the x, y and z axes. The maximum principal stress (σmax was obtained for all structures at the dentin/adhesive interface. RESULTS: The Mpp showed the highest peak of σmax in the HL (32.2 MPa, followed by Mp (30 MPa and Mr (28.4 MPa. The stress concentration in the peritubular dentin was high in all models (120 MPa. All other structures positioned far from voids showed similar increase of stress. CONCLUSION: Voids incorporated into the HL raised the σmax in this region by 13.5%. This behavior might be responsible for lower bond strengths of self-etching and single-bottle adhesives, as reported in the literature.

  3. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    Science.gov (United States)

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  5. In Vitro Evaluation of Shear Bond Strength of Self Etching Primers to Dentin

    Directory of Open Access Journals (Sweden)

    Reena Vora

    2012-01-01

    Full Text Available Objectives: To evaluate and compare the shear bond strength of four self etching primer adhesives to dentin. Materials & Methods: A total of 75 extracted human maxillary and mandibular molars were selected for the study. The teeth were divided into 5 groups of 15 teeth each, Group A- AdheSE (Ivoclar Vivadent, Group B-Adper prompt (3M ESPE, Group C- i bond (Heraeus-Kulzer, Group D-XenoIII (Dentsply, De Trey Group E-Single bond (3M ESPE was used and served as control. All the adhesives were applied according to the manufacturer′s instructions. Composite post was built on these bonded surfaces using Z-100 hybrid composite. The teeth were subjected to thermocycling for 500 cycles between 5°C to 55°C. The teeth were then mounted on universal testing machine and fractured under a shearing load, applied at a speed of 0.2mm/min. The readings were noted, tabulated and shear bond strength calculated in Mega Pascal (Mpa units. Results: There was significant difference in the mean shear bond strength of the four self etching primers, adhesives tested. Shear strength values were in the range of 16.57 to 21.73 Mpa. Xeno III gave the highest mean of shear bond strength whereas Adhe SE showed the lowest value of shear strength. Conclusion: Based on the results of the study, it can be concluded that contemporary self etching primer adhesives bond successfully to dentin. Moreover the bonding ability of Self Etching Systems seems to be comparable to the conventional Total Etch Systems.

  6. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  7. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  8. Shear bond strength of one-step self-etch adhesives to dentin: Evaluation of NaOCl pretreatment.

    Science.gov (United States)

    Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco; Poggio, Claudio; Scribante, Andrea

    2018-02-01

    The aim of this study was to evaluate the influence of dentin pretreatment with NaOCl on shear bond strength of four one-step self-etch adhesives with different pH values. Bovine permanent incisors were used. Four one-step self-etch adhesives were tested: Adper™ Easy Bond, Futurabond NR, G-aenial Bond, Clearfil S3 Bond. One two-step self-etch adhesive (Clearfil SE Bond) was used as control. Group 1- no pretreatment; group 2- pretratment with 5,25 % NaOCl; group 3- pretreatment with 37 % H3PO4 etching and 5,25 % NaOCl. A hybrid composite resin was inserted into the dentin surface. The specimens were tested in a universal testing machine. The examiners evaluated the fractured surfaces in optical microscope to determine failure modes, quantified with adhesive remnant index (ARI). Dentin pretreatment variably influenced bond strength values of the different adhesive systems. When no dentin pretreatment was applied, no significant differences were found ( P >.05) among four adhesives tested. No significant differences were recorded when comparing NaOCl pretreatment with H3PO4 + NaOCl pretreatment for all adhesive tested ( P >.05) except Clearfil S3 Bond that showed higher shear bond strength values when H3PO4 was applied. Frequencies of ARI scores were calculated. The influence of dentin pretreatment with NaOCl depends on the composition of each adhesive system used. There was no difference in bond strength values among self-etch adhesives with different pH values. Key words: Dentin, pretreatment, self-etch adhesives.

  9. Microleakage after Thermocycling of Three Self-Etch Adhesives under Resin-Modified Glass-Ionomer Cement Restorations

    Directory of Open Access Journals (Sweden)

    Sabine O. Geerts

    2010-01-01

    Full Text Available This study was designed to evaluate microleakage that appeared on Resin-Modified Glass-Ionomer Cement (RMGIC restorations. Sixty class V cavities (h×w×l=2mm×2mm×3mm were cut on thirty extracted third molars, which were randomly allocated to three experimental groups. All the buccal cavities were pretreated with polyacrylic acid, whereas the lingual cavities were treated with three one-step Self-Etch adhesives, respectively, Xeno III (Dentsply Detrey GmbH, Konstanz, Germany, iBond exp (Heraeus Kulzer gmbH & Co. KG, Hanau, Germany, and Adper Prompt-L-Pop (3M ESPE AG, Dental products Seefeld, Germany. All cavities were completely filled with RMGIC, teeth were thermocycled for 800 cycles, and leakage was evaluated. Results were expressed as means ± standard deviations (SDs. Microleakage scores were analysed by means of generalized linear mixed models (GLMMs assuming an ordinal logistic link function. All results were considered to be significant at the 5% critical level (<.05. The results showed that bonding RMGIC to dentin with a Self-Etch adhesive rather than using polyacrylic acid did not influence microleakage scores (=.091, except for one tested Self-Etch adhesive, namely, Xeno III (<.0001. Nevertheless, our results did not show any significant difference between the three tested Self-Etch adhesive systems. In conclusion, the pretreatment of dentin with Self-Etch adhesive system, before RMGIC filling, seems to be an alternative to the conventional Dentin Conditioner for the clinicians as suggested by our results (thermocycling and others (microtensile tests.

  10. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  11. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    Science.gov (United States)

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  12. Shear bond strength of one-step self-etch adhesives: pH influence

    Science.gov (United States)

    Poggio, Claudio; Beltrami, Riccardo; Scribante, Andrea; Colombo, Marco; Chiesa, Marco

    2015-01-01

    Background: The aim of this study was to compare the shear bond strength of four one-step self-etch adhesives with different pH values to enamel and dentin. Materials and Methods: In this in vitro study, 200 bovine permanent mandibular incisors were used. Four one-step self-etch adhesives with different pH values were tested both on enamel and on dentin: Adper™ Easy Bond Self-Etch Adhesive (pH = 0.8-1), Futurabond NR (pH=2), G-aenial Bond (pH = 1.5), Clearfil S3 Bond (pH = 2.7). After adhesive systems application, a nanohybrid composite resin was inserted into the bonded surface. The specimens were placed in a universal testing machine. The shear bond strength was performed at a cross-head speed of 1 mm/min until the sample rupture. The shear bond strength values (MPa) of the different groups were compared with analysis of variance after that Kolmogorov and Smirnov tests were applied to assess normality of distributions. P enamel shear bond strength, the highest shear bond strength values were reported with Futurabond NR (P adhesive systems showed lower shear bond strength values with significant differences between them (P 0.05). Conclusion: The pH values of adhesive systems did not influence significantly their shear bond strength to enamel or dentin. PMID:26005459

  13. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  15. Effect of temporary cements on the microtensile bond strength of self-etching and self-adhesive resin cement.

    Science.gov (United States)

    Carvalho, Edilausson Moreno; Carvalho, Ceci Nunes; Loguercio, Alessandro Dourado; Lima, Darlon Martins; Bauer, José

    2014-11-01

    The aim of this study was to evaluate the microtensile bond strength (µTBS) of self-etching and self-adhesive resin cement systems to dentin affected by the presence of remnants of either eugenol-containing or eugenol-free temporary cements. Thirty extracted teeth were obtained and a flat dentin surface was exposed on each tooth. Acrylic blocks were fabricated and cemented either with one of two temporary cements, one zinc oxide eugenol (ZOE) and one eugenol free (ZOE-free), or without cement (control). After cementation, specimens were stored in water at 37°C for 1 week. The restorations and remnants of temporary cements were removed and dentin surfaces were cleaned with pumice. Resin composite blocks were cemented to the bonded dentin surfaces with one of two resin cements, either self-etching (Panavia F 2.0) or self-adhesive (RelyX U-100). After 24 h, the specimens were sectioned to obtain beams for submission to µTBS. The fracture mode was evaluated under a stereoscopic loupe and a scanning electron microscope (SEM). Data from µTBS were submitted to two-way repeated-measure ANOVA and the Tukey test (alpha = 0.05). The cross-product interaction was statistically significant (p cements reduced the bond strength to Panavia self-etching resin cements only (p cements did not interfere in the bond strength to dentin of self-adhesive resin cements.

  16. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  17. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  18. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    Science.gov (United States)

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  19. Influence of Nd:YAG laser on the bond strength of self-etching and conventional adhesive systems to dental hard tissues.

    Science.gov (United States)

    Marimoto, A K; Cunha, L A; Yui, K C K; Huhtala, M F R L; Barcellos, D C; Prakki, A; Gonçalves, S E P

    2013-01-01

    The aim of this study was to investigate the influence of Nd:YAG laser on the shear bond strength to enamel and dentin of total and self-etch adhesives when the laser was applied over the adhesives, before they were photopolymerized, in an attempt to create a new bonding layer by dentin-adhesive melting. One-hundred twenty bovine incisors were ground to obtain flat surfaces. Specimens were divided into two substrate groups (n=60): substrate E (enamel) and substrate D (dentin). Each substrate group was subdivided into four groups (n=15), according to the surface treatment accomplished: X (Xeno III self-etching adhesive, control), XL (Xeno III + laser Nd:YAG irradiation at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental), S (acid etching + Single Bond conventional adhesive, Control), and SL (acid etching + Single Bond + laser Nd:YAG at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental). The bonding area was delimited with 3-mm-diameter adhesive tape for the bonding procedures. Cylinders of composite were fabricated on the bonding area using a Teflon matrix. The teeth were stored in water at 37°C/48 h and submitted to shear testing at a crosshead speed of 0.5 mm/min in a universal testing machine. Results were analyzed with three-way analysis of variance (ANOVA; substrate, adhesive, and treatment) and Tukey tests (α=0.05). ANOVA revealed significant differences for the substrate, adhesive system, and type of treatment: lased or unlased (penamel groups were X=20.2 ± 5.61, XL=23.6 ± 4.92, S=20.8 ± 4.55, SL=22.1 ± 5.14 and for the dentin groups were X=14.1 ± 7.51, XL=22.2 ± 6.45, S=11.2 ± 5.77, SL=15.9 ± 3.61. For dentin, Xeno III self-etch adhesive showed significantly higher shear bond strength compared with Single Bond total-etch adhesive; Nd:YAG laser irradiation showed significantly higher shear bond strength compared with control (unlased). Nd:YAG laser application prior to photopolymerization of adhesive systems

  20. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    Science.gov (United States)

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  1. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel.

    Science.gov (United States)

    Yazici, A Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-07-01

    The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C-55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Padhesives tested (P=.17). Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested.

  2. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel

    Science.gov (United States)

    Yazici, A. Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-01-01

    Objective The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Methods: Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C–55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Penamel for any of the adhesives tested (P=.17). Conclusion: Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested. PMID:22904656

  3. Influence of hydrostatic pulpal pressure on the microtensile bond strength of all-in-one self-etching adhesives.

    Science.gov (United States)

    Hosaka, Keiichi; Nakajima, Masatoshi; Monticelli, Francesca; Carrilho, Marcela; Yamauti, Monica; Aksornmuang, Juthatip; Nishitani, Yoshihiro; Tay, Franklin R; Pashley, David H; Tagami, Junji

    2007-10-01

    To evaluate the microtensile bond strength (microTBS) of two all-in-one self-etching adhesive systems and two self-etching adhesives with and without simulated hydrostatic pulpal pressure (PP). Flat coronal dentin surfaces of extracted human molars were prepared. Two all-in-one self-etching adhesive systems, One-Up Bond F (OBF; Tokuyama) and Clearfil S3 Bond (Tri-S, Kuraray Medical) and two self-etching primer adhesives, Clearfil Protect Bond (PB; Kuraray) and Clearfil SE Bond (SE; Kuraray) were applied to the dentin surfaces according to manufacturers' instructions under either a pulpal pressure (PP) of zero or 15 cm H2O. A hybrid resin composite (Clearfil AP-X, Kuraray) was used for the coronal buildup. Specimens bonded under PP were stored in water at 37 degrees C under 15 cm H2O for 24 h. Specimens not bonded under PP were stored under a PP of zero. After storage, the bonded specimens were sectioned into slabs that were trimmed to hourglass-shaped specimens, and were subjected to microtensile bond testing (microTBS). The bond strength data were statistically analyzed using two-way ANOVA and the Holm-Sidak method for multiple comparison tests (alpha = 0.05). The surface area percentage of different failure modes for each material was also statistically analyzed with three one-way ANOVAs and Tukey's multiple comparison tests. The microTBS of OBF and Tri-S fell significantly under PP. However, in the, PB and SE bonded specimens under PP, there were no significant differences compared with the control groups without PP. The microTBS of the two all-in-one adhesive systems decreased when PP was applied. However, the microTBS of both self-etching primer adhesives did not decrease under PP.

  4. Microshear bond strength of self-etching systems associated with a hydrophobic resin layer.

    Science.gov (United States)

    De Vito Moraes, André Guaraci; Francci, Carlos; Carvalho, Ceci Nunes; Soares, Silvio Peixoto; Braga, Roberto Ruggiero

    2011-08-01

    To evaluate in vitro the microshear bond strength of adhesive systems applied to dentin according to manufacturers' instructions, associated or not with a hydrophobic layer of unfilled resin. Six self-etching adhesives (Clearfil SE Bond, Kuraray Medical; AdheSE, Ivoclar Vivadent; Xeno III, Dentsply; I Bond, Heraeus-Kulzer; Bond Force, Tokuyama; Futurabond DC, Voco) were tested. The labial dentin of sixty bovine incisors was exposed, and the teeth were divided into two groups according to the application or not of an extra hydrophobic resin layer (Scotchbond Multi Purpose Plus, bottle 3). Six composite cylinders (Filtek Z250, 3M ESPE) were built up on each treated surface. Specimens were stored in distilled water at 37ºC for 24 h and then subjected to the microshear bond strength test in a universal testing machine at a crosshead speed of 0.5 mm/min. Microshear bond strength values were analyzed by 2-way ANOVA and Tukey's post-hoc test. Failure mode was determined using a stereomicroscope under 20X magnification. The application of the hydrophobic resin layer did not affect bond strength, except for AdheSE. However, the bond strengths with the hydrophobic layer were similar among the six tested systems (Clearfil: 17.1 ± 7.9; AdheSE: 14.5 ± 7.1; Xeno lll: 12.8 ± 7.7; I Bond: 9.5 ± 5.8; Bond Force: 17.5 ± 4.1; Futurabond: 7.7 ± 2.3). When used as recommended by the manufacturers, Bond Force presented statistically higher bond strength than AdheSE and I Bond (p AdheSE 1.6 ± 1.6; Xeno lll: 9.0 ± 3.8; I Bond: 3.0 ± 1.5; Bond Force: 14 ± 3.9; Futurabond: 8.8 ± 3.8). Failure mode was predominantly adhesive. The bond strength of the self-etching systems tested was not significantly affected by the application of a hydrophobic layer, but a significant improvement was observed in AdheSE.

  5. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    Science.gov (United States)

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  6. Effect of evaporation of solvents from one-step, self-etching adhesives

    DEFF Research Database (Denmark)

    Furuse, Adilson Yoshio; Peutzfeldt, Anne; Asmussen, Erik

    2008-01-01

    PURPOSE: To investigate whether and to what extent the bonding capacity of one-step, self-etching adhesives is influenced by the degree to which solvent is evaporated. MATERIALS AND METHODS: Seven one-step, self-etching adhesives were tested (Adper Prompt L-Pop, Clearfil S3 Bond, Futurabond NR, G....... After being stored in water at 37 degrees C for 1 week, the bonded specimens were broken in shear. Failure modes were evaluated under stereomicroscope. RESULTS: Air-blowing duration and brand of adhesive both had an effect on shear bond strength. An interaction was found between adhesive and air...... failures were observed with shorter air-blowing durations. A significant negative correlation between number of adhesive failures and bond strength was found. CONCLUSION: On the basis of this in vitro study, it may be concluded that the one-step, self-etching adhesives evaluated were sensitive to degree...

  7. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  8. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  9. [Influence of thermalcycling on bonding durability of self-etch adhesives with dentin].

    Science.gov (United States)

    Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2014-04-18

    To investigate influence of thermalcycling on the bonding durability of two one-step products [Adper Prompt (AP) and G-bond (GB)] and one two-step self-etching adhesive [Clearfil SE bond (SE)] with dentin in vitro. Forty-two extracted human molars were selected. The superficial dentin was exposed by grinding off the enamel. The teeth were randomly distributed into six groups with varied bonding protocols. The adhesives were applied to the dentin surface. Composite crowns were built up, then the samples were cut longitudinally into sticks with 1.0 mm×1.0 mm bonding area [for microtensile bond strength (MTBS) testing] or 1.0 mm thick slabs (for nanoleakage observation). Bonding performance was evaluated with or without thermalcyling. For the MTBS testing, the strength values were statistically analysed using One-Way ANOVA. Four slabs in each group were observed for nanoleakage by SEM with a backscattered electron detector. Thermalcycling procedures affected MTBS. In the two one-step groups, the MTBS decreased significantly (Padhesives showed lower MTBS than two-step bonding system after aging.For AP and GB, continuous nanoleakage appearance was notable and more obvious than for SE. Thermalcycling can affect the bonding performance of self-etch adhesives including decrease of bond strength and nanoleakage pattern. one-step self-etch adhesives showed more obvious change compared with their two-step counterparts.

  10. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  11. Bond durability of universal adhesive to bovine enamel using self-etch mode.

    Science.gov (United States)

    Suzuki, Soshi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Sai, Keiichi; Takimoto, Masayuki; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-04-01

    The purpose of this study was to examine the enamel bond durability of universal adhesives in the self-etch mode under 2-year water storage and thermal cycling conditions. Three commercially available universal adhesives and a gold standard two-step self-etch adhesive were used. Ten specimens of bovine enamel were prepared per test group, and shear bond strength (SBS) was measured to determine the bonding durability after thermal cycling (TC) or long-term water storage (WS). The bonded specimens were divided into three groups: (1) specimens subjected to TC, where the bonded specimens were stored in 37 °C distilled water for 24 h before being subjected to 3000, 10,000, 20,000 or 30,000 TC; (2) specimens stored in 37 °C distilled water for 3 months, 6 months, 1 year or 2 year; and (3) specimens stored in 37 °C distilled water for 24 h, serving as a baseline. The two-step self-etch adhesive showed significantly higher SBS than the universal adhesives tested, regardless of the type of degradation method. All universal adhesives showed no significant enamel SBS reductions in TC and WS, when compared to baseline and the other degradation conditions. Compared to the bond strengths obtained with the two-step self-etch adhesive, significantly lower bond strengths were obtained with universal adhesives. However, the enamel bond durability of universal adhesives was relatively stable under both degradation conditions tested. The present data indicate that the enamel bond durability of universal adhesives in the self-etch mode might be sufficient for clinical use.

  12. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    Science.gov (United States)

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  13. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Horieh Moosavi

    2013-05-01

    Full Text Available Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO, Clearfil S3 Bond (CSB, Bond Force (BF. Each main group divided into three subgroups regarding the air-drying time: without application of air stream, following the manufacturer's instruction, for 10 sec more than manufacturer's instruction. After completion of restorations, specimens were thermocycled and then connected to a fluid filtration system to evaluate microleakage. The data were statistically analyzed using two-way ANOVA and Tukey-test (α = 0.05. Results The microleakage of all adhesives decreased when the air-drying time increased from 0 sec to manufacturer's instruction (p < 0.001. The microleakage of BF reached its lowest values after increasing the drying time to 10 sec more than the manufacturer's instruction (p < 0.001. Microleakage of OBAO and CSB was significantly lower compared to BF in all three drying time (p < 0.001. Conclusions Increasing in air-drying time of adhesive layer in one-step self-etch adhesives caused reduction of microleakage, but the amount of this reduction may be dependent on the adhesive components of self-etch adhesives.

  14. Evaluation of the tensile bond strength of an adhesive system self-etching in dentin irradiated with Er:YAG laser

    International Nuclear Information System (INIS)

    Mello, Andrea Malluf Dabul de

    2000-01-01

    Since Buonocore (1955), several researchers have been seeking for the best adhesive system and treatment for the enamel and dentin surfaces. The use of the acid has been presented as one of the best techniques of dentin conditioning , because this promotes the removal of the 'smear layer and exhibition of dentinal structure, for a best penetration and micro- retention of the adhesive system. However, some conditioning methods have been appearing in the literature, for the substitution or interaction with the acid substances, as the laser. The objective of this work is to evaluate the tensile bond strength of the adhesive system self-etching' associated to a composed resin, in dentin surfaces conditioned with the Er:YAG laser. For this study, freshly extracted human teeth were used and in each one the dentinal surfaces , which were treated with three sandpapers of different granulations (120,400,600), to obtain a standard of the smear layer, before the irradiation of the laser and of the restoring procedure. After these procedures the specimens were storage in distilled water at 37 deg C for 24 hours. Soon after, they were submitted to the tensile strength test .After analyzing the results, we can concluded that the use of the Er:YAG laser can substitute the drill without the need of conditioning, when using the adhesive system 'self-etching' in the dentinal surfaces because there was a decline in the strength of adhesion in the groups conditioned with the laser. (author)

  15. Shear bond strength of one-step self-etch adhesives to dentin : evaluation of NaOCl pretreatment

    OpenAIRE

    Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco; Poggio, Claudio; Scribante, Andrea

    2018-01-01

    The aim of this study was to evaluate the influence of dentin pretreatment with NaOCl on shear bond strength of four one-step self-etch adhesives with different pH values. Bovine permanent incisors were used. Four one-step self-etch adhesives were tested: Adper? Easy Bond, Futurabond NR, G-aenial Bond, Clearfil S3 Bond. One two-step self-etch adhesive (Clearfil SE Bond) was used as control. Group 1- no pretreatment; group 2- pretratment with 5,25 % NaOCl; group 3- pretreatment with 37 % H3PO4...

  16. Kekuatan Rekat Restorasi Komposit Resin pada Permukaan Dentin dengan Sistem Adhesif Self-Etch dalam Berbagai Temperatur

    Directory of Open Access Journals (Sweden)

    Iin Sundari

    2012-10-01

    Full Text Available Single-step self-etch adhesive systems are the system that combine self etching primer and bonding agent into one step application. This system was developed as the effort to simplified in application prosedures and give a good bond strength of resin composites to dentin surface. The purpose of this study was to examine the bond strength of resin composites with two singlestep self-etch adhesives system (Xeno III and Clearfil Tri-S Bond to bovine dentin at temperature of adhesive 3ºC, 22ºC and 30ºC. Adhesive was applied to dentin surface (bovine insisivus mandibular dentin follow by resin composites bonded according to the manufacturer’s instructions. Tensile bond strength of 60 specimens were tested UTM (universal testing machine after 24 hours storage in aquadest at 37 ºC. The results were analyzed using ANOVA test followed by Tukey’s test (p< 0,05. The bond strength of Xeno III was significantly diffrent from that of Clearfil tri-S Bond, 0,66±0,271, 2,70±1,528, 0,23±0,104 versus 2,07±0,272, 4,77±0,689, 4,39±1,205 MPa at temperature of materials 3ºC, 22ºC and 30ºC respectively. The bond strength of two single- step adhesives system (Xeno III and Clearfil Tri-S Bond were highest at temperature 22ºC than other temperatures of materials.DOI: 10.14693/jdi.v15i3.34

  17. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    Science.gov (United States)

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  18. Enamel microhardness and bond strengths of self-etching primer adhesives.

    Science.gov (United States)

    Adebayo, Olabisi A; Burrow, Michael F; Tyas, Martin J; Adams, Geoffrey G; Collins, Marnie L

    2010-04-01

    The aim of this study was to determine the relationship between enamel surface microhardness and microshear bond strength (microSBS). Buccal and lingual mid-coronal enamel sections were prepared from 22 permanent human molars and divided into two groups, each comprising the buccal and lingual enamel from 11 teeth, to analyze two self-etching primer adhesives (Clearfil SE Bond and Tokuyama Bond Force). One-half of each enamel surface was tested using the Vickers hardness test with 10 indentations at 1 N and a 15-s dwell time. A hybrid resin composite was bonded to the other half of the enamel surface with the adhesive system assigned to the group. After 24 h of water storage of specimens at 37 degrees C, the microSBS test was carried out on a universal testing machine at a crosshead speed of 1 mm min(-1) until bond failure occurred. The mean microSBS was regressed on the mean Vickers hardness number (VHN) using a weighted regression analysis in order to explore the relationship between enamel hardness and microSBS. The weights used were the inverse of the variance of the microSBS means. Neither separate correlation analyses for each adhesive nor combined regression analyses showed a significant correlation between the VHN and the microSBS. These results suggest that the microSBS of the self-etch adhesive systems are not influenced by enamel surface microhardness.

  19. Evaluation of the tensile bond strength of an adhesive system self-etching in dentin irradiated with Er:YAG laser; Avaliacao da resistencia a tracao de um sistema adesivo self-etching em dentina irradiada com Er:YAG laser

    Energy Technology Data Exchange (ETDEWEB)

    Mello, Andrea Malluf Dabul de

    2000-07-01

    Since Buonocore (1955), several researchers have been seeking for the best adhesive system and treatment for the enamel and dentin surfaces. The use of the acid has been presented as one of the best techniques of dentin conditioning , because this promotes the removal of the 'smear layer and exhibition of dentinal structure, for a best penetration and micro- retention of the adhesive system. However, some conditioning methods have been appearing in the literature, for the substitution or interaction with the acid substances, as the laser. The objective of this work is to evaluate the tensile bond strength of the adhesive system self-etching' associated to a composed resin, in dentin surfaces conditioned with the Er:YAG laser. For this study, freshly extracted human teeth were used and in each one the dentinal surfaces , which were treated with three sandpapers of different granulations (120,400,600), to obtain a standard of the smear layer, before the irradiation of the laser and of the restoring procedure. After these procedures the specimens were storage in distilled water at 37 deg C for 24 hours. Soon after, they were submitted to the tensile strength test .After analyzing the results, we can concluded that the use of the Er:YAG laser can substitute the drill without the need of conditioning, when using the adhesive system 'self-etching' in the dentinal surfaces because there was a decline in the strength of adhesion in the groups conditioned with the laser. (author)

  20. [The durability of three self-etch adhesives bonded to dentin].

    Science.gov (United States)

    Tian, Fu-Cong; Wang, Xiao-Yan; Gao, Xue-Jun

    2013-04-01

    To investigate the durability of self-etch adhesives bonded to dentin in vitro. Forty-two extracted human molars were selected and occlusal dentin surfaces were exposed. The teeth were randomly distributed into three groups based on adhesives applied. The one-step self-etch adhesive B(Adper Prompt) and C(G-Bond) and two-step self-etch adhesive A (Clearfil SE bond) were used. After application of the adhesives to the dentin surfaces, composite crowns were built up, after 24 h water storage, the teeth were sectioned longitudinally into sticks (1.0 mm×1.0 mm bonding area) for microtensile testing or slabs (1 mm thick) for scanning electron microscopec (SEM) observation. Bonding strength (mTBS) and nano-leakage were evaluated immediately after cutting or after 6 months in water. The mTBS was analyzed using one-way ANOVA (SPSS 13.0). The nanoleakage was observed by SEM with a backscattered electron detector. Both adhesives and water storage time affected the mTBS. All adhesives showed decreased bond strength after six-month water aging [A dropped from (40.60 ± 5.76) MPa to (36.04 ± 3.15) MPa; B dropped from (19.06 ± 1.50) MPa to (11.19 ± 1.97) MPa; C dropped from (17.75 ± 1.10) MPa to (9.14 ± 1.15) MPa] (P adhesives tested were probably influenced by water aging, however, the two-step adhesive showed better durability than the one-step adhesives.

  1. A 12-month clinical evaluation of pit-and-fissure sealants placed with and without etch-and-rinse and self-etch adhesive systems in newly-erupted teeth

    Directory of Open Access Journals (Sweden)

    Maryam Karami Nogourani

    2012-06-01

    Full Text Available OBJECTIVES: The objective of this one-year clinical study was to investigate the effect of two adhesive systems (Adper Single Bond, a two-step etch-and-rinse and Clearfil SE Bond, a two-step self-etch system on pit-and-fissure sealant retention in newly-erupted teeth. This study compared the success of the sealants in mesial and distopalatal grooves with and without these two adhesive systems. MATERIAL AND METHODS: In a clinical trial, 35 children aged 6-8 years undergoing sealant placement were recruited. This one-year clinical study scored 70 mesial and 70 distopalatal sealants of newly-erupted permanent maxillary first molar, with a split-mouth design. All children received sealant alone in one permanent maxillary molar tooth. Children were randomized into two groups. One group received Self-etch (Se bond plus sealant and the other group received Single Bond plus sealant in another permanent maxillary molar tooth. Clinical evaluation at 3, 6 and 12 months was performed and the retention was studied in terms of the success and failure. RESULTS: The success rate of sealant in the distopalatal groove, using SeB at 3, 6 and 12 months was 93.3% (95% CI: 68.0, 99.8, 73.3% (95% CI: 44.9, 92.2 and 66.7% (95% CI: 38.4, 88.2, respectively. It was greater than that of the distopalatal groove in SB group with a success rate of 62.5% (95% CI: 35.4, 84.8, 31.3% (95% CI: 11.8, 58.7 and 31.3% (95% CI: 11.8, 58.7, at the three evaluation periods. The success rate of sealant in the mesial groove using SeB was 86.6% (95% CI: 59.5, 98.3, 53.3% (95% CI: 26.6, 78.7 and 53.3% (95% CI: 26.6, 78.7, while this was 100% (95% CI: 79.4, 100.0, 81.3% (95% CI: 54.4, 96.0 and 81.3% (95% CI: 54.4, 96.0 using SB, at 3, 6 and 12-month evaluation periods. CONCLUSIONS: These results support the use of these two bonding agents in pit-and-fissure sealants under both isolated and contaminated conditions. Further, Se bond seemed to be less sensitive to moisture contamination.

  2. Effect of composite resin placement techniques on the microleakage of two self-etching dentin-bonding agents.

    NARCIS (Netherlands)

    Santini, A.; Plasschaert, A.J.M.; Mitchell, S.M.

    2001-01-01

    PURPOSE: To evaluate microleakage of Class V resin-based composites (RBC) bonded with two self-etching dentin adhesive systems. Class V cavities were restored with either one or three increments of RBC to determine whether the restorative method affected microleakage. MATERIALS AND METHODS: 60

  3. Effect of moisture and drying time on the bond strength of the one-step self-etching adhesive system

    Directory of Open Access Journals (Sweden)

    Yoon Lee

    2012-08-01

    Full Text Available Objectives To investigate the effect of dentin moisture degree and air-drying time on dentin-bond strength of two different one-step self-etching adhesive systems. Materials and Methods Twenty-four human third molars were used for microtensile bond strength testing of G-Bond and Clearfil S3 Bond. The dentin surface was either blot-dried or air-dried before applying these adhesive agents. After application of the adhesive agent, three different air drying times were evaluated: 1, 5, and 10 sec. Composite resin was build up to 4 mm thickness and light cured for 40 sec with 2 separate layers. Then the tooth was sectioned and trimmed to measure the microtensile bond strength using a universal testing machine. The measured bond strengths were analyzed with three-way ANOVA and regression analysis was done (p = 0.05. Results All three factors, materials, dentin wetness and air drying time, showed significant effect on the microtensile bond strength. Clearfil S3 Bond, dry dentin surface and 10 sec air drying time showed higher bond strength. Conclusions Within the limitation of this experiment, air drying time after the application of the one-step self-etching adhesive agent was the most significant factor affecting the bond strength, followed by the material difference and dentin moisture before applying the adhesive agent.

  4. A 7-year randomized prospective study of a one-step self-etching adhesive in non-carious cervical lesions. The effect of curing modes and restorative material

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2012-01-01

    Objective: The aim of this study was to evaluate the clinical retention of a one-step self-etching adhesive system (Xeno III) in Class V non-carious cervical lesions and the effect of restorative material and curing techniques on longevity of the restorations. Material and methods: A total of 139...... be evaluated. No post-operative sensitivity was reported by the participants. Overall relative cumulative loss rate frequencies for the adhesive system at 6, 18 and 7 years, independent of curing technique and restorative material, were 0.8%, 6.9% and 23.0%, respectively. The self-etching adhesive fulfilled...... secondary caries was observed. Significance: The single-step self-etching adhesive showed acceptable clinical long-time retention rates to dentin surfaces independent of restorative material and curing technique used....

  5. Effect of clearfil protect bond and transbond plus self-etch primer on shear bond strength of orthodontic brackets

    Directory of Open Access Journals (Sweden)

    S Hamid Raji

    2011-01-01

    Conclusion: The shear bond strength of clearfil protect bond and transbond plus self-etch primer was enough for bonding the orthodontic brackets. The mode of failure of bonded brackets with these two self-etch primers is safe for enamel.

  6. Microleakage assessment of one- and two-step self-etch adhesive systems with ‎the low shrinkage composites

    Directory of Open Access Journals (Sweden)

    Razieh Hoseinifar

    2015-07-01

    Full Text Available BACKGROUND AND AIM: Different studies evaluating one-step self-etch (SE adhesive systems show contradictory findings, so the aim of this study was to compare the microleakage of one-step SE adhesive systems and CLEARFIL SE BOND (that serves as the “gold-standard” SE adhesive with low shrinkage composites. METHODS: In this in vitro study, Class V cavities with the occlusal margin in enamel and cervical margin in cementum were prepared on the buccal and lingual surfaces of 36 human premolars and molars (72 cavities. The enamel surfaces of the cavities were etched with 37% phosphoric acid and then the specimens were divided into six groups of 6 (12 cavities and the cavities were restored according bellow: Group 1 (Kalore-GC + G-Bond, Group 2 (Grandio + Futurabond NR, Group 3 (Aelite LS Posterior + All Bond SE, Group 4 (Kalore-GC + CLEARFIL SE BOND, Group 5 (Grandio + CLEARFIL SE BOND, and Group 6 (Aelite LS Posterior + CLEARFIL SE BOND. All the specimens were thermocycled for 2000 cycles (5-55 °C and then placed in 0.5% basic fuchsine dye for 24 hours at 37 °C and finally sectioned and observed under the stereomicroscope. Data were analyzed using Kruskal-Wallis, Mann-Whitney, and Wilcoxon tests at a P 0.050. There were no significant differences in microleakage among two-step and one-step SE adhesive systems on both the occlusal and gingival margins. CONCLUSION: According to this study, two-step SE adhesive system (CLEARFIL SE BOND did not provide better marginal seal than the one-step SE adhesive systems.

  7. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    Science.gov (United States)

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  8. Clinical antibacterial effectiveness of the self-adjusting file system.

    Science.gov (United States)

    Neves, M A S; Rôças, I N; Siqueira, J F

    2014-04-01

    To evaluate in vivo the antibacterial effectiveness of the self-adjusting file (SAF) using molecular methods. Root canals from single-rooted teeth with apical periodontitis were instrumented using the SAF system under continuous irrigation with 2.5% NaOCl. DNA extracts from samples taken before and after instrumentation were subjected to quantitative analysis of total bacteria counts and levels of streptococci by quantitative real-time polymerase chain reaction (qPCR). The reverse-capture checkerboard assay was also used to identify 28 bacterial taxa before (S1) and after (S2) SAF instrumentation. SAF was also compared with a conventional hand nickel-titanium instrumentation technique for total bacterial reduction. Data from qPCR were analysed statistically within groups using the Wilcoxon matched pairs test and between groups using the Mann-Whitney U-test and the Fisher's exact test, with significance level set at P file significantly reduced the total bacterial counts from a mean number of 1.96 × 10(7) cells to 1.34 × 10(4) cells (P system was significantly superior to the 95.1% reduction obtained by hand instrumentation (P system succeeded in significantly reducing the streptococcal levels, but four cases still harboured these bacteria in S2. Checkerboard analysis revealed that not only streptococci but also some anaerobic and even as-yet-uncultivated bacteria may resist the effects of chemomechanical procedures. The SAF instrumentation system was highly effective in reducing bacterial populations from infected root canals and performed significantly better than hand instrumentation. However, because half of the samples still had detectable bacteria after preparation with SAF, supplementary disinfection is still required to maximize bacterial elimination. © 2013 International Endodontic Journal. Published by John Wiley & Sons Ltd.

  9. Influence of application methods of one-step self-etching adhesives on microtensile bond strength

    OpenAIRE

    Chul-Kyu Choi,; Sung-Ae Son; Jin-Hee Ha; Bock Hur; Hyeon-Cheol Kim; Yong-Hun Kwon; Jeong-Kil Park

    2011-01-01

    Objectives The purpose of this study was to evaluate the effect of various application methods of one-step self-etch adhesives to microtensile resin-dentin bond strength. Materials and Methods Thirty-six extracted human molars were used. The teeth were assigned randomly to twelve groups (n = 15), according to the three different adhesive systems (Clearfil Tri-S Bond, Adper Prompt L-Pop, G-Bond) and application methods. The adhesive systems were applied on the dentin as follows: 1) T...

  10. Self-assembled peptide nanotubes as an etching material for the rapid fabrication of silicon wires

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget; Andersen, Karsten Brandt; Svendsen, Winnie Edith

    2011-01-01

    This study has evaluated self-assembled peptide nanotubes (PNTS) and nanowires (PNWS) as etching mask materials for the rapid and low-cost fabrication of silicon wires using reactive ion etching (RIE). The self-assembled peptide structures were fabricated under mild conditions and positioned on c...... characterization by SEM and I-V measurements. Additionally, the fabricated silicon structures were functionalized with fluorescent molecules via a biotin-streptavidin interaction in order to probe their potential in the development of biosensing devices....

  11. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    Science.gov (United States)

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (player observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  12. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    Science.gov (United States)

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  13. Two-year water degradation of self-etching adhesives bonded to bur ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; Feilzer, Albert J

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were used. The root of each tooth was removed and the crown was sectioned into two halves. The convex enamel surfaces were reduced by polishing on silicon paper to prepare a flat surface that was roughened with a parallel-sided diamond bur with abundant water for five seconds. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm in height/0.75 mm in internal diameter) was placed on the treated surfaces and cured. A resin composite was then inserted into the tube and cured. For each adhesive, two procedures were carried out: A--the specimens were kept in water for 24 hours, then the tube was removed and the microshear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/minute; B--the specimens were stored in water for two-years before microshear testing. The fractured surface of the bonded specimens after each test procedure was examined by SEM. For the 24-hour control, there was no significant difference in bond strength between the tested adhesives. After two years of water storage, the bond strength of Admira Bond, Clearfil SE Bond and Futurabond DC decreased, but the reduction was not significantly different from that of 24 hours. For Clearfil S Tri Bond and Hybrid Bond, the bond strengths were significantly reduced compared to their 24-hour results.

  14. Effect of double-layer application on dentin bond durability of one-step self-etch adhesives.

    Science.gov (United States)

    Taschner, M; Kümmerling, M; Lohbauer, U; Breschi, L; Petschelt, A; Frankenberger, R

    2014-01-01

    The aim of this in vitro study was 1) to analyze the influence of a double-layer application technique of four one-step self-etch adhesive systems on dentin and 2) to determine its effect on the stability of the adhesive interfaces stored under different conditions. Four different one-step self-etch adhesives were selected for the study (iBondSE, Clearfil S(3) Bond, XenoV(+), and Scotchbond Universal). Adhesives were applied according to manufacturers' instructions or with a double-layer application technique (without light curing of the first layer). After bonding, resin-dentin specimens were sectioned for microtensile bond strength testing in accordance with the nontrimming technique and divided into 3 subgroups of storage: a) 24 hours (immediate bond strength, T0), b) six months (T6) in artificial saliva at 37°C, or c) five hours in 10 % NaOCl at room temperature. After storage, specimens were stressed to failure. Fracture mode was assessed under a light microscope. At T0, iBond SE showed a significant increase in microtensile bond strength when the double-application technique was applied. All adhesive systems showed reduced bond strengths after six months of storage in artificial saliva and after storage in 10% NaOCl for five hours; however at T6, iBond SE, Clearfil S(3) Bond, and XenoV(+) showed significantly higher microtensile bond strength results for the double-application technique compared with the single-application technique. Scotchbond Universal showed no difference between single- or double-application, irrespective of the storage conditions. The results of this study show that improvements in bond strength of one-step self-etch adhesives by using the double-application technique are adhesive dependent.

  15. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    Science.gov (United States)

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (pcontamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  16. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  17. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  18. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  19. Clearfil Protect Bond™ versus Uni-Etch™ antibacterial self-etchant: A war of giants against shear bond strength

    Directory of Open Access Journals (Sweden)

    Harish Atram

    2016-01-01

    Conclusion: Newly developed antibacterial agent could be used with conventional bonding systems effectively to decrease white spots; when used with Transbond XT™ light cure, the original SBS did not get affected, but when used with Unite™ self-cure bonding system, it led to reduced SBS significantly.

  20. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  1. Four-year clinical evaluation of Class II nano-hybrid resin composite restorations bonded with a one-step self-etch and a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2011-01-01

    The objective of this prospective clinical trial was to evaluate the 4-year clinical performance of an ormocer-based nano-hybrid resin composite (Ceram X; Dentsply/DeTrey) in Class II restorations placed with a one-step self-etch (Xeno III; Dentsply/DeTrey) and two-step etch-and-rinse adhesive (I...

  2. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  3. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  4. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  5. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    Science.gov (United States)

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  6. Eight-year randomized clinical evaluation of Class II nanohybrid resin composite restorations bonded with a one-step self-etch or a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan WV; Pallesen, Ulla

    2015-01-01

    (13.5 %) and nine in the two-step etch-and-rinse group (13.0 %). This resulted in nonsignificant different annual failure rates of 1.69 and 1.63 %, respectively. Fracture of restoration was the main reason for failure. Conclusion: Good clinical performance was shown during the 8-year evaluation....... Results: One hundred and fifty-eight restorations were evaluated after 8 years. Three participants with five restorations (three Xeno III, two Excite) were registered as dropouts. Twenty-one failed restorations (13.3 %) were observed during the follow-up. Twelve in the one-step self-etch adhesive group...... and no significant difference in overall clinical performance between the two adhesives. Fracture was the main reason for failure. Clinical relevance: The one-step self-etch adhesive showed a good long-term clinical effectiveness in combination with the nanohybrid resin composite in Class II restorations....

  7. Pre-treating dentin with chlorhexadine and CPP-ACP: self-etching and universal adhesive systems.

    Science.gov (United States)

    Dos Santos, Ricardo Alves; de Lima, Eliane Alves; Montes, Marcos Antônio Japiassu Resende; Braz, Rodivan

    2016-12-01

    Objective: The aim of the present study was to compare the effect of pre-treating dentin with chlorhexidine, at concentrations of 0.2% and 2%, and remineralizing paste containing CPP-ACP (MI Paste - GC) on the bond strength of adhesive systems. Material and methods: In total, 80 slides of dentin were used. These slides were 2 mm thick and were obtained from bovine incisors. Standard cavities were created using diamond bur number 3131. In the control groups, a Scotchbond Universal Adhesive (SUA) self-etching adhesive system of 3M ESPE and a Clearfil SE Bond (CSE) adhesive system of Kuraray were applied, following the manufacturer's instructions. In the other groups, dentin was pretreated with chlorhexidine (0.2% and 2%) for 1 min and with MI Paste for 3 min. The cavities were restored with Z350 XT resin (3M ESPE). After 24 h of storage, the push-out test was applied at a speed of 0.5 mm/min. Results: The different dentin pretreatment techniques did not affect the intra-adhesive bond strength. There was a difference between treatment with MI Paste and chlorhexidine 0.2% in favor of the SUA, with values of 15.22 and 20.25 Mpa, respectively. Conclusions: The different pretreatment methods did not alter the immediate bond strength to dentin. Differences were only recorded when comparing the adhesives.

  8. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  9. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  10. A comparative clinical study of the failure rate of orthodontic brackets bonded with two adhesive systems: conventional and self-etching primer (SEP).

    Science.gov (United States)

    Dominguez, Gladys Cristina; Tortamano, André; Lopes, Luiz Vicente de Moura; Catharino, Priscilla Campanatti Chibebe; Morea, Camillo

    2013-01-01

    This study compared the clinical performance of orthodontic brackets bonded with Transbond adhesive paste after two primer systems: a two-stage conventional system (acid etching + Transbond XT adhesive primer) and a single-stage self-etching primer (SEP) (Transbond Plus). The sample comprised 480 metal brackets bonded to the teeth of 24 consecutive patients treated for 36 to 48 months. A split-mouth design was used for bonding, and both systems were used in each patient. Bracket failure rates for each system were analyzed; and failure causes as reported by the patients and the quadrant of teeth for which brackets failed were recorded. The conventional system group had a failure rate of 5.41%, whereas the rate for SEP was 4.58%. In this group, there were 5 failures (38.4%) in the right maxillary quadrant, 2 (15.4%) in the left maxillary quadrant, 4 (30.8%) in the right mandibular quadrant, and 2 (15.4%) in the left mandibular quadrant. In the SEP group, there were 4 (36.4%) failures in the right maxillary quadrant, 1 (9%) in the left maxillary quadrant, 3 (27.3%) in the right mandibular quadrant, and 3 (27.3%) in the left mandibular quadrant. Results of descriptive statistical analysis and odds ratio did not show any significant differences between rates (p = 0.67). The clinical efficiency of SEP was similar to that of the conventional system.

  11. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  12. Effect of chlorhexidine on the shear bond strength of self-etch ...

    African Journals Online (AJOL)

    The aim of this study was to investigate the effect of chlorhexidine on shear bond strength of self-etch adhesives to dentin. The crowns of 60 sound human premolars were horizontally sectioned to expose the coronal dentin. Dentin surfaces were polished with 320 grit silicon carbide papers, and were randomly divided into 4 ...

  13. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  14. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    OpenAIRE

    Moosavi, Horieh; Forghani, Maryam; Managhebi, Esmatsadat

    2013-01-01

    Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO), Clearfil S3 Bond (CSB), Bond Force (BF). Each main group divided into three subgroups regarding the air-drying time: without application of air stream...

  15. Effect of increased exposure times on amount of residual monomer released from single-step self-etch adhesives.

    Science.gov (United States)

    Altunsoy, Mustafa; Botsali, Murat Selim; Tosun, Gonca; Yasar, Ahmet

    2015-10-16

    The aim of this study was to evaluate the effect of increased exposure times on the amount of residual Bis-GMA, TEGDMA, HEMA and UDMA released from single-step self-etch adhesive systems. Two adhesive systems were used. The adhesives were applied to bovine dentin surface according to the manufacturer's instructions and were polymerized using an LED curing unit for 10, 20 and 40 seconds (n = 5). After polymerization, the specimens were stored in 75% ethanol-water solution (6 mL). Residual monomers (Bis-GMA, TEGDMA, UDMA and HEMA) that were eluted from the adhesives (after 10 minutes, 1 hour, 1 day, 7 days and 30 days) were analyzed by high-performance liquid chromatography (HPLC). The data were analyzed using 1-way analysis of variance and Tukey HSD tests. Among the time periods, the highest amount of released residual monomers from adhesives was observed in the 10th minute. There were statistically significant differences regarding released Bis-GMA, UDMA, HEMA and TEGDMA between the adhesive systems (p<0.05). There were no significant differences among the 10, 20 and 40 second polymerization times according to their effect on residual monomer release from adhesives (p>0.05). Increasing the polymerization time did not have an effect on residual monomer release from single-step self-etch adhesives.

  16. Influence of application methods of one-step self-etching adhesives on microtensile bond strength

    Directory of Open Access Journals (Sweden)

    Chul-Kyu Choi,

    2011-05-01

    Full Text Available Objectives The purpose of this study was to evaluate the effect of various application methods of one-step self-etch adhesives to microtensile resin-dentin bond strength. Materials and Methods Thirty-six extracted human molars were used. The teeth were assigned randomly to twelve groups (n = 15, according to the three different adhesive systems (Clearfil Tri-S Bond, Adper Prompt L-Pop, G-Bond and application methods. The adhesive systems were applied on the dentin as follows: 1 The single coating, 2 The double coating, 3 Manual agitation, 4 Ultrasonic agitation. Following the adhesive application, light-cure composite resin was constructed. The restored teeth were stored in distilled water at room temperature for 24 hours, and prepared 15 specimens per groups. Then microtensile bond strength was measured and the failure mode was examined. Results Manual agitation and ultrasonic agitation of adhesive significantly increased the microtensile bond strength than single coating and double coating did. Double coating of adhesive significantly increased the microtensile bond strength than single coating did and there was no significant difference between the manual agitation and ultrasonic agitation group. There was significant difference in microtensile bonding strength among all adhesives and Clearfil Tri-S Bond showed the highest bond strength. Conclusions In one-step self-etching adhesives, there was significant difference according to application methods and type of adhesives. No matter of the material, the manual or ultrasonic agitation of the adhesive showed significantly higher microtensile bond strength.

  17. Effect of sonic application mode on the resin-dentin bond strength and dentin permeability of self-etching systems.

    Science.gov (United States)

    Mena-Serrano, Alexandra; Costa, Thays Regina Ferreira da; Patzlaff, Rafael Tiago; Loguercio, Alessandro Dourado; Reis, Alessandra

    2014-10-01

    To compare manual and sonic adhesive application modes in terms of the permeability and microtensile bond strength of a self-etching adhesive applied in the one-step or two-step protocol. Self-etching All Bond SE (Bisco) was applied as a one- or a two-step adhesive under manual or sonic vibration modes on flat occlusal dentin surfaces of 64 human molars. Half of the teeth were used to measure the hydraulic conductance of dentin at 200 cm H₂O hydrostatic pressure for 5 min immediately after the adhesive application. In the other half, composite buildups (Opallis) were constructed incrementally to create resin-dentin sticks with a cross-sectional area of 0.8 mm² to be tested in tension (0.5 mm/min) immediately after restoration placement. Data were analyzed using a two-way ANOVA and Tukey's test (α = 0.05). The fluid conductance of dentin was significantly reduced by the sonic vibration mode for both adhesives, but no effect on the bond strength values was observed for either adhesive. The sonic application mode at an oscillating frequency of 170 Hz can reduce the fluid conductance of the one- and two-step All Bond SE adhesive when applied on dentin.

  18. Influence of the number of cycles on shear fatigue strength of resin composite bonded to enamel and dentin using dental adhesives in self-etching mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Erickson, Robert L; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-01-30

    The influence of the number of cycles on shear fatigue strength to enamel and dentin using dental adhesives in self-etch mode was investigated. A two-step self-etch adhesive and two universal adhesives were used to bond to enamel and dentin in self-etch mode. Initial shear bond strength and shear fatigue strength to enamel and dentin using the adhesive in self-etch mode were determined. Fatigue testing was used with 20 Hz frequency and cycling periods of 50,000, 100,000 and 1,000,000 cycles, or until failure occurred. For each of the cycling periods, there was no significant difference in shear fatigue strength across the cycling periods for the individual adhesives. Differences in shear fatigue strength were found between the adhesives within the cycling periods. Regardless of the adhesive used in self-etch mode for bonding to enamel or dentin, shear fatigue strength was not influenced by the number of cycles used for shear fatigue strength testing.

  19. Effect of alternative modes of application on microleakage of one-step self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Mustafa Ulker

    2013-12-01

    Conclusion: Alternative modes of application did not adversely affect the sealing ability of one-step self-etch adhesives. Depending on the type of adhesive, alternative modes of application may contribute to low microleakage values.

  20. A comparative clinical study of the failure rate of orthodontic brackets bonded with two adhesive systems: conventional and self-etching primer (SEP

    Directory of Open Access Journals (Sweden)

    Gladys Cristina Dominguez

    2013-04-01

    Full Text Available OBJECTIVE: This study compared the clinical performance of orthodontic brackets bonded with Transbond adhesive paste after two priming systems: a two-stage conventional system (acid etching + Transbond XT adhesive primer and a singlestage self-etching primer (SEP (Transbond Plus. METHODS: The sample comprised 480 metal brackets bonded to the teeth of 24 consecutive patients treated for 36 to 48 months. A split-mouth design was used for bonding, and both systems were used in each patient. Bracket failure rates for each system were analyzed; and failure causes as reported by the patients and the quadrant of teeth for which brackets failed were recorded. RESULTS: The conventional system group had a failure rate of 5.41%, whereas the rate for SEP was 4.58%. In this group, there were 5 failures (38.4% in the right maxillary quadrant, 2 (15.4% in the left maxillary quadrant, 4 (30.8% in the right mandibular quadrant, and 2 (15.4% in the left mandibular quadrant. In the SEP group, there were 4 (36.4% failures in the right maxillary quadrant, 1 (9% in the left maxillary quadrant, 3 (27.3% in the right mandibular quadrant, and 3 (27.3% in the left mandibular quadrant. Results of descriptive statistical analysis and odds ratio did not show any significant differences between rates (p = 0.67. CONCLUSION: The clinical efficiency of SEP was similar to that of the conventional system.

  1. Effect of a Desensitizing Varnish on Microleakage of Two Self-Etch Adhesives

    Directory of Open Access Journals (Sweden)

    Anna Saffarpour

    2016-08-01

    Full Text Available Objectives: The aim of this in-vitro experimental study was to assess the effect of application of a desensitizing varnish on the enamel and dentin marginal seal.Materials and Methods: Seventy-two freshly extracted, intact human premolar teeth were divided into four groups (n=18. Class V cavities (3mm in length, 2mm in width and 2mm in depth were prepared on the buccal surface of each tooth. The following sealing materials were applied in the four groups: One-step Clearfil S3 Bond (S3 self-etch adhesive, two-step Clearfil SE Bond (SE self-etch adhesive, S3 Bond+ VivaSens desensitizing varnish (VS+S3 and Clearfil SE Bond + VivaSens (VS+SE. The cavities on the teeth were then incrementally filled with Z350 light-cure composite. The teeth were stored in distilled water for 24 hours at 37°C, and were then thermocycled for 1000 cycles. Then, all the specimens were prepared for dye penetration test and were immersed in 2% basic fuchsin dye and incubated at 37°C for 24 hours. The teeth were then sectioned buccolingually along the center of restorations with a diamond disk.   Microleakage at the tooth-restoration interface was assessed in the enamel and dentin margins blindly using dye penetration under a stereomicroscope at ×20 magnification.Results: There was significantly greater leakage at the enamel and dentin margins in group VS+SE than in group SE; also, these values were higher in group VS+S3 than in S3.Conclusion: Combined application of desensitizing varnish and self-etch adhesives seems to increase microleakage in composite restorations. Thus, its application is not suggested.

  2. Two methods to simulate intrapulpal pressure: effects upon bonding performance of self-etch adhesives.

    Science.gov (United States)

    Feitosa, V P; Gotti, V B; Grohmann, C V; Abuná, G; Correr-Sobrinho, L; Sinhoreti, M A C; Correr, A B

    2014-09-01

    To evaluate the effects of two methods to simulate physiological pulpal pressure on the dentine bonding performance of two all-in-one adhesives and a two-step self-etch silorane-based adhesive by means of microtensile bond strength (μTBS) and nanoleakage surveys. The self-etch adhesives [G-Bond Plus (GB), Adper Easy Bond (EB) and silorane adhesive (SIL)] were applied to flat deep dentine surfaces from extracted human molars. The restorations were constructed using resin composites Filtek Silorane or Filtek Z350 (3M ESPE). After 24 h using the two methods of simulated pulpal pressure or no pulpal pressure (control groups), the bonded teeth were cut into specimens and submitted to μTBS and silver uptake examination. Results were analysed with two-way anova and Tukey's test (P adhesives. No difference between control and pulpal pressure groups was found for SIL and GB. EB led significant drop (P = 0.002) in bond strength under pulpal pressure. Silver impregnation was increased after both methods of simulated pulpal pressure for all adhesives, and it was similar between the simulated pulpal pressure methods. The innovative method to simulate pulpal pressure behaved similarly to the classic one and could be used as an alternative. The HEMA-free one-step and the two-step self-etch adhesives had acceptable resistance against pulpal pressure, unlike the HEMA-rich adhesive. © 2013 International Endodontic Journal. Published by John Wiley & Sons Ltd.

  3. Effect of Different Protocols in Preconditioning With EDTA in Sclerotic Dentin and Enamel Before Universal Adhesives Applied in Self-etch Mode.

    Science.gov (United States)

    Martini, E C; Parreiras, S O; Gutierrez, M F; Loguercio, A D; Reis, A

    The aim of this study was to investigate the effect of different protocols of 17% ethylene diamine tetra-acetic acid (EDTA) conditioning on the etching pattern and immediate bond strength of universal adhesives to enamel and sclerotic dentin. Forty bovine teeth with sclerotic dentin and 20 human third molars were randomly divided into eight groups resulting from the combination of the main factors surface treatment (none, two-minute EDTA conditioning manual application, 30-second EDTA manual application, 30-second EDTA sonic application) and adhesives systems (Scotchbond Universal Adhesive [SBU] and Prime & Bond Elect [PBE]). Resin-dentin and enamel-dentin bond specimens were prepared and tested under the microtensile bond strength (μTBS) and microshear bond strength (μSBS) tests, respectively. The etching pattern produced on the unground enamel and the sclerotic dentin surfaces under the different protocols and adhesive systems was evaluated under scanning electron microscopy. For enamel, only the main factor adhesive was significant (padhesives in the self-etch mode on sclerotic dentin, mainly when applied for 30 seconds with the aid of a sonic device. EDTA pretreatment also improves the retentive etching pattern of enamel, but it does not result in higher enamel bond strength.

  4. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  5. Multiaction antibacterial nanofibrous membranes fabricated by electrospinning: an excellent system for antibacterial applications

    International Nuclear Information System (INIS)

    Wu Yiguang; Jia Weijie; An Qi; Li Guangtao; Liu Yuanfeng; Chen Jinchun

    2009-01-01

    In this paper, novel multiaction antibacterial nanofibrous membranes containing apatite, Ag, AgBr and TiO 2 as four active components were fabricated by an electrospinning technique. In this antibacterial membrane, each component serves a different function: the hydroxyapatite acts as the adsorption material for capturing bacteria, the Ag nanoparticles act as the release-active antibacterial agent, the AgBr nanoparticles act as the visible sensitive and release-active antibacterial agent, and the TiO 2 acts as the UV sensitive antibacterial material and substrate for other functional components. Using E. coli as the typical testing organism, such multicomponent membranes exhibit excellent antimicrobial activity under UV light, visible light or in a dark environment. The significant antibacterial properties may be due to the synergetic action of the four major functional components, and the unique porous structure and high surface area of the nanofibrous membrane. It takes only 20 min for the bacteria to be completely (99.9%) destroyed under visible light. Even in a dark environment, about 50 min is enough to kill all of the bacteria. Compared to the four component system in powder form reported previously, the addition of the electrospun membrane could significantly improve the antibacterial inactivation of E. coli under the same evaluation conditions. Besides the superior antimicrobial capability, the permanence of the antibacterial activity of the prepared free-standing membranes was also demonstrated in repeated applications.

  6. In vitro antibacterial activity of adhesive systems on Streptococcus mutans.

    Science.gov (United States)

    Paradella, Thaís Cachuté; Koga-Ito, Cristiane Yumi; Jorge, Antonio Olavo Cardoso

    2009-04-01

    To evaluate the antibacterial activity of three adhesive systems -- Prime & Bond 2.1 (PB), Clearfil SE Bond (CS) and One Up Bond F (OU) -- on Streptococcus mutans in vitro. Adherence and agar disk-diffusion tests were performed. For the adherence testing, 40 human enamel specimens (4 mm2) were sterilized and the adhesive sytems were applied (n = 10). The control group did not receive the application of any adhesive system. Specimens were immersed in brain heart infusion broth (BHI) inoculated with S. mutans standardized suspension (10(6) cells/ml) for 48 h at 37 degrees C and 5% CO2. The number of S. mutans cells adhered to each specimen was evaluated by the plating method on BHI agar. For agar disk-diffusion testing, adhesive disks and disks soaked in distilled water (negative control) or 0.2% chlorexidine (positive control) were incubated with S. mutans for 48 h. The diameters of the zones of bacterial inhibition were measured. Adherence data were transformed in logarithms of base 10 (log10). Data were submitted to Kruskal-Wallis and Student-Neuman-Keuls tests at the 5% level of significance. The results of the adherence test showed that One Up Bond F (OU) and Clearfil SE Bond (CS) did not differ significantly from one another, but allowed significantly less adherence than Prime & Bond 2.1 (PB) and control [mean log10 (standard deviation) values: PB 6.10 (0.19); CS primer 4.55 (0.98); OU 4.65 (0.54); control group 6.34 (0.27)]. The disk-diffusion test showed no significant difference between OU (diameter in mm: 3.02 +/- 0.13) and CS (3.0 +/- 0.12), but both were significantly more effective in inhibiting bacterial growth than PB (1.0 +/- 0.10). The self-etching systems Clearfil SE Bond and One Up Bond F presented a greater inhibitory effect against S. mutans, also in terms of adherence, than did the conventional system, Prime & Bond 2.1.

  7. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    Science.gov (United States)

    2016-06-18

    Wen Lien for his dedication to research and his assistance in piloting this study. Thank you to Dr. David Lee for his continued mentorship not only...porous layer (5 microns to 50 microns deep ) (Lopes, 2007). In 1975, Silverstone reported three different types of etch patterns on enamel surfaces

  8. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  9. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  10. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  11. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    Science.gov (United States)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  12. Marginal microleakage of class V resin-based composite restorations bonded with six one-step self-etch systems

    Directory of Open Access Journals (Sweden)

    Alfonso Sánchez-Ayala

    2013-06-01

    Full Text Available This study compared the microleakage of class V restorations bonded with various one-step self-etching adhesives. Seventy class V resin-based composite restorations were prepared on the buccal and lingual surfaces of 35 premolars, by using: Clearfil S 3 Bond, G-Bond, iBond, One Coat 7.0, OptiBond All-In-One, or Xeno IV. The Adper Single Bond etch-and-rinse two-step adhesive was employed as a control. Specimens were thermocycled for 500 cycles in separate water baths at 5°C and 55°C and loaded under 40 to 70 N for 50,000 cycles. Marginal microleakage was measured based on the penetration of a tracer agent. Although the control showed no microleakage at the enamel margins, there were no differences between groups (p = 0.06. None of the adhesives avoided microleakage at the dentin margins, and they displayed similar performances (p = 0.76. When both margins were compared, iBond® presented higher microleakage (p < 0.05 at the enamel margins (median, 1.00; Q3–Q1, 1.25–0.00 compared to the dentin margins (median, 0.00; Q3–Q1, 0.25–0.00. The study adhesives showed similar abilities to seal the margins of class V restorations, except for iBond®, which presented lower performance at the enamel margin.

  13. Effect of air-drying time of single-application self-etch adhesives on dentin bond strength.

    Science.gov (United States)

    Chiba, Yasushi; Yamaguchi, Kanako; Miyazaki, Masashi; Tsubota, Keishi; Takamizawa, Toshiki; Moore, B Keith

    2006-01-01

    This study examined the effect of air-drying time of adhesives on the dentin bond strength of several single-application self-etch adhesive systems. The adhesive/resin composite combinations used were: Adper Prompt L-Pop/Filtek Z250 (AP), Clearfil Tri-S Bond/Clearfil AP-X (CT), Fluoro Bond Shake One/Beautifil (FB), G-Bond/Gradia Direct (GB) and One-Up Bond F Plus/Palfique Estelite (OF). Bovine mandibular incisors were mounted in self-curing resin and wet ground with #600 SiC to expose labial dentin. Adhesives were applied according to each manufacturer's instructions followed by air-drying time for 0 (without air-drying), 5 and 10 seconds. After light irradiation of the adhesives, the resin composites were condensed into a mold (phi4x2 mm) and polymerized. Ten samples per test group were stored in 37 degrees C distilled water for 24 hours; they were then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Tukey's HSD tests (alpha = 0.05) were done. FE-SEM observations of the resin/dentin interface were also conducted. Dentin bond strength varied with the different air drying times and ranged from 5.8 +/- 2.4 to 13.9 +/- 2.8 MPa for AP, 4.9 +/- 1.5 to 17.1 +/- 2.3 MPa for CT, 7.9 +/- 2.8 to 13.8 +/- 2.4 MPa for FB, 3.7 +/- 1.4 to 13.4 +/- 1.2 MPa for GB and 4.6 +/- 2.1 to 13.7 +/- 2.6 MPa for OF. With longer air drying of adhesives, no significant changes in bond strengths were found for the systems used except for OF. Significantly lower bond strengths were obtained for the 10-second air-drying group for OF. From FE-SEM observations, gaps between the cured adhesive and resin composites were observed for the specimens without the air drying of adhesives except for OF. The data suggests that, with four of the single-application self-etch adhesive systems, air drying is essential to obtain adequate dentin bond strengths, but increased drying time does not significantly influence bond strength. For the other system studied, the bond strength

  14. Three-year randomized controlled clinical study of a one step universal adhesive and a two-step self-etch adhesive in Class II resin composite restorations

    DEFF Research Database (Denmark)

    van Dijken, Jan WV; Pallesen, Ulla

    2017-01-01

    Purpose: To evaluate in a randomized clinical evaluation the 3-year clinical durability of a one-step universal adhesive bonding system and compare it intraindividually with a 2-step self-etch adhesive in Class II restorations. Materials and Methods: Each of 57 participants (mean age 58.3 yr......) received at least two, as similar as possible, extended Class II restorations. The cavities in each of the 60 individual pairs of cavities were randomly distributed to the 1-step universal adhesive (All Bond Universal: AU) and the control 2-step self-etch adhesive (Optibond XTR: OX). A low shrinkage resin......) success rates (p>0.05). Annual failure rates were 1.8% and 2.6%, respectively.The main reason for failure was resin composite fracture. Conclusion: Class II resin composite restorations placed with a one-step universal adhesive showed good short time effectiveness....

  15. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  16. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  17. Marginal microleakage of cervical composite resin restorations bonded using etch-and-rinse and self-etch adhesives: two dimensional vs. three dimensional methods

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2016-05-01

    Full Text Available Objectives This study was evaluated the marginal microleakage of two different adhesive systems before and after aging with two different dye penetration techniques. Materials and Methods Class V cavities were prepared on the buccal and lingual surfaces of 48 human molars. Clearfil SE Bond and Single Bond (self-etching and etch-and-rinse systems, respectively were applied, each to half of the prepared cavities, which were restored with composite resin. Half of the specimens in each group underwent 10,000 cycles of thermocycling. Microleakage was evaluated using two dimensional (2D and three dimensional (3D dye penetration techniques separately for each half of each specimen. Data were analyzed with SPSS 11.5 (SPSS Inc., using the Kruskal-Wallis and Mann-Whitney U tests (α = 0.05. Results The difference between the 2D and 3D microleakage evaluation techniques was significant at the occlusal margins of Single bond groups (p = 0.002. The differences between 2D and 3D microleakage evaluation techniques were significant at both the occlusal and cervical margins of Clearfil SE Bond groups (p = 0.017 and p = 0.002, respectively. The difference between the 2D and 3D techniques was significant at the occlusal margins of non-aged groups (p = 0.003. The difference between these two techniques was significant at the occlusal margins of the aged groups (p = 0.001. The Mann-Whitney test showed significant differences between the two techniques only at the occlusal margins in all specimens. Conclusions Under the limitations of the present study, it can be concluded that the 3D technique has the capacity to detect occlusal microleakage more precisely than the 2D technique.

  18. The effect of hydroxyapatite presence on the degree of conversion and polymerization rate in a model self-etching adhesive

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective The effect of hydroxyapatite (HAp) content on photopolymerization of a model self-etching adhesive was studied by using attenuated total reflectance Fourier transform infrared (ATR/FT-IR) spectroscopy. Materials and methods The model adhesive contained two monomers: bis[2-(methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) using a 1:1 mass ratio, representing an acidic formulation. Camphorquinone and ethyl 4-dimethylaminobenzoate were added to enable visible light photopolymerization in a constant concentration of 0.022 mmol per gram monomer. HAp [Ca10(OH)2(PO4)6] powder were added to the test solutions to obtain mass fraction of 0, 1, 2, 3, 4 wt%. The degree of conversion (DC) and the polymerization rate (PR) with/without HAp were determined using ATR/FT-IR with a time-based spectrum analysis. Results Monomer DC and PR were significantly enhanced by addition of HAp. Incorporation of 4 wt% of HAp increased DC from 20.8 (±0.3) % to 93.4 (±1.1) %, and PR from 0.42 (±0.01) %/s to 3.21 (±0.07) %/s. The pH of adhesive solutions was measured and correlated with DC and PR. The pH of test solutions was also controlled using a base (sodium hydroxide, NaOH) to similar values as when using HAp. Results indicated that both the DC and PR increased with increasing pH, regardless of additive, confirming the role of pH on polymerization. From the IR spectral comparison, changes in molecular structures of the self-etching adhesive after the addition of HAp were observed, which were correlated with the specific interaction between 2MP and HAp. The effect of viscosity was also proposed to be another possible reason for the improved polymerization. Significance The photopolymerization of a self-etching adhesive was enhanced / accelerated in the presence of HAp. The results provide the critical information for understanding the interactions/bonding between self-etching adhesives and tooth substrates. PMID:22032933

  19. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    Science.gov (United States)

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  20. Resistência ao cisalhamento de braquetes metálicos utilizando sistema adesivo autocondicionante Shear bond strength evaluation of metallic brackets using self-etching system

    Directory of Open Access Journals (Sweden)

    Camilo Aquino Melgaço

    2011-08-01

    Full Text Available OBJETIVO: avaliar a resistência ao cisalhamento de braquetes metálicos colados com sistema autocondicionante utilizado imediatamente e após 2, 5 e 9 dias depois da ativação e armazenagem. MÉTODOS: utilizaram-se 64 dentes bovinos divididos igualmente em quatro grupos e devidamente preparados para receber a colagem dos braquetes. Em T1, realizou-se a ativação de 7 blisters de adesivos autocondicionantes (de acordo com as normas do fabricante e procedeu-se à colagem imediata apenas dos braquetes do grupo I. Os adesivos ativados foram, então, armazenados à temperatura de 4ºC e reutilizados em períodos de 2 dias (T2, 5 dias (T3 e 9 dias (T4 para a colagem dos braquetes dos grupos II, III e IV, respectivamente. RESULTADOS: não se observou diferença estatística quando comparados os valores médios de tensão para resistência ao cisalhamento entre os grupos I, II e III. Entretanto, diferença estatística foi encontrada quando esses valores foram comparados aos do grupo IV. CONCLUSÃO: o armazenamento do adesivo autocondicionante depois de ativado, à temperatura média de 4ºC, por até 5 dias, parece não afetar os resultados quanto às tensões de resistência ao cisalhamento; novos estudos são necessários para avaliação das demais características do material quando de sua utilização por período de tempo prolongado após sua ativação.OBJECTIVE: To evaluate the shear bond strength of metallic brackets using the self-etching system after its activation and storage for 2, 5 and 9 day periods. METHODS: A total of 64 bovine teeth were divided in four groups and prepared to receive the brackets. Initially, seven self-etching primer blisters were activated and used to bond the brackets of group I. The blisters were store at a constant temperature of 4ºC for 2, 5 and 9 days and used to bond the brackets of groups II, III and IV, respectively. RESULTS: No statistic difference was found in shear bond strength comparing groups I, II

  1. In-vitro comparison of micro-leakage between nanocomposite and microhybrid composite in class v cavities treated with the self-etch technique

    International Nuclear Information System (INIS)

    Mahvish, S.; Khan, F.R.

    2016-01-01

    Background: When a light cure composite resin is used to restore a class V lesion, certain stresses are generated at the tooth-restoration interface. If these stresses exceed the bond strength of the restorative material, microscopic gaps are formed which eventually cause micro-leakage at the tooth-restoration interface. The objective of the present study was to compare the micro-leakage values at the tooth-restoration interface using dye penetration method between a Nano filled and a Micro hybrid light cured composite resin in class V cavities using the self-etch technique. Methods: Sixty class V cavities were made coronal to the cemento-enamel junction in the extracted premolars. These were then randomly divided into two study groups. Group A: Self-etch; filled with P-60 (micro-hybrid) n=30. Group B: Self-etch; filled with Z-350 (nano-filled) n=30. Specimens were subjected to thermo-cycling at 5-55 degree C ± 2 degree C with a 30 seconds dwell time. After which they were stained with 2% methylene blue. Later, sectioned bucco-lingually and examined using a stereo microscope (magnification X4) at the occlusal, axial and gingival surfaces. Micro-leakage around the tooth-restoration interface was assessed by using the degree of dye penetration in millimetres. Results: There was 100% micro leakage seen at both the occlusal and gingival surfaces when using the P-60 composite. With the Z-350 composite 84% occlusal and 88% of the gingival surfaces exhibited micro-leakage. Conclusions: With respect to micro-leakage in class V cavities, Z-350 was found to be a superior restorative material compared to P-60 on the occlusal surface. Overall, there is no statistically significant difference in the micro-leakage exhibited by the two restorative materials in class V preparations subjected to self-etch protocol. (author)

  2. Laboratory evaluation of the effect of unfilled resin after the use of self-etch and total-etch dentin adhesives on the Shear Bond Strength of composite to dentin.

    Science.gov (United States)

    Nasseri, Ehsan Baradaran; Majidinia, Sara; Sharbaf, Davood Aghasizadeh

    2017-05-01

    Based on the frequent application of composite resins as tooth-colored fillings, this method is considered a viable alternative to dental amalgam. However, this method has the low bond strength of the composite to dentin. To solve this issue, various dental adhesive systems with different characteristics have been developed by dentistry experts. To assess the effect of an additional layer of unfilled resin in self-etch and total-etch dentin adhesives on the shear bond strength (SBS) of composite to dentin. Moreover, we assessed the effects of sample storage in artificial saliva on the SBS of composite to dentin. Methods: This experimental study was conducted on 160 freshly extracted human first or second premolar teeth, which were randomly divided into 16 groups. The teeth were prepared from Mashhad University of Medical Sciences, Mashhad, Iran (2008-2009). Scotchbond Multi-purpose (SBMP), single bond (SB), Clearfil SE Bond, and Clearfil S3 Bond were applied to dentin surface with or without the placement of hydrophobic resin (Margin Bond) in accordance with the instructions of the manufacturers. To expose the coronal dentin, the teeth were abraded with 600 grit SiC paper. Immediately after restoration, half of the samples were tested in terms of SBS, while the other samples were evaluated in terms of SBS after three months of storage in artificial saliva. SBS rates of dental composites evaluated by universal testing machine and samples were studied by optical stereomicroscopy to verify the failure type. Data analysis was performed in SPSS V.16 using Kolmogorov-Smirnov test, independent-samples t-test, ANOVA, and Duncan's logistic regression test. In this study, a significant reduction was observed in the SBS rates of SB and S3 bond adhesive systems after storage with and without hydrophobic resin (p>0.000). Without storage in normal saline, a significant increase was observed in the SBS rate of the SE bond (p=0.013). In addition, SBS rate of SBMP significantly

  3. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  4. Influence of de/remineralization of enamel on the tensile bond strength of etch-and-rinse and self-etching adhesives.

    Science.gov (United States)

    Farias de Lacerda, Ana Julia; Ferreira Zanatta, Rayssa; Crispim, Bruna; Borges, Alessandra Bühler; Gomes Torres, Carlos Rocha; Tay, Franklin R; Pucci, Cesar Rogério

    2016-10-01

    To evaluate the bonding behavior of resin composite and different adhesives applied to demineralized or remineralized enamel. Bovine tooth crowns were polished to prepare a 5 mm2 enamel bonding area, and divided into five groups (n= 48) according to the surface treatment: CONT (sound enamel control), DEM (demineralized with acid to create white spot lesions), REMS (DEM remineralized with artificial saliva), REMF (DEM remineralized with sodium fluoride) and INF (DEM infiltrated with Icon resin infiltrant). The surface-treated teeth were divided into two subgroups (n= 24) according to adhesive type: ER (etch-and-rinse; Single Bond Universal) and SE (self-etching; Clearfill S3 Bond), and further subdivided into two categories (n= 12) according to aging process: Thermo (thermocycling) and NA (no aging). Composite blocks were made over bonded enamel and sectioned for microtensile bond strength (MTBS) testing. Data were analyzed with three-way ANOVA and post-hoc Tukey's test (α= 0.05). Significant differences were observed for enamel surface treatment (Padhesive type (PUniversal had higher MTBS than Clearfil S3 Bond; thermo-aging resulted in lower MTBS irrespective of adhesive type and surface treatment condition. The predominant failure mode was mixed for all groups. Enamel surface infiltrated with Icon does not interfere with adhesive resin bonding procedures. Treatment of enamel surface containing white spot lesions or cavities with cavosurface margins in partially-demineralized enamel can benefit from infiltration with a low viscosity resin infiltrant prior to adhesive bonding of resin composites.

  5. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  6. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  7. ADHESIVE SYSTEM AFFECTS REPAIR BOND STRENGTH OF RESIN COMPOSITE

    Directory of Open Access Journals (Sweden)

    Özgür IRMAK

    2017-10-01

    Full Text Available Purpose: This study evaluated the effects of different adhesive systems on repair bond strength of aged resin composites. Materials and Methods: Ninety composite discs were built and half of them were subjected to thermal aging. Aged and non-aged specimens were repaired with resin composite using three different adhesive systems; a two-step self-etch adhesive, a two-step total-etch adhesive and a one-step self-etch adhesive; then they were subjected to shear forces. Data were analyzed statistically. Results: Adhesive type and aging significantly affected the repair bond strengths (p<0.0001. No statistical difference was found in aged composite groups repaired with two-step self- etch or two-step total-etch adhesive. One-step self-etch adhesive showed lower bond strength values in aged composite repair (p<0.0001. Conclusion: In the repair of aged resin composite, two-step self-etch and two-step total-etch adhesives exhibited higher shear bond strength values than that of one-step self-etch adhesive.

  8. Microleakage of class V cavities restored with nanofilled resin modified glass ionomer and conventional glass ionomer with self etch adhesive and self etch primer

    Directory of Open Access Journals (Sweden)

    Mansore Mirzaie

    2016-03-01

    Full Text Available Background and Aims: Microleakage is a criterion proposed for assessing the success of any restorative material. Complete seal is difficult especially for dentin margins compared to enamel margins. The aim of this study was to assess the microleakage at the enamel and dentin margins of class V cavities restored by two GIs and two self-etch adhesive systems. Materials and Methods: This study was done on forty third molars. Class V cavities (3×2×2mm were prepared on the buccal and lingual surfaces of teeth using high speed handpiece with 0.8 mm diamond fissure burr. The occlusal margins of the cavities in the enamel and gingival marginswere placed 1 mm below the CEJ. The teeth were divided into 4 groups and the bondings were cured for 20 sec and the teeth were restored. The specimens were kept in distilled water at the temperature of 37°C for 24 hrs. The teeth were thermo cycled and cut in buccolingual direction using diamond disc under water. The dye penetration was evaluated using a stereomicroscope and the leakage was scored. The scores were compared using Kruskal-Wallis test while the paired comparisons were done using Bonferroni correction. P≤0.05 was regarded as significant results. Results: Microleakage scores were similar at the occlusal and gingival walls of all test groups. At the gingival walls, the least microleakage scores were observed. “Fuji IX + SE bond” group showed significant differences with the “Fuji IX + G bond” and “Nanoglass + G bond” groups (P≤0.05. At the occlusal walls, the least scores were observed in the “Fuji IX+SE bond” specimens which were significantly different from the other groups (P≤0.05. Conclusion: Self-Cure glass ionomers yielded less microleakage scores compared to the different types of light-cures due to the less polymerization shrinkage.

  9. Injectable self-healing carboxymethyl chitosan-zinc supramolecular hydrogels and their antibacterial activity.

    Science.gov (United States)

    Wahid, Fazli; Zhou, Ya-Ning; Wang, Hai-Song; Wan, Tong; Zhong, Cheng; Chu, Li-Qiang

    2018-04-07

    Injectable and self-healing hydrogels have found numerous applications in drug delivery, tissue engineering and 3D cell culture. Herein, we report an injectable self-healing carboxymethyl chitosan (CMCh) supramolecular hydrogels cross-linked by zinc ions (Zn 2+ ). Supramolecular hydrogels were obtained by simple addition of metal ions solution to CMCh solution at an appropriate pH value. The mechanical properties of these hydrogels were adjustable by the concentration of Zn 2+ . For example, the hydrogel with the highest concentration of Zn 2+ (CMCh-Zn4) showed strongest mechanical properties (storage modulus~11,000Pa) while hydrogel with the lowest concentration of Zn 2+ (CMCh-Zn1) showed weakest mechanical properties (storage modulus~220Pa). As observed visually and confirmed rheologically, the CMCh-Zn1 hydrogel with the lowest Zn 2+ concentration showed thixotropic property. CMCh-Zn1 hydrogel also presented injectable property. Moreover, the antibacterial properties of the prepared supramolecular hydrogels were studied against Staphylococcus aureus (S. aureus) and Escherichia coli (E. coli) by agar well diffusion method. The results revealed Zn 2+ dependent antibacterial properties against both kinds of strains. The inhibition zones were ranging from ~11-24mm and ~10-22mm against S. aureus and E. coli, respectively. We believe that the prepared supramolecular hydrogels could be used as a potential candidate in biomedical fields. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. PEGylated Self-Assembled Nano-Bacitracin A: Probing the Antibacterial Mechanism and Real-Time Tracing of Target Delivery in Vivo.

    Science.gov (United States)

    Hong, Wei; Zhao, Yining; Guo, Yuru; Huang, Chengcheng; Qiu, Peng; Zhu, Jia; Chu, Chun; Shi, Hong; Liu, Mingchun

    2018-04-04

    Although nano-self-assemblies of hydrophobic-modified bacitracin A with poly(d,l-lactic- co-glycolic acid) (PLGA) (nano-BA PLGA ) have demonstrated promising antibacterial activities, the application of nano-BA PLGA was severely compromised by low water solubility. In this study, a series of PEGylated PLGA copolymers were selected to conjugate with the N-terminus of bacitracin A to construct PEGylated self-assembled nano-BAs and to further develop nano-self-assemblies of bacitracin A with strong antibacterial potency and high solubility. Compared with nano-BA PLGA , all PEGylated nano-BAs, except nano-BA 5k , exhibited strong antibacterial efficiency against both Gram-positive and Gram-negative bacteria by inducing loss of cytoplasmic membrane potential, membrane permeabilization, and leakage of calcein from artificial cell membranes. Studies elucidating the underlying mechanism of PEGylated nano-BAs against Gram-negative bacteria indicated that the strong hydrophobic and van der Waals interactions between PLGA and lipopolysaccharide (LPS) could bind, neutralize, and disassociate LPS, facilitating cellular uptake of the nanoparticles, which could destabilize the membrane, resulting in cell death. Moreover, PEGylated nano-BAs (nano-BA 12k ) with a longer PLGA block were expected to occupy a higher local density of BA mass on the surface and result in stronger hydrophobic and van der Waals interactions with LPS, which were responsible for the enhanced antibacterial activity against Gram-positive and emerging antibacterial activity against Gram-negative bacteria, respectively. In vivo imaging verified that PEGylated nano-BAs exhibited higher inflammatory tissue distribution and longer circulation time than nano-BA PLGA . Therefore, although PEGylation did not affect antibacterial activity, it is necessary for target delivery and resistance to clearance of the observed PEGylated nano-BAs. In vivo, nano-BA 12k also showed the highest therapeutic index against infection

  11. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  12. Antibacterial Au nanostructured surfaces

    Science.gov (United States)

    Wu, Songmei; Zuber, Flavia; Brugger, Juergen; Maniura-Weber, Katharina; Ren, Qun

    2016-01-01

    We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all the Au nanostructures, regardless their shapes, exhibited similar excellent antibacterial properties. A comparison of live cells attached to nanotopographic surfaces showed that the number of live S. aureus cells was flat and rough reference surfaces. Our micro/nanofabrication process is a scalable approach based on cost-efficient self-organization and provides potential for further developing functional surfaces to study the behavior of microbes on nanoscale topographies.We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all

  13. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  14. Shear bond strength of self-etching adhesive systems with different pH values to bleached and/or CPP-ACP-treated enamel.

    Science.gov (United States)

    Oskoee, Siavash Savadi; Bahari, Mahmoud; Kimyai, Soodabeh; Navimipour, Elmira Jafari; Firouzmandi, Maryam

    2012-08-01

    To compare shear bond strengths of three different self-etching adhesive systems of different pH values to enamel bleached with carbamide peroxide, treated with casein phosphopeptide-amorphous calcium phosphate (CPP-ACP), or treated with CPP-ACP subsequent to bleaching with carbamide peroxide. Thirty-six human third molars were cut into 4 sections and randomly assigned to 4 groups (n = 36): group I: no treatment; group II: bleaching; group III: CPP-ACP; group IV: bleaching and CPP-ACP. After surface treatments, the samples of each group were further divided into three subgroups (n = 12) based on the adhesive used. The adhesives Clearfil SE Bond (CSE), AdhesE (ADE), and Adper SE Plus (ADP) were applied, and resin composite cylinders with a diameter of 2 mm and a height of 4 mm were bonded to the enamel. Then the specimens were subjected to shear bond strength testing. Two-way ANOVA and a post-hoc Tukey's test were used for statistical analysis (α = 0.05). There were significant differences between the adhesive systems (p system showed the highest bond strength, and the bleaching procedure reduced bond strengths (p = 0.001). Furthermore, there were no significant differences in shear bond strength values between the control and CPP groups. However, the differences between other groups were statistically significant (p material dependent.

  15. Adhesive systems: important aspects related to their composition and clinical use

    Directory of Open Access Journals (Sweden)

    Mario Honorato Silva e Souza Junior

    2010-06-01

    Full Text Available This literature review article addresses the types and the main components of different etch-and-rinse and self-etch adhesive systems available in the market, and relates them to their function, possible chemical interactions and infuence of handling characteristics. Scanning electron microscopy (SEM images are presented to characterize the interface between adhesives and dentin. Adhesive systems have been recently classifed according to their adhesion approaches in etch-and-rinse, self-etch and glass ionomer. The etch-and-rinse systems require a specifc acid-etch procedure and may be performed in two or three steps. Self-etch systems employ acidic monomers that demineralize and impregnate dental substrates almost at the same time. These systems are separated in one or two steps. Some advantages and defciencies were noted for etch-and-rinse and self-etch approaches, mainly for the simplifed ones due to some chemical associations and interactions. The SEM micrographs illustrate different relationships between adhesive systems and dental structures, particularly dentin. The knowledge of composition, characteristics and mechanisms of adhesion of each adhesive system is of fundamental importance to permit the adoption of ideal bonding strategies under clinical conditions.

  16. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  18. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  19. Effect of Self-Adhesive and Separate Etch Adhesive Dual Cure Resin Cements on the Bond Strength of Fiber Post to Dentin at Different Parts of the Root

    Directory of Open Access Journals (Sweden)

    Ehsan Mohamadian Amiri

    2017-10-01

    Full Text Available Objectives: Bonding of fiber posts to intracanal dentin is challenging in the clinical setting. This study aimed to compare the effect of self-adhesive and separate etch adhesive dual cure resin cements on the bond strength of fiber post to dentin at different parts of the root.Materials and Methods: This in-vitro experimental study was conducted on 20 single-rooted premolars. The teeth were decoronated at 1mm coronal to the cementoenamel junction (CEJ, and the roots underwent root canal treatment. Post space was prepared in the roots. Afterwards, the samples were randomly divided into two groups. In group 1, the fiber posts were cemented using Rely X Unicem cement, while in group 2, the fiber posts were cemented using Duo-Link cement, according to the manufacturer's instructions. The intracanal post in each root was sectioned into three segments of coronal, middle, and apical, and each cross-section was subjected to push-out bond strength test at a crosshead speed of 1mm/minute until failure. Push-out bond strength data were analyzed using independent t-test and repeated measures ANOVA.Results: The bond strength at the middle and coronal segments in separate etch adhesive cement group was higher than that in self-adhesive cement group. However, the bond strength at the apical segment was higher in self-adhesive cement group compared to that in the other group. Overall, the bond strength in separate etch adhesive cement group was significantly higher than that in self-adhesive cement group (P<0.001.Conclusions: Bond strength of fiber post to intracanal dentin is higher after the use of separate etch adhesive cement compared to self-adhesive cement.

  20. Prenatal exposure to systemic antibacterials and overweight and obesity in Danish schoolchildren

    DEFF Research Database (Denmark)

    Mor, A; Antonsen, S; Kahlert, J

    2015-01-01

    BACKGROUND/OBJECTIVE: Prenatal exposure to antibacterials may permanently dysregulate fetal metabolic patterns via epigenetic pathways or by altering maternal microbiota. We examined the association of prenatal exposure to systemic antibacterials with overweight and obesity in schoolchildren...... admissions during pregnancy. We defined overweight and obesity among the children using standard age- and sex-specific cutoffs. We computed sex-specific adjusted prevalence ratios (aPRs) of overweight and obesity associated with exposure to prenatal antibacterials, adjusting for maternal age at delivery....... SUBJECTS/METHODS: We conducted a prevalence study among Danish schoolchildren aged 7-16 years using data from routine school anthropometric evaluations conducted during 2002-2013. Prenatal exposure to antibacterials was ascertained by using maternal prescription dispensations and infection-related hospital...

  1. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  2. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  3. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  4. Alignment and Use of Self-Assembled Peptide Nanotubes as Dry-Etching Mask

    DEFF Research Database (Denmark)

    Andersen, Karsten Brandt; Castillo, Jaime; Bakmand, Tanya

    2012-01-01

    candidate for controlled nanofabrication without organic solvents. The present work demonstrates how this unique structure can be aligned, manipulated and used as both an etching mask in a dry etching procedure and as a lift-off material. As a further demonstration of the potential of this technique...

  5. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  6. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  7. The characterization of the antibacterial efficacy of an electrically activated silver ion-based surface system

    Science.gov (United States)

    Shirwaiker, Rohan A.

    There have been growing concerns in the global healthcare system about the eradication of pathogens in hospitals and other health-critical environments. The problem has been aggravated by the overuse of antibiotics and antimicrobial agents leading to the emergence of antibiotic-resistant superbugs such as methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant Enterococcus (VRE) which are difficult to kill. Lower immunity of sick patients coupled with the escalating concurrent problem of antibiotic-resistant pathogens has resulted in increasing incidences of hospital acquired (nosocomial) infections. There is an immediate need to control the transmission of such infections, primarily in healthcare environments, by creating touch-contact and work surfaces (e.g., door knobs, push plates, countertops) that utilize alternative antibacterial materials like the heavy metal, silver. Recent research has shown that it is silver in its ionic (Ag+ ) and not elemental form that is antibacterial. Thus, silver-based antibacterial surfaces have to release silver ions directly into the pathogenic environment (generally, an aqueous media) in order to be effective. This dissertation presents the study and analysis of a new silver-based surface system that utilizes low intensity direct electric current (LIDC) for generation of silver ions to primarily inhibit indirect contact transmission of infections. The broader objective of this research is to understand the design, and characterization of the electrically activated silver ion-based antibacterial surface system. The specific objectives of this dissertation include: (1) Developing a comprehensive system design, and identifying and studying its critical design parameters and functional mechanisms. (2) Evaluating effects of the critical design parameters on the antibacterial efficacy of the proposed surface system. (3) Developing a response surface model for the surface system performance. These objectives are

  8. Bonding durability of a self-etching primer system to normal and caries-affected dentin under hydrostatic pulpal pressure in vitro.

    Science.gov (United States)

    Nakajima, Masatoshi; Hosaka, Keiichi; Yamauti, Monica; Foxton, Richard M; Tagami, Junji

    2006-06-01

    To evaluate the bonding durability of a self-etching primer system to normal and caries-affected dentin under hydrostatic pulpal pressure. 18 extracted human molars with occlusal caries were used. Their occlusal dentin surfaces were ground flat to expose normal and caries-affected dentin using #600 SiC paper under running water. Clearfil SE Bond was placed on the dentin surface including the caries-affected dentin according to the manufacturer's instructions and then the crowns were built up with resin composite (Clearfil AP-X) under either a pulpal pressure of 15 cm H2O or none (control). The bonded specimens were stored in 100% humidity for 1 day (control) or for 1 week and 1 month with hydrostatic pulpal pressure. After storage, the specimens were serially sectioned into 0.7 mm-thick slabs and trimmed to an hour-glass shape with a 1 mm2 cross-section, isolated by normal or caries-affected dentin, and then subjected to the micro-tensile bond test. Data were analyzed by two-way ANOVA and Tukey's test (PHydrostatic pulpal pressure significantly reduced the bond strength to normal dentin after 1-month storage (P< 0.05), but did not affect the bond strength to caries-affected dentin.

  9. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  10. Cationic Reduced Graphene Oxide as Self-Aligned Nanofiller in the Epoxy Nanocomposite Coating with Excellent Anticorrosive Performance and Its High Antibacterial Activity.

    Science.gov (United States)

    Luo, Xiaohu; Zhong, Jiawen; Zhou, Qiulan; Du, Shuo; Yuan, Song; Liu, Yali

    2018-05-17

    The design and preparation of an excellent corrosion protection coating is still a grand challenge and is essential for large-scale practical application. Herein, a novel cationic reduced graphene oxide (denoted as RGO-ID + )-based epoxy coating was fabricated for corrosion protection. RGO-ID + was synthesized by in situ synthesis and salification reaction, which is stable dispersion in water and epoxy latex, and the self-aligned RGO-ID + -reinforced cathodic electrophoretic epoxy nanocomposite coating (denoted as RGO-ID + coating) at the surface of metal was prepared by electrodeposition. The self-alignment of RGO-ID + in the coatings is mainly attributed to the electric field force. The significantly enhanced anticorrosion performance of RGO-ID + coating is proved by a series of electrochemical measurements in different concentrated NaCl solutions and salt spray tests. This superior anticorrosion property benefits from the self-aligned RGO-ID + nanosheets and the quaternary-N groups present in the RGO-ID + nanocomposite coating. Interestingly, the RGO-ID + also exhibits a high antibacterial activity toward Escherichia coli with 83.4 ± 1.3% antibacterial efficiency, which is attributed to the synergetic effects of RGO-ID + and the electrostatic attraction and hydrogen bonding between RGO-ID + and E. coli. This work offers new opportunities for the successful development of effective corrosion protection and self-antibacterial coatings.

  11. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  12. Clinical acceptability of two self-etch adhesive resins for the bonding of orthodontic brackets to enamel.

    Science.gov (United States)

    Schnebel, Bradley; Mateer, Scott; Maganzini, Anthony Louis; Freeman, Katherine

    2012-12-01

    To determine whether two self-adhesive resin cements, Clearfil SA and RelyX, can be used to successfully bond orthodontic brackets to enamel. Seventy extracted premolars were custom mounted, cleaned and randomly divided into three groups. In group 1 (control), orthodontic brackets were bonded to 25 premolars using the Transbond Plus and Transbond XT two step adhesive systerm adhesive. In group 2, brackets were bonded to 25 premolars using Clearfil SA. In group 3, brackets were bonded to 20 premolars using RelyX. The brackets were debonded using a universal testing machine and shear bond strengths recorded. After debonding, each tooth was examined under 20× magnification to evaluate the residual adhesive remaining. An ANOVA with Duncan's Multiple Range Test was used to determine whether there were significant differences in shear bond strength between the groups. A Kruskal-Wallis Test and a Bonferroni multiple comparison procedure were used to compare the bond failure modes (adhesive remnant index scores) between the groups. The mean shear bond strengths for the brackets bonded using Clearfil SA and RelyX were 5·930±1·840 and 3·334±1·953 MPa, respectively. Both were significantly lower than that for the brackets bonded using Transbond (7·875±3·611 MPa). Both self-etch adhesive resin cement groups showed a greater incidence of bracket failure at the enamel/adhesive interface while the Transbond group showed a higher incidence at the bracket/adhesive interface. The shear bond strengths of the self-etch adhesive resin cements may be inadequate to successfully bond orthodontic brackets to enamel.

  13. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  14. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  15. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  16. The effect of various adhesives, enamel etching, and base treatment on the failure frequency of customized lingual brackets: a randomized clinical trial.

    Science.gov (United States)

    Mavreas, Dimitrios; Cuzin, Jean-François; Boonen, Guillaume; Vande Vannet, Bart

    2018-05-25

    The aim of this paper was to compare failure differences in precious metal customized lingual brackets bonded with three adhesive systems. Also, differences in failure of non-precious metal brackets with and without a silicatized base layer bonded with the same adhesive, as well as the influence of enamel etching prior to using a self-etching dual cure resin were explored. Five different groups were defined in a semi-randomized approach. Group 1 (IME): Maxcem Elite with 378 Incognito brackets and etched teeth, Group 2 (IMNE): Maxcem Elite with 193 Incognito brackets on non-etched teeth, Group 3 (INE): Nexus+Excite with 385 Incognito brackets, Group 4 (IRE): Relyx with 162 Incognito brackets, Group 5 (HRME) and Group 6 (HNRME): Maxcem Elite with 182 Harmony brackets with silicatized and non-slicatized bases respectively. Bracket failures were recorded over a 12-month period. The number of failures during the observation period was small in the various adhesives types of groups, as well as in HRME and HNRME groups, and the comparisons among those groups were non-significant (P > 0.05). A statistically significant difference (P brackets failure frequencies (rates) are not different for the three adhesive materials tested. 2. Eliminating the etching stage when using self-etch/self-adhesive adhesives, may lead to a dramatic increase in the failure rates. 3. Silicoating of stainless steel customized lingual brackets does not seem to influence the failure of the bonds.

  17. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  18. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  19. Antibacterial Au nanostructured surfaces.

    Science.gov (United States)

    Wu, Songmei; Zuber, Flavia; Brugger, Juergen; Maniura-Weber, Katharina; Ren, Qun

    2016-02-07

    We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all the Au nanostructures, regardless their shapes, exhibited similar excellent antibacterial properties. A comparison of live cells attached to nanotopographic surfaces showed that the number of live S. aureus cells was flat and rough reference surfaces. Our micro/nanofabrication process is a scalable approach based on cost-efficient self-organization and provides potential for further developing functional surfaces to study the behavior of microbes on nanoscale topographies.

  20. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  1. Morphology of resin-dentin interfaces after Er,Cr:YSGG laser and acid etching preparation and application of different bonding systems.

    Science.gov (United States)

    Beer, Franziska; Buchmair, Alfred; Körpert, Wolfram; Marvastian, Leila; Wernisch, Johann; Moritz, Andreas

    2012-07-01

    The goal of this study was to show the modifications in the ultrastructure of the dentin surface morphology following different surface treatments. The stability of the adhesive compound with dentin after laser preparation compared with conventional preparation using different bonding agents was evaluated. An Er,Cr:YSGG laser and 36% phosphoric acid in combination with various bonding systems were used. A total of 100 caries-free human third molars were used in this study. Immediately after surgical removal teeth were cut using a band saw and 1-mm thick dentin slices were created starting at a distance of 4 mm from the cusp plane to ensure complete removal of the enamel. The discs were polished with silicon carbide paper into rectangular shapes to a size of 6 × 4 mm (±0,2 mm).The discs as well as the remaining teeth stumps were stored in 0.9% NaCl at room temperature. The specimens were divided into three main groups (group I laser group, group II etch group, group III laser and etch group) and each group was subdivided into three subgroups which were allocated to the different bonding systems (subgroup A Excite, subgroup B Scotchbond, subgroup C Syntac). Each disc and the corresponding tooth stump were treated in the same way. After preparation the bonding composite material was applied according to the manufacturers' guidelines in a hollow tube of 2 mm diameter to the disc as well as to the corresponding tooth stump. Shear bond strength testing and environmental scanning electron microscopy were used to assess the morphology and stability of the resin-dentin interface. The self-etching bonding system showed the highest and the most constant shear values in all three main groups, thus enabling etching with phosphoric acid after laser preparation to be avoided. Thus we conclude that laser preparation creates a surface texture that allows prediction of the quality of the restoration without the risk of negative influences during the following treatment steps. This

  2. Antibacterial characteristics of thermal plasma spray system.

    Science.gov (United States)

    Goudarzi, M; Saviz, Sh; Ghoranneviss, M; Salar Elahi, A

    2018-03-15

    The objective of this study is to investigate antibacterial characteristics of a thermal plasma spray system. For this purpose, copper powder was coated on a handmade atmospheric plasma spraying system made by the stainless steel 316 substrate, which is preheated at different temperatures before spraying. A number of deposition characteristics such as antibacterial characteristics, adhesion strength and hardness of coating, was investigated. All of the spray parameters are fixed except the substrate temperature. The chemical composition was analyzed by X-ray diffraction (XRD). A scanning electron microscopy (SEM) and back scattering electron microscopy (BSE) were used to show the coating microstructure, its thickness and also the powder micrograph. The energy dispersive X-ray spectroscopy (EDX) was used to analyze the coating particles. Hardness of the deposition was examined by Vickers tester (HV0.1). Its adhesion strength was declared by cross cut tester (TQC). In addition, the percentage of bactericidal coating was evidenced with Staphylococcus aurous and Escherichia coli bacteria. Study results show that as the substrates temperature increases, the number of splats in the shape of pancake increases, the greatness and percentage of the deposition porosity both decrease. The increment of the substrate temperature leads to more oxidation and makes thicker dendrites on the splat. The enhancement of the substrate temperature also enlarges thickness and efficiency of coating. The interesting results are that antibacterial properties of coatings against the Escherichia coli are more than Staphylococcus aurous bacteria. However the bactericidal percentage of the coatings against Staphylococcus aurous and Escherichia coli bacteria roughly does not change with increasing the substrate temperature. Furthermore, by increment of the substrate temperature, coatings with both high adhesion and hardness are obtained. Accordingly, the temperature of substrate can be an

  3. Fabrication of SWCNT-Ag nanoparticle hybrid included self-assemblies for antibacterial applications.

    Directory of Open Access Journals (Sweden)

    Sayanti Brahmachari

    Full Text Available The present article reports the development of soft nanohybrids comprising of single walled carbon nanotube (SWCNT included silver nanoparticles (AgNPs having superior antibacterial property. In this regard aqueous dispersing agent of carbon nanotube (CNT containing a silver ion reducing unit was synthesised by the inclusion of tryptophan and tyrosine within the backbone of the amphiphile. The dispersions were characterized spectroscopically and microscopically using TEM, AFM and Raman spectroscopy. The nanotube-nanoparticle conjugates were prepared by the in situ photoreduction of AgNO3. The phenolate residue and the indole moieties of tyrosine and tryptophan, respectively reduces the sliver ion as well as acts as stabilizing agents for the synthesized AgNPs. The nanohybrids were characterized using TEM and AFM. The antibacterial activity of the nanohybrids was studied against Gram-positive (Bacillus subtilis and Micrococcus luteus and Gram-negative bacteria (Escherichia coli and Klebsiella aerogenes. The SWCNT dispersions showed moderate killing ability (40-60% against Gram-positive bacteria however no antibacterial activity was observed against the Gram negative ones. Interestingly, the developed SWCNT-amphiphile-AgNP nanohybrids exhibited significant killing ability (∼90% against all bacteria. Importantly, the cell viability of these newly developed self-assemblies was checked towards chinese hamster ovarian cells and high cell viability was observed after 24 h of incubation. This specific killing of bacterial cells may have been achieved due to the presence of higher -SH containing proteins in the cell walls of the bacteria. The developed nanohybrids were subsequently infused into tissue engineering scaffold agar-gelatin films and the films similarly showed bactericidal activity towards both kinds of bacterial strains while allowing normal growth of eukaryotic cells on the surface of the films.

  4. Biomaterials with Antibacterial and Osteoinductive Properties to Repair Infected Bone Defects.

    Science.gov (United States)

    Lu, Haiping; Liu, Yi; Guo, Jing; Wu, Huiling; Wang, Jingxiao; Wu, Gang

    2016-03-03

    The repair of infected bone defects is still challenging in the fields of orthopedics, oral implantology and maxillofacial surgery. In these cases, the self-healing capacity of bone tissue can be significantly compromised by the large size of bone defects and the potential/active bacterial activity. Infected bone defects are conventionally treated by a systemic/local administration of antibiotics to control infection and a subsequent implantation of bone grafts, such as autografts and allografts. However, these treatment options are time-consuming and usually yield less optimal efficacy. To approach these problems, novel biomaterials with both antibacterial and osteoinductive properties have been developed. The antibacterial property can be conferred by antibiotics and other novel antibacterial biomaterials, such as silver nanoparticles. Bone morphogenetic proteins are used to functionalize the biomaterials with a potent osteoinductive property. By manipulating the carrying modes and release kinetics, these biomaterials are optimized to maximize their antibacterial and osteoinductive functions with minimized cytotoxicity. The findings, in the past decade, have shown a very promising application potential of the novel biomaterials with the dual functions in treating infected bone defects. In this review, we will summarize the current knowledge of novel biomaterials with both antibacterial and osteoinductive properties.

  5. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  6. Effect of Sodium Ascorbate and Delayed Bonding on the Bond Strength of Silorane and Two-step Self-etch Adhesive Systems in Bleached Enamel

    Directory of Open Access Journals (Sweden)

    Mehdi Abed Kahnemooyi

    2014-12-01

    Full Text Available Background and aims. Studies have shown decreased bond strength of composite resin to human and bovine bleached enamel. This study evaluated the effect of sodium ascorbate and delayed bonding on the bond strength of two adhesive systems to bleached enamel. Materials and methods. The labial surfaces of 150 sound bovine incisor teeth were abraded with abrasive paper. The teeth were randomly divided into 8 groups: A: control; B: bleached with 35% hydrogen peroxide; C: bleached with 35% hydrogen peroxide + sodium ascorbate gel; and D: bleached with 35% hydrogen peroxide + delayed bonding. In groups A‒D, silorane adhesive system and Filtek silorane composite resin were used. In groups E‒H, the same preparation methods of groups A‒D were used. Two-step self-etch Clearfil SE Bond adhesive systems and AP-X composite resin were administered. Shear bond strength of each group was measured. Two samples were prepared for each surface preparation for ultrastructural evaluation. Two-way ANOVA and Tukey test were used for data analysis at P<0.05. Results. The interaction between the adhesive system type and surface preparation protocol was significant (P=0.014, with significant differences in shear bond strengths in terms of the adhesive systems (P<0.01. There were significant differences in shear bond strength in terms of surface preparation techniques irrespective of the adhesive system (P<0.01. Conclusion. The results showed that bleaching with 35% hydrogen peroxide decreased the shear bond strength values with both adhesive systems, and a one-week delay in bonding and 10% sodium ascorbate for 10 minutes restored the bond strength in both adhesive systems.

  7. Influence of Air Abrasion and Sonic Technique on Microtensile Bond Strength of One-Step Self-Etch Adhesive on Human Dentin

    Directory of Open Access Journals (Sweden)

    Baraba Anja

    2015-01-01

    Full Text Available The purpose of this in vitro study was to evaluate the microtensile bond strength of one-step self-etch adhesive to human dentin surface modified with air abrasion and sonic technique and to assess the morphological characteristics of the pretreated dentin surface. The occlusal enamel was removed to obtain a flat dentin surface for thirty-six human molar teeth. The teeth were randomly divided into three experimental groups (n = 12 per group, according to the pretreatment of the dentin: (1 control group, (2 air abrasion group, and (3 sonic preparation group. Microtensile bond strength test was performed on a universal testing machine. Two specimens from each experimental group were subjected to SEM examination. There was no statistically significant difference in bond strength between the three experimental groups (P > 0.05. Mean microtensile bond strength (MPa values were 35.3 ± 12.8 for control group, 35.8 ± 13.5 for air abrasion group, and 37.7 ± 12.0 for sonic preparation group. The use of air abrasion and sonic preparation with one-step self-etch adhesive does not appear to enhance or impair microtensile bond strength in dentin.

  8. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  9. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  10. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  11. [Distiller Yeasts Producing Antibacterial Peptides].

    Science.gov (United States)

    Klyachko, E V; Morozkina, E V; Zaitchik, B Ts; Benevolensky, S V

    2015-01-01

    A new method of controlling lactic acid bacteria contamination was developed with the use of recombinant Saccharomyces cerevisiae strains producing antibacterial peptides. Genes encoding the antibacterial peptides pediocin and plantaricin with codons preferable for S. cerevisiae were synthesized, and a system was constructed for their secretory expression. Recombinant S. cerevisiae strains producing antibacterial peptides effectively inhibit the growth of Lactobacillus sakei, Pediacoccus pentasaceus, Pediacoccus acidilactici, etc. The application of distiller yeasts producing antibacterial peptides enhances the ethanol yield in cases of bacterial contamination. Recombinant yeasts producing the antibacterial peptides pediocin and plantaricin can successfully substitute the available industrial yeast strains upon ethanol production.

  12. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  13. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  14. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    Science.gov (United States)

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (Pcomposite resins to dentin, no single etching protocol is better than another. Depending on which bonding agent is being used, one etching mode may perform better. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  15. Effect of EDTA Conditioning and Carbodiimide Pretreatment on the Bonding Performance of All-in-One Self-Etch Adhesives

    Directory of Open Access Journals (Sweden)

    Shipra Singh

    2015-01-01

    Full Text Available Objective. This study evaluated the effect of ethylenediaminetetraacetic acid (EDTA conditioning and carbodiimide (EDC pretreatment on the shear bond strength of two all-in-one self-etch adhesives to dentin. Methods. Flat coronal dentin surfaces were prepared on one hundred and sixty extracted human molars. Teeth were randomly divided into eight groups according to two different self-etch adhesives used [G-Bond and OptiBond-All-In-One] and four different surface pretreatments: (a adhesive applied following manufacturer’s instructions; (b dentin conditioning with 24% EDTA gel prior to application of adhesive; (c EDC pretreatment followed by application of adhesive; (d application of EDC on EDTA conditioned dentin surface followed by application of adhesive. Composite restorations were placed in all the samples. Ten samples from each group were subjected to immediate and delayed (6-month storage in artificial saliva shear bond strength evaluation. Data collected was subjected to statistical analysis using three-way ANOVA and post hoc Tukey’s test at a significance level of p<0.05.  Results and Conclusion. EDTA preconditioning as well as EDC pretreatment alone had no significant effect on the immediate and delayed bond strengths of either of the adhesives. However, EDC pretreatment on EDTA conditioned dentin surface resulted in preservation of resin-dentin bond strength of both adhesives with no significant fall over six months.

  16. Total analysis systems with Thermochromic Etching Discs technology.

    Science.gov (United States)

    Avella-Oliver, Miquel; Morais, Sergi; Carrascosa, Javier; Puchades, Rosa; Maquieira, Ángel

    2014-12-16

    A new analytical system based on Thermochromic Etching Discs (TED) technology is presented. TED comprises a number of attractive features such as track independency, selective irradiation, a high power laser, and the capability to create useful assay platforms. The analytical versatility of this tool opens up a wide range of possibilities to design new compact disc-based total analysis systems applicable in chemistry and life sciences. In this paper, TED analytical implementation is described and discussed, and their analytical potential is supported by several applications. Microarray immunoassay, immunofiltration assay, solution measurement, and cell culture approaches are herein addressed in order to demonstrate the practical capacity of this system. The analytical usefulness of TED technology is herein demonstrated, describing how to exploit this tool for developing truly integrated analytical systems that provide solutions within the point of care framework.

  17. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  18. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  19. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  20. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  1. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  2. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  3. Comparative Evaluation of Shear Bond Strength of Orthodontic Brackets using Laser Etching and Two Conventional Etching Techniques: An in vitro Study

    Directory of Open Access Journals (Sweden)

    Shilpa Chawla Jamenis

    2011-01-01

    Conclusion : These results indicate that the shear bond strength of all the three groups was clinically acceptable with no significant difference between them but more adhesive was left on enamel treated with acid and laser as compared to self-etch primer treated enamel.

  4. Shear Bond Strengths of Different Adhesive Systems to Biodentine

    Science.gov (United States)

    Odabaş, Mesut Enes; Bani, Mehmet; Tirali, Resmiye Ebru

    2013-01-01

    The aim of this study was to measure the shear bond strength of different adhesive systems to Biodentine with different time intervals. Eighty specimens of Biodentine were prepared and divided into 8 groups. After 12 minutes, 40 samples were randomly selected and divided into 4 groups of 10 each: group 1: (etch-and-rinse adhesive system) Prime & Bond NT; group 2: (2-step self-etch adhesive system) Clearfil SE Bond; group 3: (1-step self-etch adhesive systems) Clearfil S3 Bond; group 4: control (no adhesive). After the application of adhesive systems, composite resin was applied over Biodentine. This procedure was repeated 24 hours after mixing additional 40 samples, respectively. Shear bond strengths were measured using a universal testing machine, and the data were subjected to 1-way analysis of variance and Scheffé post hoc test. No significant differences were found between all of the adhesive groups at the same time intervals (12 minutes and 24 hours) (P > .05). Among the two time intervals, the lowest value was obtained for group 1 (etch-and-rinse adhesive) at a 12-minute period, and the highest was obtained for group 2 (two-step self-etch adhesive) at a 24-hour period. The placement of composite resin used with self-etch adhesive systems over Biodentine showed better shear bond strength. PMID:24222742

  5. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  6. 76 FR 39883 - Design of Clinical Trials for Systemic Antibacterial Drugs for the Treatment of Acute Otitis...

    Science.gov (United States)

    2011-07-07

    ...] Design of Clinical Trials for Systemic Antibacterial Drugs for the Treatment of Acute Otitis Media... Clinical Trials for Systemic Antibacterial Agents for the Treatment of Acute Otitis Media. This public... the treatment of acute otitis media (middle ear infection). Discussions will focus on [[Page 39884...

  7. Biomaterials with Antibacterial and Osteoinductive Properties to Repair Infected Bone Defects

    Directory of Open Access Journals (Sweden)

    Haiping Lu

    2016-03-01

    Full Text Available The repair of infected bone defects is still challenging in the fields of orthopedics, oral implantology and maxillofacial surgery. In these cases, the self-healing capacity of bone tissue can be significantly compromised by the large size of bone defects and the potential/active bacterial activity. Infected bone defects are conventionally treated by a systemic/local administration of antibiotics to control infection and a subsequent implantation of bone grafts, such as autografts and allografts. However, these treatment options are time-consuming and usually yield less optimal efficacy. To approach these problems, novel biomaterials with both antibacterial and osteoinductive properties have been developed. The antibacterial property can be conferred by antibiotics and other novel antibacterial biomaterials, such as silver nanoparticles. Bone morphogenetic proteins are used to functionalize the biomaterials with a potent osteoinductive property. By manipulating the carrying modes and release kinetics, these biomaterials are optimized to maximize their antibacterial and osteoinductive functions with minimized cytotoxicity. The findings, in the past decade, have shown a very promising application potential of the novel biomaterials with the dual functions in treating infected bone defects. In this review, we will summarize the current knowledge of novel biomaterials with both antibacterial and osteoinductive properties.

  8. Influence of Conditioning Time of Universal Adhesives on Adhesive Properties and Enamel-Etching Pattern.

    Science.gov (United States)

    Cardenas, A M; Siqueira, F; Rocha, J; Szesz, A L; Anwar, M; El-Askary, F; Reis, A; Loguercio, A

    2016-01-01

    To evaluate the effect of application protocol in resin-enamel microshear bond strength (μSBS), in situ degree of conversion, and etching pattern of three universal adhesive systems. Sixty-three extracted third molars were sectioned in four parts (buccal, lingual, and proximals) and divided into nine groups, according to the combination of the main factors-Adhesive (Clearfil Universal, Kuraray Noritake Dental Inc, Tokyo, Japan; Futurabond U, VOCO, Cuxhaven, Germany; and Scotchbond Universal Adhesive, 3M ESPE, St Paul, MN, USA)-and enamel treatment/application time (etch-and-rinse mode [ER], self-etch [SE] application for 20 seconds [SE20], and SE application for 40 seconds [SE40]). Specimens were stored in water (37°C/24 h) and tested at 1.0 mm/min (μSBS). The degree of conversion of the adhesives at the resin-enamel interfaces was evaluated using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a scanning electron microscope. Data were analyzed with two-way analysis of variance and Tukey test (α=0.05). In general, the application of the universal adhesives in the SE40 produced μSBS and degree of conversion that were higher than in the SE20 (puniversal adhesives in the SE mode may be a viable alternative to increase the degree of conversion, etching pattern, and resin-enamel bond strength.

  9. Anti-Oxidative and Antibacterial Self-Healing Edible Polyelectrolyte Multilayer Film in Fresh-Cut Fruits.

    Science.gov (United States)

    Liu, Xuefan; Han, Wei; Zhu, Yanxi; Xuan, Hongyun; Ren, Jiaoyu; Zhang, Jianhao; Ge, Liqin

    2018-04-01

    The consumption of fresh-cut fruits is limited because of the oxidation browning and pathogenic bacteria's growth on the fruit surface. Besides, crack of the fresh-keeping film may shorten the preservation time of fruit. In this work, polyelectrolyte multilayer (PEM) film was fabricated by layer-by-layer (LBL) electrostatic deposition method. The film was made by carboxy methylcellulose sodium (CMC) and chitosan (CS). The as-prepared PEM film had good anti-oxidative and antibacterial capability. It inhibited the growth of Gram-negative bacteria and the antibacterial rate was more than 95%. The stratified structure and linear increase of the absorbance in the film verified a linear increase of film thickness. The slight scratched film could self-heal rapidly after the stimulation of water whatever the layer number was. Moreover, the film could heal cracks whose width was far bigger than the thickness. The application of PEM film on fresh-cut apples showed that PEM film had good browning, weight loss and metabolic activity inhibition ability. These results showed that the PEM film is a good candidate as edible film in fresh-cut fruits applications.

  10. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  11. Synthesis, construction, and evaluation of self-assembled nano-bacitracin A as an efficient antibacterial agent in vitro and in vivo

    Directory of Open Access Journals (Sweden)

    Hong W

    2017-06-01

    Full Text Available Wei Hong,1 Xiang Gao,1 Peng Qiu,1 Jie Yang,1 Mingxi Qiao,2 Hong Shi,3 Dexian Zhang,1 Chunlian Tian,1 Shengli Niu,1 Mingchun Liu1 1Key Laboratory of Zoonosis of Liaoning Province, College of Animal Science and Veterinary Medicine, Shenyang Agricultural University, Shenhe, Shenyang, Liaoning, People’s Republic of China; 2Department of Pharmaceutics, School of Pharmacy, China Pharmaceutical University, Jiangning, Nanjing, 3Department of Pharmaceutics, School of Pharmacy, Shenyang Pharmaceutical University, Shenyang, Liaoning, People’s Republic of China Abstract: Bacitracin A (BA is an excellent polypeptide antibiotic that is active against gram-positive bacteria without triggering multidrug resistance. However, BA is inactive against gram-negative bacteria because of its inability to cross the outer membrane of these cells, and it has strong nephrotoxicity, thus limiting its clinical applications. Nanoantibiotics can effectively localize antibiotics to the periplasmic space of bacteria while decreasing the adverse effects of antibiotics. In this study, biodegradable hydrophobic copolymers of poly (D,L-lactide-co-glycolide (PLGA were attached to the N-termini of BA to design a novel class of self-assembled nano-bacitracin A (nano-BAs, and their potential as antibacterial agents was evaluated in vitro and in vivo. Nano-BAs had a core-shell structure with a mean diameter <150 nm. Impressively, nano-BAs had strong antibacterial properties against both gram-positive and gram-negative bacteria, and the distribution of antibacterial activity as a function of PLGA block length was skewed toward longer PLGA chains. No cytotoxicity against HK-2 cells or human red blood cells (hRBCs was observed in vitro, suggesting good biocompatibility. A high local density of BA mass on the surface promoted endocytotic cellular uptake, and hydrophobic interactions between the PLGA block and lipopolysaccharide (LPS facilitated the uptake of nano-BAs, thereby leading to

  12. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  13. BOND STRENGTH DURABILITY OF SELF-ETCHING ADHESIVES AND RESIN CEMENTS TO DENTIN

    Science.gov (United States)

    Chaves, Carolina de Andrade Lima; de Melo, Renata Marques; Passos, Sheila Pestana; Camargo, Fernanda Pelógia; Bottino, Marco Antonio; Balducci, Ivan

    2009-01-01

    Objectives: To evaluate the microtensile bond strength (μTBS) of one- (Xeno III, Dentsply) and two-step (Tyrian-One Step Plus, Bisco) self-etching adhesive systems bonded to dentin and cemented to chemically cured (C&B Metabond) or light-cured paste of a dual-cure resin cement (Variolink II, Ivoclar) within a short (24 h) and long period of evaluation (90 days). Material and Methods: Forty recently extracted human molars had their roots removed and their occlusal dentin exposed and ground wet with 600-grit SiC paper. After application of one of the adhesives, the resin cement was applied to the bonded surface and a composite resin block was incrementally built up to a height of 5 mm (n=10). The restored teeth were stored in distilled water at 37°C for 7 days. The teeth were then cut along two axes (x and y), producing beam-shaped specimens with 0.8 mm2 cross-sectional area, which were subjected to μTBS testing at a crosshead speed of 0.05 mm/min and stressed to failure after 24 h or 90 days of storage in water. The μTBS data in MPa were subjected to three-way analysis of variance and Tukey's test (α= 0.05). Results: The interaction effect for all three factors was statistically significant (three-way ANOVA, padhesive combination that provided the most promising bond strength after 90 days of storage in water. PMID:19466243

  14. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2014-08-01

    Full Text Available Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV exposure and backside-lift-off (BLO schemes can not only prevent the damage when etching the source/drain (S/D electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs exhibit comparable field-effect mobility (9.5 cm2/V·s, threshold voltage (3.39 V, and subthreshold swing (0.3 V/decade. The delay time of an inverter fabricated using the proposed process was considerably decreased.

  15. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  16. Automated margin analysis of contemporary adhesive systems in vitro: evaluation of discriminatory variables.

    Science.gov (United States)

    Heintze, Siegward D; Forjanic, Monika; Roulet, François-Jean

    2007-08-01

    Using an optical sensor, to automatically evaluate the marginal seal of restorations placed with 21 adhesive systems of all four adhesive categories in cylindrical cavities of bovine dentin applying different outcome variables, and to evaluate their discriminatory power. Twenty-one adhesive systems were evaluated: three 3-step etch-and-rinse systems, three 2-step etch-and-rinse systems, five 2-step self-etching systems, and ten 1-step self-etching systems. All adhesives were applied in cylindrical cavities in bovine dentin together with Tetric Ceram (n=8). In the control group, no adhesive system was used. After 24 h of storage in water at 37 degrees C, the surface was polished with 4000-grit SiC paper, and epoxy resin replicas were produced. An optical sensor (FRT MicroProf) created 100 profiles of the restoration margin, and an algorithm detected gaps and calculated their depths and widths. The following evaluation criteria were used: percentage of specimens without gaps, the percentage of gap-free profiles in relation to all profiles per specimen, mean gap width, mean gap depth, largest gap, modified marginal integrity index MI. The statistical analysis was carried out on log-transformed data for all variables with ANOVA and post-hoc Tukey's test for multiple comparisons. The correlation between the variables was tested with regression analysis, and the pooled data accordingto the four adhesive categories were compared by applying the Mann-Whitney nonparametric test (p adhesive systems demonstrated the best marginal adaptation, followed by the 2-step self-etching and the 1-step self-etching adhesives; the latter showed the highest variability in test results between materials and within the same material. The only exception to this rule was Xeno IV, which showed a marginal adaptation that was comparable to that of the best 3-step etch-and-rinse systems. Except for the variables "largest gap" and "mean gap depth", all the other variables had a similar ability to

  17. Antibacterial surface design - Contact kill

    Science.gov (United States)

    Kaur, Rajbir; Liu, Song

    2016-08-01

    Designing antibacterial surfaces has become extremely important to minimize Healthcare Associated Infections which are a major cause of mortality worldwide. A previous biocide-releasing approach is based on leaching of encapsulated biocides such as silver and triclosan which exerts negative impacts on the environment and potentially contributes to the development of bacterial resistance. This drawback of leachable compounds led to the shift of interest towards a more sustainable and environmentally friendly approach: contact-killing surfaces. Biocides that can be bound onto surfaces to give the substrates contact-active antibacterial activity include quaternary ammonium compounds (QACs), quaternary phosphoniums (QPs), carbon nanotubes, antibacterial peptides, and N-chloramines. Among the above, QACs and N-chloramines are the most researched contact-active biocides. We review the engineering of contact-active surfaces using QACs or N-chloramines, the modes of actions as well as the test methods. The charge-density threshold of cationic surfaces for desired antibacterial efficacy and attempts to combine various biocides for the generation of new contact-active surfaces are discussed in detail. Surface positive charge density is identified as a key parameter to define antibacterial efficacy. We expect that this research field will continue to attract more research interest in view of the potential impact of self-disinfective surfaces on healthcare-associated infections, food safety and corrosion/fouling resistance required on industrial surfaces such as oil pipes and ship hulls.

  18. Self-centring technique for fibre optic microlens mounting using a concave cone-etched fibre

    International Nuclear Information System (INIS)

    Demagh, Nacer-Eddine; Guessoum, Assia; Zegari, Rabah; Gharbi, Tijani

    2011-01-01

    Several techniques of centring a microlens onto the fibre optic end face are studied. In most of them, microsphere lenses are centred with the aid of high-accuracy micro-positioners. This process is complicated with regard to the difficulty in manipulating microsphere lenses. In this paper, a simple and accurate self-centring method for mounting microsphere lenses using a concave cone etched fibre (Demagh et al 2006 Meas. Sci. Technol. 17 119–22) is described. This technique allows the centring of a wide variety of microlens radii, typically 7 µm to over 24 µm. The proposed process, however, is not affected by any spatial positioning control of microspheres. In over 85% of the attempts, the microsphere lenses were centred on the fibre axis to within 0.12 µm

  19. Effect of Zinc Oxide Nanoparticles and Sodium Hydroxide on the Self-Cleaning and Antibacterial Properties of Polyethylene Terephthalate

    Directory of Open Access Journals (Sweden)

    Mohammad Mirjalili

    2017-12-01

    Full Text Available In this study, the synthesis of zinc oxide nanoparticles was carried out, together with the hydrolysis of polyethylene terephthalate, using sodium hydroxide to increase surface activity and enhance nanoparticle adsorption. Polyester fabrics were treated with zinc acetate and sodium hydroxide in an ultrasonic bath, resulting in the formation of ZnO nanospheres. The presence of zinc oxide on the surface of the polyethylene terephthalate was confi rmed using scanning electron microscopy (SEM and energy-dispersive X-ray spectroscopy (EDS. The self-cleaning property of treated fabrics was evaluated through discolouring using methylene blue stain under solar irradiation. The antibacterial activities of the samples against common pathogenic bacteria, including Escherichia coli and Staphylococcus aureus, were also assessed. The results indicated that the photocatalytic and antibacterial activities of the ultrasound-treated polyethylene terephthalate improved significantly.

  20. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  1. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  2. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  3. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  4. Artificial activation of toxin-antitoxin systems as an antibacterial strategy

    OpenAIRE

    Williams, Julia J.; Hergenrother, Paul J.

    2012-01-01

    Toxin-antitoxin (TA) systems are unique modules that effect plasmid stabilization via post-segregational killing of the bacterial host. The genes encoding TA systems also exist on bacterial chromosomes, where they are speculated to be involved in a variety of cellular processes. Interest in TA systems has increased dramatically over the past five years as the ubiquitous nature of TA genes on bacterial genomes has been revealed. The exploitation of TA systems as an antibacterial strategy via a...

  5. The effect of warm air-blowing on the microtensile bond strength of one-step self-etch adhesives to root canal dentin.

    Science.gov (United States)

    Taguchi, Keita; Hosaka, Keiichi; Ikeda, Masaomi; Kishikawa, Ryuzo; Foxton, Richard; Nakajima, Masatoshi; Tagami, Junji

    2018-02-01

    The use of warm air-blowing to evaporate solvents of one-step self-etch adhesive systems (1-SEAs) has been reported to be a useful method. The purpose of this study was to evaluate the effect of warm air-blowing on root canal dentin. Four 1-SEAs (Clearfil Bond SE ONE, Unifil Core EM self-etch bond, Estelink, BeautiDualbond EX) were used. Each 1-SEA was applied to root canal dentin according to the manufacturers' instructions. After the adhesives were applied, solvent was evaporated using either normal air (23±1°C) or warm air (80±1°C) for 20s, and resin composite was placed in the post spaces. The air from the dryer, which could be used in normal- or hot-air-mode, was applied at a distance of 5cm above the root canal cavity in the direction of tooth axis. The temperature of the stream of air from the dryer in the hot-air-mode was 80±1°C, and in the normal mode, 23±1°C. After water storage of the specimens for 24h, the μTBS were evaluated at the coronal and apical regions. The μTBSs were statistically analyzed using three-way ANOVA and Student's t-test with Bonferroni correction (α=0.05). The warm air-blowing significantly increased the μTBS of all 1-SEAs at the apical regions, and also significantly increased the μTBS of two adhesives (Estelink and BeautiDualBond EX) at coronal regions. The μTBS of 1-SEAs to root canal dentin was improved by using warm air-blowing. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  6. Research of Self-Formation Nanostructures

    Directory of Open Access Journals (Sweden)

    Romas Petrauskas

    2011-08-01

    Full Text Available Lateral etching processes for the modeling of the geometry of self-formation nanostructures with Silvaco TCAD Athena program are analyzed. Self-formation nanostructures is modeled with different mask selectivity values equal to 2, 10, 40 and 100 with respect to the etching layer, with the etching duration of 0–180 s. The etching rates are constant – 1.33 nm/s. The analysis of the dependence of the etching systematic error on its thickness has been carried out. The computer modeled results are close to the ones produced by means of the application of the analytical calculation models by other authors.Article in Lithuanian

  7. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  8. Microleakage of three self-etch bonding agents in class 5 composite cavities

    Directory of Open Access Journals (Sweden)

    Saeed Nemati Anaraki

    2016-07-01

    Full Text Available Background and Aims: Microleakage is one of the most common problems in bonding systems, which cause different clinical shortcomings such as post operative sensitivity, marginal discoloration and pulp necrosis that can decrease those using bonding systems. The aim of this study was to compare the microleakage of three self etch bonding agents (generation 6 and 7 in class 5 composite cavities. Materials and Methods: In this experimental study, 30 facial class 5 cavities were prepared in 30 human premolar teeth which were freshly extracted for orthodontic purposes. Cl V cavities were prepared in 2*3*2 mm dimensions. Occlusal margins were in enamel and gingival ones in cementum and randomly divided into 3 groups of 10 each. Then the cavities were treated by clearhil SE Bond (Kuraray, Japan, G Bond (GC, Japan, and Opti Bond Solo Plus (Kerr, USA, according to the manufacturers’ insductions. Then the cavities were filled using Z100 resin composite. The specimens were then immersed in a 50% AgNo solution for 24 hrs. Then, the teeth were cut buccolingually to be evaluated for dye penetration with stereomicroscope. Data were analyzed using Kruskal-Wallis test. Results: This study revealed that Opti bond solo plus had type1 microleakage (dye penetration up to 1/3 of cavity in 80% of specimen, and type 4 microleakage (along axial wall in 10%. Clearfil SE bond had no leakage in 50%, type1 in 40% and type 2 (up to 2/3 of cavity in 10%. But there was no significant difference in the microleakage at the gingival margins between 3 groups (P>0.05. Conclusion: Clearfil SE Bond and G bond could prevent microleakage more effectively than that of Opti Bond Solo Plus on the occlusal margins. However, no difference in the microleakage on the gingival surfaces was found.

  9. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  10. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  11. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  12. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  13. Effect of a Novel Quaternary Ammonium Methacrylate Polymer (QAMP on Adhesion and Antibacterial Properties of Dental Adhesives

    Directory of Open Access Journals (Sweden)

    Yasmine M. Pupo

    2014-05-01

    Full Text Available This study investigated the resin–dentin bond strength (μTBS, degree of conversion (DC, and antibacterial potential of an innovative adhesive system containing a quaternary ammonium methacrylate polymer (QAMP using in situ and in vitro assays. Forty-two human third molars were flattened until the dentin was exposed and were randomly distributed into three groups of self-etching adhesive systems: Clearfil™ SE Bond containing 5% QAMP (experimental group, Clearfil™ Protect Bond (positive control and Clearfil™ SE Bond (negative control. After light curing, three 1 mm-increments of composite resin were bonded to each dentin surface. A total of thirty of these bonded teeth (10 teeth per group was sectioned to obtain stick-shaped specimens and tested under tensile stress immediately, and after 6 and 12 months of storage in distilled water. Twelve bonded teeth (4 teeth per group were longitudinally sectioned in a mesio-to-distal direction to obtain resin-bonded dentin slabs. In situ DC was evaluated by micro-Raman spectroscopy. In vitro DC of thin films of each adhesive system was measured using Fourier transform infrared spectroscopy. In vitro susceptibility tests of these three adhesive systems were performed by the minimum inhibitory/minimum bactericidal concentration (MIC/MBC assays against Streptococcus mutans, Lactobacillus casei, and Actinomyces naeslundii. No statistically significant difference in μTBS was observed between Clearfil™ SE Bond containing 5% QAMP and Clearfil™ SE Bond (p > 0.05 immediately, and after 6 and 12 months of water storage. However Clearfil™ Protect Bond showed a significant reduction of μTBS after 12 months of storage (p = 0.039. In addition, QAMP provided no significant change in DC after incorporating into Clearfil™ SE Bond (p > 0.05. Clearfil™ SE Bond containing 5% QAMP demonstrated MIC/MBC values similar to the positive control against L. casei and A. naeslundii and higher than the negative

  14. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  15. The acid-base resistant zone in three dentin bonding systems.

    Science.gov (United States)

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  16. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  17. Microtensile bond strength of silorane-based composite specific adhesive system using different bonding strategies.

    Science.gov (United States)

    Bastos, Laura Alves; Sousa, Ana Beatriz Silva; Drubi-Filho, Brahim; Panzeri Pires-de-Souza, Fernanda de Carvalho; Garcia, Lucas da Fonseca Roberti

    2015-02-01

    The aim of this study was to evaluate the effect of pre-etching on the bond strength of silorane-based composite specific adhesive system to dentin. Thirty human molars were randomly divided into 5 groups according to the different bonding strategies. For teeth restored with silorane-based composite (Filtek Silorane, 3M ESPE), the specific self-etching adhesive system (Adhesive System P90, 3M ESPE) was used with and without pre-etching (Pre-etching/Silorane and Silorane groups). Teeth restored with methacrylate based-composite (Filtek Z250, 3M ESPE) were hybridized with the two-step self-etching system (Clearfil SE Bond, Kuraray), with and without pre-etching (Pre-etching/Methacrylate and Methacrylate groups), or three-step adhesive system (Adper Scotchbond Multi-Purpose, 3M ESPE) (Three-step/Methacrylate group) (n = 6). The restored teeth were sectioned into stick-shaped test specimens (1.0 × 1.0 mm), and coupled to a universal test machine (0.5 mm/min) to perform microtensile testing. Pre-etching/Methacrylate group presented the highest bond strength values, with significant difference from Silorane and Three-step/Methacrylate groups (p adhesive system to dentin.

  18. Classification review of dental adhesive systems: from the IV generation to the universal type

    Science.gov (United States)

    Sofan, Eshrak; Sofan, Afrah; Palaia, Gaspare; Tenore, Gianluca; Romeo, Umberto; Migliau, Guido

    2017-01-01

    Summary Adhesive dentistry has undergone great progress in the last decades. In light of minimal-invasive dentistry, this new approach promotes a more conservative cavity design, which relies on the effectiveness of current enamel-dentine adhesives. Adhesive dentistry began in 1955 by Buonocore on the benefits of acid etching. With changing technologies, dental adhesives have evolved from no-etch to total-etch (4th and 5th generation) to self-etch (6th, 7th and 8th generation) systems. Currently, bonding to dental substrates is based on three different strategies: 1) etch-and-rinse, 2) self-etch and 3) resin-modified glass-ionomer approach as possessing the unique properties of self-adherence to the tooth tissue. More recently, a new family of dentin adhesives has been introduced (universal or multi-mode adhesives), which may be used either as etch-and-rinse or as self-etch adhesives. The purpose of this article is to review the literature on the current knowledge for each adhesive system according to their classification that have been advocated by many authorities in most operative/restorative procedures. As noted by several valuable studies that have contributed to understanding of bonding to various substrates helps clinicians to choose the appropriate dentin bonding agents for optimal clinical outcomes. PMID:28736601

  19. Adhesive performance of a multi-mode adhesive system: 1-year in vitro study.

    Science.gov (United States)

    Marchesi, Giulio; Frassetto, Andrea; Mazzoni, Annalisa; Apolonio, Fabianni; Diolosà, Marina; Cadenaro, Milena; Di Lenarda, Roberto; Pashley, David H; Tay, Franklin; Breschi, Lorenzo

    2014-05-01

    The aim of this study was to investigate the adhesive stability over time of a multi-mode one-step adhesive applied using different bonding techniques on human coronal dentine. The hypotheses tested were that microtensile bond strength (μTBS), interfacial nanoleakage expression and matrix metalloproteinases (MMPs) activation are not affected by the adhesive application mode (following the use of self-etch technique or with the etch-and-rinse technique on dry or wet dentine) or by ageing for 24h, 6 months and 1year in artificial saliva. Human molars were cut to expose middle/deep dentine and assigned to one of the following bonding systems (N=15): (1) Scotchbond Universal (3M ESPE) self-etch mode, (2) Scotchbond Universal etch-and-rinse technique on wet dentine, (3) Scotchbond Universal etch-and-rinse technique on dry dentine, and (4) Prime&Bond NT (Dentsply De Trey) etch-and-rinse technique on wet dentine (control). Specimens were processed for μTBS test in accordance with the non-trimming technique and stressed to failure after 24h, 6 months or 1 year. Additional specimens were processed and examined to assay interfacial nanoleakage and MMP expression. At baseline, no differences between groups were found. After 1 year of storage, Scotchbond Universal applied in the self-etch mode and Prime&Bond NT showed higher μTBS compared to the other groups. The lowest nanoleakage expression was found for Scotchbond Universal applied in the self-etch mode, both at baseline and after storage. MMPs activation was found after application of each tested adhesive. The results of this study support the use of the self-etch approach for bonding the tested multi-mode adhesive system to dentine due to improved stability over time. Improved bonding effectiveness of the tested universal adhesive system on dentine may be obtained if the adhesive is applied with the self-etch approach. Copyright © 2014 Elsevier Ltd. All rights reserved.

  20. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  1. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  2. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  3. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  4. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  5. Effect of saliva contamination on the microshear bond strength of one-step self-etching adhesive systems to dentin.

    Science.gov (United States)

    Yoo, H M; Oh, T S; Pereira, P N R

    2006-01-01

    This study evaluated the effect of saliva contamination and decontamination methods on the dentin bond strength of one-step self-etching adhesive systems. Three commercially available "all-in-one" adhesives (One Up Bond F, Xeno III and Adper Prompt) and one resin composite (Filtek Z-250) were used. Third molars stored in distilled water with 0.5% thymol at 4 degrees C were ground with #600 SiC paper under running water to produce a standardized smear layer. The specimens were randomly divided into groups according to contamination methods: no contamination, which was the control (C); contamination of the adhesive surface with fresh saliva before light curing (A) and contamination of the adhesive surface with fresh saliva after light curing (B). Each contamination group was further subdivided into three subgroups according to the decontamination method: A1-Saliva was removed by a gentle air blast and the adhesive was light-cured; A2-Saliva was rinsed for 10 seconds, gently air-dried and the was adhesive light-cured; A3-Saliva was rinsed and dried as in A2, then the adhesive was re-applied to the dentin surface and light-cured; B1-Saliva was removed with a gentle air blast; B2-Saliva was rinsed and dried; B3-Saliva was rinsed, dried and the adhesive was re-applied and light cured. Tygon tubes filled with resin composite were placed on each surface and light cured. All specimens were stored in distilled water at 37 degrees C for 24 hours. Microshear bond strength was measured using a universal testing machine (EZ test), and data were analyzed by one-way ANOVA followed by the Duncan test to make comparisons among the groups (p0.05). Bond strengths of all B groups were significantly lower compared to the controls (pcontamination after adhesive curing. There was no statistically significant difference among the control groups (p>0.05).

  6. Titanium Surface Priming with Phase-Transited Lysozyme to Establish a Silver Nanoparticle-Loaded Chitosan/Hyaluronic Acid Antibacterial Multilayer via Layer-by-Layer Self-Assembly.

    Science.gov (United States)

    Zhong, Xue; Song, Yunjia; Yang, Peng; Wang, Yao; Jiang, Shaoyun; Zhang, Xu; Li, Changyi

    2016-01-01

    The formation of biofilm around implants, which is induced by immediate bacterial colonization after installation, is the primary cause of post-operation infection. Initial surface modification is usually required to incorporate antibacterial agents on titanium (Ti) surfaces to inhibit biofilm formation. However, simple and effective priming methods are still lacking for the development of an initial functional layer as a base for subsequent coatings on titanium surfaces. The purpose of our work was to establish a novel initial layer on Ti surfaces using phase-transited lysozyme (PTL), on which multilayer coatings can incorporate silver nanoparticles (AgNP) using chitosan (CS) and hyaluronic acid (HA) via a layer-by-layer (LbL) self-assembly technique. In this study, the surfaces of Ti substrates were primed by dipping into a mixture of lysozyme and tris(2-carboxyethyl)phosphine (TCEP) to obtain PTL-functionalized Ti substrates. The subsequent alternating coatings of HA and chitosan loaded with AgNP onto the precursor layer of PTL were carried out via LbL self-assembly to construct multilayer coatings on Ti substrates. The results of SEM and XPS indicated that the necklace-like PTL and self-assembled multilayer were successfully immobilized on the Ti substrates. The multilayer coatings loaded with AgNP can kill planktonic and adherent bacteria to 100% during the first 4 days. The antibacterial efficacy of the samples against planktonic and adherent bacteria achieved 65%-90% after 14 days. The sustained release of Ag over 14 days can prevent bacterial invasion until mucosa healing. Although the AgNP-containing structure showed some cytotoxicity, the toxicity can be reduced by controlling the Ag release rate and concentration. The PTL priming method provides a promising strategy for fabricating long-term antibacterial multilayer coatings on titanium surfaces via the LbL self-assembly technique, which is effective in preventing implant-associated infections in the

  7. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  8. Effect of smear layer deproteinization on bonding of self-etch adhesives to dentin: a systematic review and meta-analysis

    Science.gov (United States)

    Alshaikh, Khaldoan H.; Mahmoud, Salah H.

    2018-01-01

    Objectives The aim of this systematic review was to critically analyze previously published studies of the effects of dentin surface pretreatment with deproteinizing agents on the bonding of self-etch (SE) adhesives to dentin. Additionally, a meta-analysis was conducted to quantify the effects of the above-mentioned surface pretreatment methods on the bonding of SE adhesives to dentin. Materials and Methods An electronic search was performed using the following databases: Scopus, PubMed and ScienceDirect. The online search was performed using the following keywords: ‘dentin’ or ‘hypochlorous acid’ or ‘sodium hypochlorite’ and ‘self-etch adhesive.’ The following categories were excluded during the assessment process: non-English articles, randomized clinical trials, case reports, animal studies, and review articles. The reviewed studies were subjected to meta-analysis to quantify the effect of the application time and concentration of sodium hypochlorite (NaOCl) and hypochlorous acid (HOCl) deproteinizing agents on bonding to dentin. Results Only 9 laboratory studies fit the inclusion criteria of this systematic review. The results of the meta-analysis revealed that the pooled average microtensile bond strength values to dentin pre-treated with deproteinizing agents (15.71 MPa) was significantly lower than those of the non-treated control group (20.94 MPa). Conclusions In light of the currently available scientific evidence, dentin surface pretreatment with deproteinizing agents does not enhance the bonding of SE adhesives to dentin. The HOCl deproteinizing agent exhibited minimal adverse effects on bonding to dentin in comparison with NaOCl solutions. PMID:29765895

  9. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  10. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  11. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  12. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  13. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  14. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  15. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  16. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  17. Microtensile bond strength of silorane-based composite specific adhesive system using different bonding strategies

    Directory of Open Access Journals (Sweden)

    Laura AlveBastos

    2015-02-01

    Full Text Available Objectives The aim of this study was to evaluate the effect of pre-etching on the bond strength of silorane-based composite specific adhesive system to dentin. Materials and Methods Thirty human molars were randomly divided into 5 groups according to the different bonding strategies. For teeth restored with silorane-based composite (Filtek Silorane, 3M ESPE, the specific self-etching adhesive system (Adhesive System P90, 3M ESPE was used with and without pre-etching (Pre-etching/Silorane and Silorane groups. Teeth restored with methacrylate based-composite (Filtek Z250, 3M ESPE were hybridized with the two-step self-etching system (Clearfil SE Bond, Kuraray, with and without pre-etching (Pre-etching/Methacrylate and Methacrylate groups, or three-step adhesive system (Adper Scotchbond Multi-Purpose, 3M ESPE (Three-step/Methacrylate group (n = 6. The restored teeth were sectioned into stick-shaped test specimens (1.0 × 1.0 mm, and coupled to a universal test machine (0.5 mm/min to perform microtensile testing. Results Pre-etching/Methacrylate group presented the highest bond strength values, with significant difference from Silorane and Three-step/Methacrylate groups (p < 0.05. However, it was not significantly different from Preetching/Silorane and Methacrylate groups. Conclusions Pre-etching increased bond strength of silorane-based composite specific adhesive system to dentin.

  18. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  19. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  20. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  1. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  2. Personnel neutron dosimetry using electrochemically etched CR-39 foils

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.; Westermark, J.

    1986-01-01

    A personnel neutron dosimetry system has been developed based on the electrochemical etching of CR-39 plastic at elevated temperatures. The doses obtained using this dosimeter system are more accurate than those obtained using other dosimetry systems, especially when varied neutron spectra are encountered. This Cr-39 dosimetry system does not have the severe energy dependence that exists with albedo neutron dosimeters or the fading and reading problems encountered with NTA film. The dosimetry system employs an electrochemical etch procedure that be used to process large numbers of Cr-39 dosimeters. The etch procedure is suitable for operations where the number of personnel requires that many CR-39 dosimeters be processed. Experience shows that one full-time technician can etch and evaluate 2000 foils per month. The energy response to neutrons is fairly flat from about 80 keV to 3.5 MeV, but drops by about a factor of three in the 13 to 16 MeV range. The sensitivity of the dosimetry system is about 7 tracks/cm 2 /mrem, with a background equivalent to about 8 mrem for new CR-39 foils. The limit of sensitivity is approximately 10 mrem. The dosimeter has a significant variation in directional dependence, dropping to about 20% at 90 0 . This dosimeter has been used for personnel neutron dosimetry at the Lawrence Livermore National Laboratory for more tha 18 months. 6 refs., 23 figs., 2 tabs

  3. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  4. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  5. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  6. Considering the antibacterial activity of Zataria multiflora Boiss essential oil treated with gamma-irradiation in vitro and in vivo systems

    International Nuclear Information System (INIS)

    Fatemi Faezeh; Dini Salome; Dadkhah Abolfazl; Zolfaghari Mohammad Reza

    2015-01-01

    The aim of the present study was to evaluate the antibacterial activities of essential oils (EOs) obtained from the aerial parts of Zataria multiflora Boiss against Bacillus cereus, Pseudomonas aeroginosa, Escherichia coli and Staphylococcus aureus by in vivo and in vitro methods. Also, the effects of gamma-irradiation (0, 10 and 25 kGy) as a new microbial decontamination on the antibacterial activities of Z. multiflora were examined. For this purpose, the collected herbs were exposed to radiation at doses of 0, 10 and 25 kGy following essential oil (EOs) extraction by steam distillation. Then, the in vitro antibacterial potency of the irradiated and non-irradiated oils was determined by using disc diffusion, agar well diffusion and MIC and MBC determination assays. The in vivo antibacterial activity was also studied in sepsis model induced by CLP surgery by Colony forming units (CFUs) determination. The results showed that the extracted oils were discovered to be effective against all the gram positive and gram negative pathogens in vitro system. In addition, the oil significantly diminished the increased CFU count observed in CLP group. Moreover, the irradiated samples were found to possess the antibacterial activities as the non-irradiated ones both in vitro and in vivo systems. These data indicated the potential use of gamma-irradiation as a safe technique for preservation of Z. multiflora as a medicinal plant with effective antibacterial activities. - Highlights: • Zataria multiflora Boiss essential oil has potential in vitro antimicrobial effect. • Z. multiflora oil has potential antimicrobial effect in vivo system. • The antibacterial activities of the oil remained after irradiation treatments

  7. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  8. A technology for developing synbodies with antibacterial activity.

    Directory of Open Access Journals (Sweden)

    Valeriy Domenyuk

    Full Text Available The rise in antibiotic resistance has led to an increased research focus on discovery of new antibacterial candidates. While broad-spectrum antibiotics are widely pursued, there is evidence that resistance arises in part from the wide spread use of these antibiotics. Our group has developed a system to produce protein affinity agents, called synbodies, which have high affinity and specificity for their target. In this report, we describe the adaptation of this system to produce new antibacterial candidates towards a target bacterium. The system functions by screening target bacteria against an array of 10,000 random sequence peptides and, using a combination of membrane labeling and intracellular dyes, we identified peptides with target specific binding or killing functions. Binding and lytic peptides were identified in this manner and in vitro tests confirmed the activity of the lead peptides. A peptide with antibacterial activity was linked to a peptide specifically binding Staphylococcus aureus to create a synbody with increased antibacterial activity. Subsequent tests showed that this peptide could block S. aureus induced killing of HEK293 cells in a co-culture experiment. These results demonstrate the feasibility of using the synbody system to discover new antibacterial candidate agents.

  9. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  10. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  11. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  12. Titanium Surface Priming with Phase-Transited Lysozyme to Establish a Silver Nanoparticle-Loaded Chitosan/Hyaluronic Acid Antibacterial Multilayer via Layer-by-Layer Self-Assembly.

    Directory of Open Access Journals (Sweden)

    Xue Zhong

    Full Text Available The formation of biofilm around implants, which is induced by immediate bacterial colonization after installation, is the primary cause of post-operation infection. Initial surface modification is usually required to incorporate antibacterial agents on titanium (Ti surfaces to inhibit biofilm formation. However, simple and effective priming methods are still lacking for the development of an initial functional layer as a base for subsequent coatings on titanium surfaces. The purpose of our work was to establish a novel initial layer on Ti surfaces using phase-transited lysozyme (PTL, on which multilayer coatings can incorporate silver nanoparticles (AgNP using chitosan (CS and hyaluronic acid (HA via a layer-by-layer (LbL self-assembly technique.In this study, the surfaces of Ti substrates were primed by dipping into a mixture of lysozyme and tris(2-carboxyethylphosphine (TCEP to obtain PTL-functionalized Ti substrates. The subsequent alternating coatings of HA and chitosan loaded with AgNP onto the precursor layer of PTL were carried out via LbL self-assembly to construct multilayer coatings on Ti substrates.The results of SEM and XPS indicated that the necklace-like PTL and self-assembled multilayer were successfully immobilized on the Ti substrates. The multilayer coatings loaded with AgNP can kill planktonic and adherent bacteria to 100% during the first 4 days. The antibacterial efficacy of the samples against planktonic and adherent bacteria achieved 65%-90% after 14 days. The sustained release of Ag over 14 days can prevent bacterial invasion until mucosa healing. Although the AgNP-containing structure showed some cytotoxicity, the toxicity can be reduced by controlling the Ag release rate and concentration.The PTL priming method provides a promising strategy for fabricating long-term antibacterial multilayer coatings on titanium surfaces via the LbL self-assembly technique, which is effective in preventing implant-associated infections

  13. Microtensile bond strength of silorane-based composite specific adhesive system using different bonding strategies

    OpenAIRE

    Bastos, Laura Alves; Sousa, Ana Beatriz Silva; Drubi-Filho, Brahim; Panzeri Pires-de-Souza, Fernanda de Carvalho; Garcia, Lucas da Fonseca Roberti

    2014-01-01

    Objectives The aim of this study was to evaluate the effect of pre-etching on the bond strength of silorane-based composite specific adhesive system to dentin. Materials and Methods Thirty human molars were randomly divided into 5 groups according to the different bonding strategies. For teeth restored with silorane-based composite (Filtek Silorane, 3M ESPE), the specific self-etching adhesive system (Adhesive System P90, 3M ESPE) was used with and without pre-etching (Pre-etching/S...

  14. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  15. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  16. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  17. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    Science.gov (United States)

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; padhesive, the treatment with potassium oxalate reduced the adhesives' KHN (psystem exhibiting the lowest KHN compared with the MP and SB systems.

  18. Analysis and antibacterial activity of Nigella sativa essential oil formulated in microemulsion system.

    Science.gov (United States)

    Shaaban, Hamdy A; Sadek, Zainab; Edris, Amr E; Saad-Hussein, Amal

    2015-01-01

    The Essential oil (EO) of Nigella sativa (black cumin) was extracted from the crude oil and the volatile constituents were characterized using gas chromatographic analysis. The EO was formulated in water-based microemulsion system and its antibacterial activity against six pathogenic bacteria was evaluated using the agar well diffusion method. This activity was compared with two other well known biologically active natural and synthetic antimicrobials namely eugenol and Ceftriaxone(®). Results showed that N. sativa EO microemulsion was highly effective against S. aureus, B. cereus and S. typhimurium even at the lowest tested concentration of that EO in the microemulsion (100.0 μg/well). Interestingly, the EO microemulsion showed higher antibacterial activity than Ceftriaxone solution against S. typhimurium at 400.0 μg/well and almost comparable activity against E. coli at 500.0 μg/well. No activity was detected for the EO microemulsion against L. monocytogenes and P. aeruginosa. Eugenol which was also formulated in microemulsion was less effective than N. sativa EO microemulsion except against P. aeruginosa. The synthetic antibiotic (Ceftriaxone) was effective against most of the six tested bacterial strains. This work is the first report revealing the formulation of N. sativa EO in microemulsion system and investigating its antibacterial activity. The results may offer potential application of that water-based microemulsion in controlling the prevalence of some pathogenic bacteria.

  19. Comparative evaluation of shear bond strength of two adhesive systems before and after contamination with oral fluids: An In vitro study

    Directory of Open Access Journals (Sweden)

    Nupur Kesar

    2017-01-01

    Full Text Available Aim: This study aims to evaluate the effect of saliva and blood contamination on the shear bond strength (SBS of self- and total-etch adhesive systems on enamel and dentin. Materials and Methods: Sample of 100 extracted noncarious primary molars were taken as experimental groups, which were divided into two groups: self-etch group and total-etch group containing fifty teeth each. These groups were further divided into five subgroups - 10 teeth each. The specimens were then subjected to contamination with saliva or blood; before and after application of adhesive agent, whereas, in control group, there was no contamination done before and after adhesive application. Fresh saliva and blood were used. After the bonding procedure, resin composite was built up with diameter and height of 3 mm. After that, the specimens were tested for SBS in universal testing machine at a crosshead speed of 1 cm/min. The results were then statistically analyzed using one-way ANOVA. Results: The results of the study revealed that the SBS of self-etch adhesive system was better than the total-etch adhesive system and there was significant difference found among various subgroups of total-etch group, i.e., when adhesive application was done before, after and without saliva or blood contamination. There was no significant difference found within the subgroups of self-etch group when adhesive application was done before, after, and without saliva contamination. In case of blood contamination, significant difference was found in SBS in both self- and total-etch groups.

  20. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  2. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane

    2012-10-03

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  3. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane; Chaieb, Saharoui

    2012-01-01

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  4. Influence of chlorhexidine concentration on microtensile bond strength of contemporary adhesive systems

    Directory of Open Access Journals (Sweden)

    Edson Alves de Campos

    2009-09-01

    Full Text Available The purpose of this study was to investigate the influence of chlorhexidine (CHX concentration on the microtensile bond strength (μTBS of contemporary adhesive systems. Eighty bovine central incisors were used in this study. The facial enamel surface of the crowns was abraded with 600-grit silicon carbide paper to expose flat, mid-coronal dentin surfaces. The tested materials were Scotchbond Multipurpose (SMP, Single-Bond (SB, Clearfil SE Bond (CSEB and Clearfil Tri S Bond (CTSB. All the materials were applied according to manufacturer's instructions and followed by composite application (Z250. The teeth were randomly divided into 16 groups: for the etch-and-rinse adhesives (SMP and SB, 0.12% or 2% CHX was applied prior to or after the acid etching procedure. For the self-etch adhesives (CSEB and CTSB 0.12% or 2% CHX was applied prior to the primer. Control groups for each one of the adhesive systems were also set up. The specimens were immediately submitted to μTBS testing and the data were analyzed using Analysis of Variance and the Tukey post hoc test (alpha = .01. The failure patterns of the specimens were observed using scanning electron microscopy. The effects of 2% CHX were statistically significant (p < 0.01 for the self-etch adhesives but were not significant for the etch-and-rinse adhesive systems. Analysis of the data demonstrated no statistical difference between the etch-and-rinse adhesive systems. CHX-based cavity disinfectants in concentrations higher than 0.12% should be avoided prior to the self-etch adhesive systems evaluated in this study to diminish the possibilities of reduction in bond strength.

  5. Ag/C:F Antibacterial and hydrophobic nanocomposite coatings

    Science.gov (United States)

    Kylián, Ondřej; Kratochvíl, Jiří; Petr, Martin; Kuzminova, Anna; Slavínská, Danka; Biederman, Hynek; Beranová, Jana

    Silver-based nanomaterials that exhibit antibacterial character are intensively studied as they represent promising weapon against multi-drug resistant bacteria. Equally important class of materials represent coatings that have highly water repellent nature. Such materials may be used for fabrication of anti-fogging or self-cleaning surfaces. The aim of this study is to combine both of these valuable material characteristics. Antibacterial and highly hydrophobic Ag/C:F nanocomposite films were fabricated by means of gas aggregation source of Ag nanoparticles and sputter deposition of C:F matrix. The nanocomposite coatings had three-layer structure C:F base layer/Ag nanoparticles/C:F top layer. It is shown that the increasing number of Ag nanoparticles in produced coatings leads not only in enhancement of their antibacterial activity, but also causes substantial increase of their hydrophobicity. Under optimized conditions, the coatings are super-hydrophobic with water contact angle equal to 165∘ and are capable to induce 6-log reduction of bacteria presented in solution within 4h.

  6. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  7. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  8. Tensile bond strength of indirect composites luted with three new self-adhesive resin cements to dentin

    Directory of Open Access Journals (Sweden)

    Cafer Türkmen

    2011-08-01

    Full Text Available OBJECTIVE: The aims of this study were to evaluate the tensile bond strengths between indirect composites and dentin of 3 recently developed self-adhesive resin cements and to determine mode of failure by SEM. MATERIAL AND METHODS: Exposed dentin surfaces of 70 mandibular third molars were used. Teeth were randomly divided into 7 groups: Group 1 (control group: direct composite resin restoration (Alert with etch-and-rinse adhesive system (Bond 1 primer/adhesive, Group 2: indirect composite restoration (Estenia luted with a resin cement (Cement-It combined with the same etch-and-rinse adhesive, Group 3: direct composite resin restoration with self-etch adhesive system (Nano-Bond, Group 4: indirect composite restoration luted with the resin cement combined with the same self-etch adhesive, Groups 5-7: indirect composite restoration luted with self-adhesive resin cements (RelyX Unicem, Maxcem, and Embrace WetBond, respectively onto the non-pretreated dentin surfaces. Tensile bond strengths of groups were tested with a universal testing machine at a constant speed of 1 mm/min using a 50 kgf load cell. Results were statistically analyzed by the Student's t-test. The failure modes of all groups were also evaluated. RESULTS: The indirect composite restorations luted with the self-adhesive resin cements (groups 5-7 showed better results compared to the other groups (p0.05. The surfaces of all debonded specimens showed evidence of both adhesive and cohesive failure. CONCLUSION: The new universal self-adhesive resins may be considered an alternative for luting indirect composite restorations onto non-pretreated dentin surfaces.

  9. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  10. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  11. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  12. Effect of dentin dehydration and composite resin polymerization mode on bond strength of two self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Pooran Samimi

    2016-01-01

    Full Text Available Background: Dual-cured composite resins are similar to self-cured composite resins in some of their clinical applications due to inadequate irradiation, lack of irradiation, or delayed irradiation. Therefore, incompatibility with self-etch adhesives (SEAs should be taken into account with their use. On the other, the extent of dentin dehydration has a great role in the quality of adhesion of these resin materials to dentin. The aim of this study was to investigate the effect of dentin dehydration and composite resin polymerization mode on bond strength of two SEAs. Materials and Methods: A total of 120 dentinal specimens were prepared from extracted intact third molars. Half of the samples were dehydrated in ethanol with increasing concentrations. Then Clearfil SE Bond (CSEB and Prompt L-Pop (PLP adhesives were applied in the two groups. Cylindrical composite resin specimens were cured using three polymerization modes: (1 Immediate light-curing, (2 delayed light-curing after 20 min, and (3 self-curing. Bond strength was measured using universal testing machine at a crosshead speed of 1 mm/min. Data were analyzed with two-way ANOVA and Duncan post hoc tests. Statistical significance was defined at P 0.05. PLP showed significant differences between subgroups with the lowest bond strength in hydrated dentin with delayed light-curing and self-cured mode of polymerization. Conclusion: Within the limitations of this study, a delay in composite resin light-curing or using chemically cured composite resin had a deleterious effect on dentin bond strength of single-step SEAs used in the study.

  13. Enhanced antibacterial activity of silver nanoparticles/halloysite nanotubes/graphene nanocomposites with sandwich-like structure.

    Science.gov (United States)

    Yu, Liang; Zhang, Yatao; Zhang, Bing; Liu, Jindun

    2014-04-11

    A sandwich-like antibacterial reagent (Ag/HNTs/rGO) was constructed through the direct growth of silver nanoparticles on the surface graphene-based HNTs nanosheets. Herein, various nanomaterials were combined by adhesion effect of DOPA after self-polymerization. Ag/HNTs/rGO possess enhanced antibacterial ability against E. coli and S. aureus compared with individual silver nanoparticles, rGO nanosheets or their nanocomposites.

  14. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  15. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  16. Characterization of antibacterial polyethersulfone membranes using the respiration activity monitoring system (RAMOS)

    NARCIS (Netherlands)

    Kochan, J.; Scheidle, M.; Erkel, J. van; Bikel, M.; Büchs, J.; Wong, J.E.; Melin, T.; Wessling, M.

    2012-01-01

    Membranes with antibacterial properties were developed using surface modification of polyethersulfone ultrafiltration membranes. Three different modification strategies using polyelectrolyte layer-by-layer (LbL) technique are described. The first strategy relying on the intrinsic antibacterial

  17. Effect of blood contamination with 1-step self-etching adhesives on microtensile bond strength to dentin.

    Science.gov (United States)

    Yoo, H M; Pereira, P N R

    2006-01-01

    This study evaluated the effect of blood contamination and decontamination methods on the microtensile bond strength of 1-step self-etching adhesive systems to dentin contaminated after adhesive application and light curing. Three commercially available "all-in-one" adhesives (One Up Bond F, Xeno III and Adper Prompt L-Pop) and 1 resin composite (Clearfil AP-X) were used. Third molars that had been stored in distilled water with 0.5% thymol at 4 degrees C were ground with #600 SiC paper under running water to produce a standardized smear layer. The specimens were randomly divided into groups according to the 3 adhesive systems. The adhesive systems were used under 3 conditions: no contamination, which was the control (C); contamination of the light-cured adhesive surface with blood and reapplication of adhesive (Contamination 1) and contamination of the light-cured adhesive surface with blood, then washing, drying and reapplication of the adhesive (Contamination 2). Following light curing of the adhesive, the resin composite was placed in 3 increments up to a 5-mm-thick layer on the bonded surface. All specimens were stored in distilled water at 37 degrees C for 24 hours. The microtensile bond strength was measured using a universal testing machine (EZ test), and data were analyzed by 1-way ANOVA followed by the Duncan test to make comparisons among the groups (p=0.05). After debonding, 5 specimens were selected from each group and examined in a scanning electron microscope to evaluate the modes of fracture. For all adhesives, contamination groups showed lower bond strength than the control (p0.05). For Xeno III and Adper Prompt L-Pop, contamination group #2 showed the lowest bond strength among the groups (pcontamination group #2 showed higher bond strength than contamination group #1 but showed no statistical significance between them (p>0.05).

  18. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  19. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  20. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  1. Effect of reactive and un-reactive substrates on photopolymerization of self-etching adhesives with different aggressiveness.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2013-01-01

    The study investigated the influence of reactive (enamel) and un-reactive (glass) substrates on photo-polymerization of self-etching adhesives. Two commercial adhesives Adper Prompt L-Pop (APLP, pH~0.8) and Adper Easy Bond (AEB, pH~2.5) were applied onto prepared enamel and glass substrates using the same protocol. Micro-Raman spectroscopy was employed to determine the degree of conversion (DC) and the involved mechanism. DC of APLP was dramatically enhanced from ~9.4% to ~82.0% as when changing from glass to enamel, while DC of AEB on both substrates showed no difference. The DC distributions along the adhesive layers of the APLP and AEB on enamel showed descending and constant trends, respectively. Spectral analysis disclosed that the difference in chemical reaction of the two adhesives with enamel might be associated with the results. The chemical reaction of the adhesives with enamel significantly improved the DC of the strong APLP, but not that of the mild AEB.

  2. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  3. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  4. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  5. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  6. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  7. The effect of additional enamel etching and a flowable composite to the interfacial integrity of Class II adhesive composite restorations.

    Science.gov (United States)

    Belli, S; Inokoshi, S; Ozer, F; Pereira, P N; Ogata, M; Tagami, J

    2001-01-01

    This in vitro study evaluated the interfacial integrity of Class II resin composite restorations. The influence of a flowable composite and additional enamel etching was also evaluated. Deep, saucer-shaped Class II cavities were prepared in the mesial and distal proximal surfaces of 25 extracted human molars and assigned to five treatment groups. The gingival margins were extended to approximately 1 mm above the CEJ in 40 cavities and below the CEJ in 10 cavities. The prepared cavities were then restored with a self-etching primer system (Clearfil Liner Bond II) and a hybrid resin composite (Clearfil AP-X), with and without a flowable composite (Protect Liner F) and additional enamel etching with 37% phosphoric acid gel (K-etchant). After finishing, polishing and thermocycling (4 and 60 degrees C, x300), the samples were longitudinally sectioned through the restorations and resin-tooth interfaces were observed directly under a laser scanning microscope. Statistical analysis indicated that the use of a flowable composite produced significantly more (p = 0.04) gap-free resin-dentin interfaces than teeth restored without the flowable composite. However, both flowable composite and enamel etching could not prevent gap formation at enamel-resin interfaces and crack formation on enamel walls.

  8. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  9. Deeply-etched micromirror with vertical slit and metallic coating enabling transmission-type optical MEMS filters

    Science.gov (United States)

    Othman, Muhammad A.; Sabry, Yasser M.; Sadek, Mohamed; Nassar, Ismail M.; Khalil, Diaa A.

    2016-03-01

    In this work we report a novel optical MEMS deeply-etched mirror with metallic coating and vertical slot, where the later allows reflection and transmission by the micromirror. The micromirror as well as fiber grooves are fabricated using deep reactive ion etching technology, where the optical axis is in-plane and the components are self-aligned. The etching depth is 150 μm chosen to improve the micromirror optical throughput. The vertical optical structure is Al metal coated using the shadow mask technique. A fiber-coupled Fabry-Pérot filter is successfully realized using the fabricated structure. Experimental measurements were obtained based on a dielectric-coated optical fiber inserted into a fiber groove facing the slotted micromirror. A versatile performance in terms of the free spectral range and 3-dB bandwidth is achieved.

  10. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  11. Shrink-Induced Superhydrophobic and Antibacterial Surfaces in Consumer Plastics

    Science.gov (United States)

    Freschauf, Lauren R.; McLane, Jolie; Sharma, Himanshu; Khine, Michelle

    2012-01-01

    Structurally modified superhydrophobic surfaces have become particularly desirable as stable antibacterial surfaces. Because their self-cleaning and water resistant properties prohibit bacteria growth, structurally modified superhydrophobic surfaces obviate bacterial resistance common with chemical agents, and therefore a robust and stable means to prevent bacteria growth is possible. In this study, we present a rapid fabrication method for creating such superhydrophobic surfaces in consumer hard plastic materials with resulting antibacterial effects. To replace complex fabrication materials and techniques, the initial mold is made with commodity shrink-wrap film and is compatible with large plastic roll-to-roll manufacturing and scale-up techniques. This method involves a purely structural modification free of chemical additives leading to its inherent consistency over time and successive recasting from the same molds. Finally, antibacterial properties are demonstrated in polystyrene (PS), polycarbonate (PC), and polyethylene (PE) by demonstrating the prevention of gram-negative Escherichia coli (E. coli) bacteria growth on our structured plastic surfaces. PMID:22916100

  12. The construction of hierarchical structure on Ti substrate with superior osteogenic activity and intrinsic antibacterial capability

    Science.gov (United States)

    Huang, Ying; Zha, Guangyu; Luo, Qiaojie; Zhang, Jianxiang; Zhang, Feng; Li, Xiaohui; Zhao, Shifang; Zhu, Weipu; Li, Xiaodong

    2014-01-01

    The deficient osseointegration and implant-associated infections are pivotal issues for the long-term clinical success of endosteal Ti implants, while development of functional surfaces that can simultaneously overcome these problems remains highly challenging. This study aimed to fabricate sophisticated Ti implant surface with both osteogenic inducing activity and inherent antibacterial ability simply via tailoring surface topographical features. Micro/submciro/nano-scale structure was constructed on Ti by three cumulative subtractive methods, including sequentially conducted sandblasting as well as primary and secondary acid etching treatment. Topographical features of this hierarchical structure can be well tuned by the time of the secondary acid treatment. Ti substrate with mere micro/submicro-scale structure (MS0-Ti) served as a control to examine the influence of hierarchical structures on surface properties and biological activities. Surface analysis indicated that all hierarchically structured surfaces possessed exactly the same surface chemistry as that of MS0-Ti, and all of them showed super-amphiphilicity, high surface free energy, and high protein adsorption capability. Biological evaluations revealed surprisingly antibacterial ability and excellent osteogenic activity for samples with optimized hierarchical structure (MS30-Ti) when compared with MS0-Ti. Consequently, for the first time, a hierarchically structured Ti surface with topography-induced inherent antibacterial capability and excellent osteogenic activity was constructed. PMID:25146099

  13. Artificial activation of toxin-antitoxin systems as an antibacterial strategy.

    Science.gov (United States)

    Williams, Julia J; Hergenrother, Paul J

    2012-06-01

    Toxin-antitoxin (TA) systems are unique modules that effect plasmid stabilization via post-segregational killing of the bacterial host. The genes encoding TA systems also exist on bacterial chromosomes, and it has been speculated that these are involved in a variety of cellular processes. Interest in TA systems has increased dramatically over the past 5 years as the ubiquitous nature of TA genes on bacterial genomes has been revealed. The exploitation of TA systems as an antibacterial strategy via artificial activation of the toxin has been proposed and has considerable potential; however, efforts in this area remain in the early stages and several major questions remain. This review investigates the tractability of targeting TA systems to kill bacteria, including fundamental requirements for success, recent advances, and challenges associated with artificial toxin activation. Copyright © 2012 Elsevier Ltd. All rights reserved.

  14. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  15. Antibacterial textiles

    NARCIS (Netherlands)

    Amrit, Usha

    2015-01-01

    The aim of this thesis was the antibacterial functionalization of textiles and its application in professional laundries. The antibacterial functionalization was meant for the various textile packages lent out by the laundry companies to their customers from hotels, hospital or food industries. The

  16. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  17. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  18. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  19. pKa value and buffering capacity of acidic monomers commonly used in self-etching primers.

    Science.gov (United States)

    Salz, Ulrich; Mücke, Angela; Zimmermann, Jörg; Tay, Franklin R; Pashley, David H

    2006-06-01

    The aim of this investigation was to characterize acidic monomers used in self-etching primers/adhesives by determination of their pKa values and by calculation of their calcium dissolving capacity in comparison with phosphoric and hydrochloric acid. The following acidic monomers were included in this study: 4-methacryloyloxyethyl trimellitate anhydride (4-META), 10-methacryloyloxydecyl dihydrogen phosphate (MDP), dimethacryloyloxyethyl hydrogen phosphate (di-HEMA-phosphate), ethyl 2-[4-(dihydroxyphosphoryl)-2-oxabutyl]acrylate (EAEPA), 2-[4-(dihydroxyphosphoryl)-2-ox-abutyl]acrylic acid (HAEPA), and 2,4,6 trimethylphenyl 2-[4-(dihydroxyphosphoryl)-2-oxabutyl]acrylate (MAEPA). The pKa values were obtained by titration with 0.1 mol/l NaOH in aqueous solution. The inflection points of the resulting potentiometric titration curve were determined as pKa values. In the case of the sparingly water-soluble acidic monomers MAEPA and 4-META, the co-solvent method using different water/ethanol ratios for MAEPA or water/acetone ratios for 4-META was used. The dissolving capacity of each acidic monomer is defined as the amount of hydroxyapatite (HA) dissolved by 1 g of acid. For each monomer, the HA dissolving capacity was calculated bythe corresponding pKa value and the molecular weight. To confirm the calculated dissolving capacities, increasing amounts of HA powder (100 mg portions) were slowly added to 15 mmol/l aqueous solutions of the monomers to determine how much HA could be dissolved in the acidic solutions. For all the investigated acidic monomers, pKal values between 1.7 to 2.5 were observed. The pKa2 values for the phosphate/phosphonate derivatives are between 7.0 and 7.3, and are comparable to phosphoric acid. For dicarboxylic acid derivatives, the pKa2 values are in the range of 4.2 to 4.5. Due to their comparable molecular weights and pKal values, the three tested acids di-HEMA phosphate, MDP and 4-META all possess comparable dissolving capacities for HA (ie, 0

  20. Nano-TiO2/polyurethane composites for antibacterial and self-cleaning coatings

    International Nuclear Information System (INIS)

    Charpentier, P A; Burgess, K; Wang, L; Chowdhury, R R; Lotus, A F; Moula, G

    2012-01-01

    Grafting from polymerization was used to synthesize nano-titania/polyurethane (nTiO 2 /polyurethane) composite coatings, where nTiO 2 was chemically attached to the backbone of the polyurethane polymer matrix with a bifunctional monomer, 2,2-bis(hydroxymethyl) propionic acid (DMPA). This bifunctional monomer can coordinate to nTiO 2 through an available –COOH group, with two available hydroxyl groups that can react with diisocyanate terminated pre-polyurethane through step-growth polymerization. The coordination reaction was monitored by FTIR and TGA, with the coordination reaction found to follow first order kinetics. After step-growth polymerization, the polyurethane nanocomposites were found to be stable on standing with excellent distribution of Ti in the polymer matrix without any significant agglomeration compared to simple physical mixtures of nTiO 2 in the polyurethane coatings. The functionalized nTiO 2 –polyurethane composite coatings showed excellent antibacterial activity against gram-negative bacteria Escherichia coli; 99% of E. coli were killed within less than one hour under solar irradiation. Self-cleaning was also demonstrated using stearic acid as a model for ‘dirt’. (paper)

  1. Ethno-medicinal uses and screening of plants for antibacterial activity from Similipal Biosphere Reserve, Odisha, India.

    Science.gov (United States)

    Panda, Sujogya Kumar

    2014-01-01

    The present study examined the variety and the extent of medicinal plants used in the health care system of tribal inhabitants of Similipal Biosphere Reserve. In addition to this, such plants were also screened for antibacterial properties against common pathogenic bacteria. Semi-structured interview was carried out with 42 informants (mean age 42, 86% male, 14% female) at 24 locations in and around SBR, regarding the use of plants for the treatment of various human ailments. Antibacterial screening is adopted with the documented ethnomedicinal plants using methanol and aqueous extracts against eight bacterial strains. A total of 187 plant species belonging to 74 families were documented for frequent medicinal uses against common ailments such as stomach problems, fever, skin diseases, diarrhea and dysentery. Although all parts of plant are used, leaves and bark are most common. Tribals used the plant parts both in form of decoction (taken orally as in internal problems) and paste (external use). Out of 187 plant species, 120 plants recorded antibacterial activity against test bacterial strain. This study revealed that self care using medicinal plants is a common practice by the tribes of SBR. About 64% of the used plants have scientifically proved medicinal values with respect to the antibacterial properties. © 2013 Elsevier Ireland Ltd. All rights reserved.

  2. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    International Nuclear Information System (INIS)

    Parvin, P.; Jaleh, B.; Zangeneh, H.R.; Zamanipour, Z.; Davoud-Abadi, Gh.R.

    2008-01-01

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler

  3. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Parvin, P. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of)], E-mail: parvin@aut.ac.ir; Jaleh, B. [Physics Department, Bu-Ali Sina University, Postal Code 65174, Hamedan (Iran, Islamic Republic of); Zangeneh, H.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Zamanipour, Z. [Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of); Davoud-Abadi, Gh.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of)

    2008-08-15

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler.

  4. Effect of Storage Time on Bond Strength and Nanoleakage Expression of Universal Adhesives Bonded to Dentin and Etched Enamel.

    Science.gov (United States)

    Makishi, P; André, C B; Ayres, Apa; Martins, A L; Giannini, M

    2016-01-01

    To investigate bond strength and nanoleakage expression of universal adhesives (UA) bonded to dentin and etched enamel. Extracted human third molars were sectioned and ground to obtain flat surfaces of dentin (n = 36) and enamel (n = 48). Dentin and etched enamel surfaces were bonded with one of two UAs, All-Bond Universal (ABU) or Scotchbond Universal (SBU); or a two-step self-etching adhesive, Clearfil SE Bond (CSEB). A hydrophobic bonding resin, Adper Scotchbond Multi-Purpose Bond (ASMP Bond) was applied only on etched enamel. Following each bonding procedure, resin composite blocks were built up incrementally. The specimens were sectioned and subjected to microtensile bond strength (MTBS) testing after 24 hours or one year water storage, or immersed into ammoniacal silver nitrate solution after aging with 10,000 thermocycles and observed using scanning electron microscopy. The percentage distribution of silver particles at the adhesive/tooth interface was calculated using digital image-analysis software. The MTBS (CSEB = SBU > ABU, for dentin; and CSEB > ABU = SBU = ASMP Bond, for etched enamel) differed significantly between the adhesives after 24 hours. After one year, MTBS values were reduced significantly within the same adhesive for both substrates (analysis of variance, Bonferroni post hoc, padhesives for etched enamel. Silver particles could be detected within the adhesive/dentin interface of all specimens tested. Kruskal-Wallis mean ranks for nanoleakage in ABU, SBU, and CSEB were 16.9, 18.5 and 11, respectively (p>0.05). In the short term, MTBS values were material and dental-substrate dependent. After aging, a decrease in bonding effectiveness was observed in all materials, with nanoleakage at the adhesive/dentin interface. The bonding of the UAs was equal or inferior to that of the conventional restorative systems when applied to either substrate and after either storage period.

  5. Colagem ortodôntica em esmalte com presença ou ausência de contaminação salivar: é necessário o uso de adesivo auto-condicionante ou de adesivo hidrofílico? Orthodontic bonding in dry and saliva contaminated enamel: is a self-etching primer or a moisture-insensitive primer necessary?

    Directory of Open Access Journals (Sweden)

    Cristiane Becher Rosa

    2008-06-01

    Full Text Available OBJETIVO: o objetivo deste trabalho foi avaliar a resistência ao cisalhamento da colagem ortodôntica de um adesivo hidrofílico (Transbond Moisture-Insensitive Primer, 3M Unitek, Monrovia, Califórnia, de um adesivo auto-condicionante (Transbond Self-Etching Primer, 3M Unitek, Monrovia, Califórnia, e sem uso de adesivo, em superfícies de esmalte secas ou contaminadas por saliva. METODOLOGIA: incisivos bovinos (60 foram divididos em 6 grupos: (1 controle sem contaminação salivar (sem adesivo, (2 controle com contaminação salivar (sem adesivo, (3 adesivo auto-condicionante sem contaminação salivar, (4 adesivo auto-condicionante com contaminação salivar antes do adesivo, (5 adesivo hidrofílico sem contaminação salivar e (6 adesivo hidrofílico com contaminação salivar antes do adesivo. Braquetes metálicos foram colados com compósito (Transbond XT, 3M Unitek, Monrovia, Califórnia. Após a colagem, os corpos-de prova foram armazenados a 37±1ºC em ambiente úmido até a realização do teste de cisalhamento. Diferença estatística foi determinada com valor de probabilidade de 0,05 ou menos (p AIM: The purpose of this study was to evaluate the shear bond strength of orthodontic bonding with the use of a hydrophilic primer (Transbond Moisture-Insensitive Primer, 3M Unitek, Monrovia, Calif., a self-etching primer (Transbond Plus Self-etching Primer, 3M Unitek, Monrovia, Calif. and without primer application, in dry and saliva contaminated enamel surfaces. METHODS: Bovine incisors (60 were divided into 6 groups: (1 uncontaminated control (no primer, (2 control with saliva contamination (no primer, (3 uncontaminated self-etching primer, (4 saliva contamination before self-etching primer, (5 uncontaminated hydrophilic primer and (6 saliva contamination before hydrophilic primer. Stainless steel brackets were bonded with composite resin (Transbond XT, 3M Unitek, Monrovia, Calif.. After bonding, all samples were stored at 37±1°C in a

  6. Layer-by-Layer (LBL) Self-Assembled Biohybrid Nanomaterials for Efficient Antibacterial Applications.

    Science.gov (United States)

    Wu, Yuanhao; Long, Yubo; Li, Qing-Lan; Han, Shuying; Ma, Jianbiao; Yang, Ying-Wei; Gao, Hui

    2015-08-12

    Although antibiotics have been widely used in clinical applications to treat pathogenic infections at present, the problem of drug-resistance associated with abuse of antibiotics is becoming a potential threat to human beings. We report a biohybrid nanomaterial consisting of antibiotics, enzyme, polymers, hyaluronic acid (HA), and mesoporous silica nanoparticles (MSNs), which exhibits efficient in vitro and in vivo antibacterial activity with good biocompatibility and negligible hemolytic side effect. Herein, biocompatible layer-by-layer (LBL) coated MSNs are designed and crafted to release encapsulated antibiotics, e.g., amoxicillin (AMO), upon triggering with hyaluronidase, produced by various pathogenic Staphylococcus aureus (S. aureus). The LBL coating process comprises lysozyme (Lys), HA, and 1,2-ethanediamine (EDA)-modified polyglycerol methacrylate (PGMA). The Lys and cationic polymers provided multivalent interactions between MSN-Lys-HA-PGMA and bacterial membrane and accordingly immobilized the nanoparticles to facilitate the synergistic effect of these antibacterial agents. Loading process was characterized by dynamic light scattering (DLS), transmission electron microscopy (TEM), thermogravimetric analysis (TGA), and X-ray diffraction spectroscopy (XRD). The minimal inhibition concentration (MIC) of MSN-Lys-HA-PGMA treated to antibiotic resistant bacteria is much lower than that of isodose Lys and AMO. Especially, MSN-Lys-HA-PGMA exhibited good inhibition for pathogens in bacteria-infected wounds in vivo. Therefore, this type of new biohybrid nanomaterials showed great potential as novel antibacterial agents.

  7. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  8. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  9. Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation

    International Nuclear Information System (INIS)

    Kubota, Tomohiro; Ohtake, Hiroto; Araki, Ryosuke; Yanagisawa, Yuuki; Samukawa, Seiji; Iwasaki, Takuya; Ono, Kohei; Miwa, Kazuhiro

    2013-01-01

    A system for predicting distortion of a profile during plasma etching was developed. The system consists of a combination of measurement and simulation. An ‘on-wafer sheath-shape sensor’ for measuring the plasma-sheath parameters (sheath potential and thickness) on the stage of the plasma etcher was developed. The sensor has numerous small electrodes for measuring sheath potential and saturation ion-current density, from which sheath thickness can be calculated. The results of the measurement show reasonable dependence on source power, bias power and pressure. Based on self-consistent calculation of potential distribution and ion- and electron-density distributions, simulation of the sheath potential distribution around an arbitrary 3D structure and the trajectory of incident ions from the plasma to the structure was developed. To confirm the validity of the distortion prediction by comparing it with experimentally measured distortion, silicon trench etching under chlorine inductively coupled plasma (ICP) was performed using a sample with a vertical step. It was found that the etched trench was distorted when the distance from the step was several millimetres or less. The distortion angle was about 20° at maximum. Measurement was performed using the on-wafer sheath-shape sensor in the same plasma condition as the etching. The ion incident angle, calculated as a function of distance from the step, successfully reproduced the experimentally measured angle, indicating that the combination of measurement by the on-wafer sheath-shape sensor and simulation can predict distortion of an etched structure. This prediction system will be useful for designing devices with large-scale 3D structures (such as those in MEMS) and determining the optimum etching conditions to obtain the desired profiles. (paper)

  10. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  11. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  12. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  13. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  14. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  15. Shear bond strength of three adhesive systems to enamel and dentin of permanent teeth

    Directory of Open Access Journals (Sweden)

    Niloofar Shadman

    2012-01-01

    Full Text Available Background and Aims: The purpose of this experimental study was to investigate the shear bond strength of three new adhesive systems to enamel and dentin of permanent human teeth using three new etch and rinse and self-etch adhesive systems.Materials and Methods: Sixty intact caries-free third molars were selected and randomly divided into 6 groups. Flat buccal and lingual enamel and dentin surfaces were prepared and mounted in the acrylic resin perpendicular to the plan of the horizon. Adhesives used in this study were Tetric N-Bond, AdheSE and AdheSE-One F (Ivoclar/Vivadent, Schaan, Liechtenstein. The adhesives were applied on the surfaces and cured with quartz tungsten halogen curing unit (600 mW/cm2 intensity for 20 s. After attaching composite to the surfaces and thermocycling (500 cycles, 5-55ºC, shear bond strength was measured using a universal testing machine at a crosshead speed of 0.5 mm/min. The failure modes were examined under a stereomicroscope. The data were statistically analyzed using T-test, one-way ANOVA, Tukey and Fisher's exact tests.Results: In enamel, Tetric N-Bond (28.57±4.58 MPa and AdheSE (21.97±7.6 MPa had significantly higher bond strength than AdheSE-One F (7.16±2.09 MPa (P0.05.Conclusion: Shear bond strength to dentin in Tetric N-Bond (etch and rinse system( was higher than self-etch adhesives (AdheSE and AdheSE-One F. The bond strength to enamel and dentin in two-step self-etch (AdheSE was higher than one-step self-etch (AdheSE-One F.

  16. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  17. Generation of Hybrid Peptide-Silver Nanoparticles for Antibacterial and Antifouling Applications

    KAUST Repository

    Seferji, Kholoud

    2018-01-01

    and antifouling agents. Our innovative antibacterial agents are hybrid peptide silver nanoparticles (CH-01-AgNPs) that are created de novo and in situ from a silver nitrate solution (AgNO3) in the presence of ultrashort self-assembling peptides compounds

  18. Synthesis of photothermal nanocomposites and their application to antibacterial assays

    Science.gov (United States)

    Yang, Ning; Wang, Chun; Wang, Xiaoyu; Li, Lidong

    2018-04-01

    In this work, we report a novel gold nanorod (AuNR)-based nanocomposite that shows strong binding to bacterium and high antibacterial efficiency. The AuNRs were used as a photothermal material to transform near-infrared radiation (NIR) into heat. We selected poly (acrylic acid) to modify the surface of the AuNRs based on a simple self-assembly method. After conjugation of the bacterium-binding molecule vancomycin, the nanocomposites were capable of efficiently gathering on the cell walls of bacteria. The nanocomposites exhibited a high bacterial inhibition capability owing to NIR-induced heat generation in situ. Therefore, the prepared photothermal nanocomposites show great potential for use in antibacterial assays.

  19. Evaluating the shear bond strength of enamel and dentin with or without etching: A comparative study between dimethacrylate-based and silorane-based adhesives

    Science.gov (United States)

    Hajizadeh, Hila; Nasseh, Atefeh; Rahmanpour, Naim

    2015-01-01

    Background Silorane-based composites and their specific self-etch adhesive were introduced to conquest the polymerization shrinkage of methacrylate-based composites. It has been shown that additional etching of enamel and dentin can improve the bond strength of self-etch methacrylate-based adhesives but this claim is not apparent about silorane-based adhesives. Our objective was to compare the shear bond strength (SBS) of enamel and dentin between silorane-based adhesive resin and a methacrylate-based resin with or without additional etching. Material and Methods 40 sound human premolars were prepared and divided into two groups: 1- Filtek P60 composite and Clearfil SE Bond adhesive; 2- Filtek P90 composite and Silorane adhesive. Each group divided into two subgroups: with or without additional etching. For additional etching, 37% acid phosphoric was applied before bonding procedure. A cylinder of the composite was bonded to the surface. After 24 hours storage and 500 thermo cycling between 5-55°C, shear bond strength was assessed with the cross head speed of 0.5 mm/min. Then, bonded surfaces were observed under stereomicroscope to determine the failure mode. Data were analyzed with two-way ANOVA and Fischer exact test. Results Shear bond strength of Filtek P60 composite was significantly higher than Filtek P90 composite both in enamel and dentin surfaces (Penamel or dentin for each of the composites (P>0.05). There was no interaction between composite type and additional etching (P>0.05). Failure pattern was mainly adhesive and no significant correlation was found between failure and composite type or additional etching (P>0.05). Conclusions Shear bond strength of methacrylate-based composite was significantly higher than silorane-based composite both in enamel and dentin surfaces and additional etching had no significant effect on shear bond strength in enamel or dentin for each of the composites. The mode of failure had no meaningful relation to the type of

  20. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  1. Photocatalytic antibacterial effects are maintained on resin-based TiO2 nanocomposites after cessation of UV irradiation.

    Directory of Open Access Journals (Sweden)

    Yanling Cai

    Full Text Available Photocatalysis induced by TiO2 and UV light constitutes a decontamination and antibacterial strategy utilized in many applications including self-cleaning environmental surfaces, water and air treatment. The present work reveals that antibacterial effects induced by photocatalysis can be maintained even after the cessation of UV irradiation. We show that resin-based composites containing 20% TiO2 nanoparticles continue to provide a pronounced antibacterial effect against the pathogens Escherichia coli, Staphylococcus epidermidis, Streptococcus pyogenes, Streptococcus mutans and Enterococcus faecalis for up to two hours post UV. For biomaterials or implant coatings, where direct UV illumination is not feasible, a prolonged antibacterial effect after the cessation of the illumination would offer new unexplored treatment possibilities.

  2. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  3. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  4. Effect of green tea extract on bonding durability of an etch-and-rinse adhesive system to caries-affected dentin

    Directory of Open Access Journals (Sweden)

    Carolina CARVALHO

    Full Text Available ABSTRACT Objective Green tea extract has been advocated as a matrix metalloproteinase (MMP inhibitor; however, its effect on bond durability to caries-affected dentin has never been reported. Thus, the aim of this in vitro study was to evaluate the effect of two MMP inhibitors (2% chlorhexidine and 2% green tea extract, applied after acid etching, on bond durability of an etch-and-rinse adhesive system to caries-affected dentin. Material and Methods Occlusal enamel was removed from third molars to expose the dentin surface, and the molars were submitted to a caries induction protocol for 15 days. After removal of infected dentin, specimens were conditioned with 37% phosphoric acid (15 seconds and randomly divided into three groups, according to the type of dentin pretreatment (n=10: NT: no treatment; GT: 2% green tea extract; CLX: 2% chlorhexidine. The etch-and-rinse adhesive system (Adper™ Single Bond 2, 3M ESPE, St. Paul, MN, USA was applied according to the manufacturer's instructions, and composite resin restorations were built on the dentin. After 24 hours, at 37°C, the resin-tooth blocks were sectioned perpendicularly to the adhesive interface in the form of sticks (0.8 mm2 of adhesive area and randomly subdivided into two groups according to when they were to be submitted to microtensile bond strength (μTBS testing: immediately or 6 months after storage in distilled water. Data were reported in MPa and submitted to two-way ANOVA for completely randomized blocks, followed by Tukey’s test (α=0.05. Results After 24 hours, there was no significant difference in the μTBS of the groups. After 6 months, the GT group had significantly higher μTBS values. Conclusion It was concluded that the application of 2% green tea extract was able to increase bond durability of the etch-and-rinse system to dentin. Neither the application of chlorhexidine nor non-treatment (NT - control had any effect on bond strength after water storage.

  5. Current dental adhesives systems. A narrative review.

    Science.gov (United States)

    Milia, Egle; Cumbo, Enzo; Cardoso, Rielson Jose A; Gallina, Giuseppe

    2012-01-01

    Adhesive dentistry is based on the development of materials which establish an effective bond with the tooth tissues. In this context, adhesive systems have attracted considerable research interest in recent years. Successful adhesive bonding depends on the chemistry of the adhesive, on appropriate clinical handling of the material as well as on the knowledge of the morphological changes caused on dental tissue by different bonding procedures. This paper outlines the status of contemporary adhesive systems, with particular emphasis on chemical characteristics and mode of interaction of the adhesives with enamel and dentinal tissues. Dental adhesives are used for several clinical applications and they can be classified based on the clinical regimen in "etch-and-rinse adhesives" and "self-etch adhesives". Other important considerations concern the different anatomical characteristics of enamel and dentine which are involved in the bonding procedures that have also implications for the technique used as well as for the quality of the bond. Etch-and-rinse adhesive systems generally perform better on enamel than self-etching systems which may be more suitable for bonding to dentine. In order to avoid a possible loss of the restoration, secondary caries or pulp damage due to bacteria penetration or due to cytotoxicity effects of eluted adhesive components, careful consideration of several factors is essential in selecting the suitable bonding procedure and adhesive system for the individual patient situation.

  6. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  7. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  8. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  9. Evaluation of Antibacterial Activity of Prosopis Juliflora (Sw.) Dc ...

    African Journals Online (AJOL)

    Background: The ethnobotanical importance of Prosopis juliflora is well-known in the folkloric system of medicine for the treatment of various ailments. Although, the study related to the antibacterial potential of this plant, from Central India is scanty. Material and methods: The in vitro antibacterial activity of Prosopis juliflora ...

  10. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  11. Microtensile Bond Strength and Micromorphology of Bur-cut Enamel Using Five Adhesive Systems.

    Science.gov (United States)

    Vinagre, Alexandra; Ramos, João; Messias, Ana; Marques, Fernando; Caramelo, Francisco; Mata, António

    2015-04-01

    This study compared the microtensile bond strengths (μTBS) of two etch-and-rinse (ER) (OptiBond FL [OBFL]; Prime & Bond NT [PBNT]) and three self-etching (SE) (Clearfil SE Bond [CSEB]; Xeno III [XIII]; Xeno V+ [XV+]) adhesives systems to bur-prepared human enamel considering active (AA) and passive (PA) application of the self-etching systems. Ninety-six enamel surfaces were prepared with a medium-grit diamond bur and randomly allocated into 8 groups to receive adhesive restorations: G1: OBFL; G2: PBNT; G3: CSEB/PA; G4: CSEB/ AA; G5: XIII/PA; G6: XIII/AA; G7: XV+/PA; G8: XV+/AA. After composite buildup, samples were sectioned to obtain a total of 279 bonded sticks (1 mm2) that were submitted to microtensile testing (μTBS; 0.5 mm/min) after 24-h water storage (37°C). Etching patterns and adhesive interfacial ultramorphology were also evaluated with confocal laser scanning (CLSM) and scanning electron microscopy (SEM). Data was analyzed with one-way ANOVA (α = 0.05). Weibull probabilistic distribution was also determined. Regarding μTBS, both adhesive system and application mode yielded statistically significant differences (p systems together with CSEB/AA and XIII/PA recorded the highest and statistically similar bond strength results. XV+ presented very low bond strength values, regardless of the application mode. Among self-etching adhesives, CSEB produced significantly higher μTBS values when applied actively. Qualitative evaluation by SEM and CLSM revealed substantial differences between groups both in adhesive interfaces and enamel conditioning patterns. ER and SE adhesive systems presented distinctive bond strengths to bur-cut enamel. The application mode effect was adhesive dependent. Active application improved etching patterns and resin interfaces micromorphology.

  12. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  13. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  14. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  15. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  16. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  17. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  18. Bond strength durability of self-etching adhesives and resin cements to dentin

    Directory of Open Access Journals (Sweden)

    Carolina de Andrade Lima Chaves

    2009-06-01

    Full Text Available OBJECTIVES: To evaluate the microtensile bond strength (µTBS of one- (Xeno III, Dentsply and two-step (Tyrian-One Step Plus, Bisco self-etching adhesive systems bonded to dentin and cemented to chemically cured (C&B Metabond or light-cured paste of a dual-cure resin cement (Variolink II, Ivoclar within a short (24 h and long period of evaluation (90 days. MATERIAL AND METHODS: Forty recently extracted human molars had their roots removed and their occlusal dentin exposed and ground wet with 600-grit SiC paper. After application of one of the adhesives, the resin cement was applied to the bonded surface and a composite resin block was incrementally built up to a height of 5 mm (n=10. The restored teeth were stored in distilled water at 37ºC for 7 days. The teeth were then cut along two axes (x and y, producing beam-shaped specimens with 0.8 mm² cross-sectional area, which were subjected to µTBS testing at a crosshead speed of 0.05 mm/min and stressed to failure after 24 h or 90 days of storage in water. The µTBS data in MPa were subjected to three-way analysis of variance and Tukey's test (α= 0.05. RESULTS: The interaction effect for all three factors was statistically significant (three-way ANOVA, p<0.001. All eight experimental means (MPa were compared by the Tukey's test (p<0.05 and the following results were obtained: Tyrian-One Step Plus /C&B/24 h (22.4±7.3; Tyrian-One Step Plus /Variolink II/24 h (39.4±11.6; Xeno III/C&B/24 h (40.3±12.9; Xeno III/Variolink II/24 h (25.8±10.5; Tyrian-One Step Plus /C&B/90 d (22.1±12.8 Tyrian-One Step Plus/VariolinkII/90 d (24.2±14.2; Xeno III/C&B/90 d (27.0±13.5; Xeno III/Variolink II/90 d (33.0±8.9. CONCLUSIONS: Xeno III/Variolink II was the luting agent/adhesive combination that provided the most promising bond strength after 90 days of storage in water.

  19. Classification review of dental adhesive systems: from the IV generation to the universal type

    OpenAIRE

    Sofan, Eshrak; Sofan, Afrah; Palaia, Gaspare; Tenore, Gianluca; Romeo, Umberto; Migliau, Guido

    2017-01-01

    Adhesive dentistry has undergone great progress in the last decades. In light of minimal-invasive dentistry, this new approach promotes a more conservative cavity design, which relies on the effectiveness of current enamel-dentine adhesives. Adhesive dentistry began in 1955 by Buonocore on the benefits of acid etching. With changing technologies, dental adhesives have evolved from no-etch to total-etch (4th and 5th generation) to self-etch (6th, 7th and 8th generation) systems. Currently, bon...

  20. High-uniformity centimeter-wide Si etching method for MEMS devices with large opening elements

    Science.gov (United States)

    Okamoto, Yuki; Tohyama, Yukiya; Inagaki, Shunsuke; Takiguchi, Mikio; Ono, Tomoki; Lebrasseur, Eric; Mita, Yoshio

    2018-04-01

    We propose a compensated mesh pattern filling method to achieve highly uniform wafer depth etching (over hundreds of microns) with a large-area opening (over centimeter). The mesh opening diameter is gradually changed between the center and the edge of a large etching area. Using such a design, the etching depth distribution depending on sidewall distance (known as the local loading effect) inversely compensates for the over-centimeter-scale etching depth distribution, known as the global or within-die(chip)-scale loading effect. Only a single DRIE with test structure patterns provides a micro-electromechanical systems (MEMS) designer with the etched depth dependence on the mesh opening size as well as on the distance from the chip edge, and the designer only has to set the opening size so as to obtain a uniform etching depth over the entire chip. This method is useful when process optimization cannot be performed, such as in the cases of using standard conditions for a foundry service and of short turn-around-time prototyping. To demonstrate, a large MEMS mirror that needed over 1 cm2 of backside etching was successfully fabricated using as-is-provided DRIE conditions.

  1. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  2. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  3. Antibacterial activity of Ficus capensis

    African Journals Online (AJOL)

    STORAGESEVER

    2008-05-16

    Allium sativum) is used to reduce cholesterol levels and to boost immune system; it lowers high blood pressure and its oil has been seen to have antibacterial properties (Juurlink, 2001). Milk thistle. Silybum marianum has also ...

  4. Shear bond strength of hydrophilic adhesive systems to enamel.

    Science.gov (United States)

    Hara, A T; Amaral, C M; Pimenta, L A; Sinhoreti, M A

    1999-08-01

    To compare the enamel shear bond strength of four hydrophilic adhesive systems: one multiple-bottle (Scotchbond Multi-Purpose Plus), two one-bottle (Stae, Single Bond) and one self-etching (Etch & Prime). 120 bovine incisor teeth were obtained, embedded in polyester resin, polished to 600 grit to form standardized enamel surfaces, and randomly assigned to four groups (n = 30). Each adhesive system was used on enamel according to the manufacturer's instructions, and resin-based composite (Z100) cylinders with 3 mm diameter and 5 mm height were bonded. Specimens were stored in humid environment for 1 week, and bond strength was determined using a universal testing machine, at a crosshead speed of 0.5 mm/minute. The mean shear bond strength values (MPa +/- SD) were: Single Bond: 24.28 +/- 5.27 (a); Scotchbond Multi-Purpose Plus: 21.18 +/- 4.35 (ab); Stae: 19.56 +/- 4.71 (b); Etch & Prime 3.0: 15.13 +/- 4.92 (c). ANOVA revealed significant difference in means (P < 0.01) and Tukey's test showed the statistical differences that are expressed by different letters for each group. It could be concluded that the self-etching adhesive system did not provide as good a bond to enamel surface, as did the one- and multiple-bottle systems.

  5. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  6. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  7. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  8. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  9. Self-assembled monolayers of semi-fluorinated thiols and disulfides with a potentially antibacterial terminal fragment on gold surfaces

    International Nuclear Information System (INIS)

    Thebault, P.; Taffin de Givenchy, E.; Guittard, F.; Guimon, C.; Geribaldi, S.

    2008-01-01

    Attempts to elaborate the best organized cationic self-assembled monolayers (SAMs) with sulfur derivatives containing potentially bactericidal quaternary ammonium salt moieties have been performed on gold with the final aim to obtain contact-active antibacterial surfaces. Four molecules bearing two hydrocarbon spacers with different lengths between the sulfur atom and the quaternized nitrogen atom, and two different terminal semi-fluorinated alkyl chains have been synthesised and used in view to evaluate their capacity for leading to the highest densities and the highest organization of potentially active molecules on the metal surface. The formation and quality of SAMs characterized by X-ray photoelectron spectroscopy, Internal Reflexion Infra Red Imaging, contact angle and blocking factor measurements depend on the lengths of both the hydrocarbon spacer and terminal perfluorinated chain

  10. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  11. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  12. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  13. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  14. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  15. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  16. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  17. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  18. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  19. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  20. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  1. Experimental etch-and-rinse adhesive systems containing MMP-inhibitors: Physicochemical characterization and resin-dentin bonding stability.

    Science.gov (United States)

    da Silva, Eduardo Moreira; de Sá Rodrigues, Carolina Ullmann Fernandes; de Oliveira Matos, Marcos Paulo; de Carvalho, Thais Rodrigues; dos Santos, Glauco Botelho; Amaral, Cristiane Mariote

    2015-12-01

    To evaluate the degree of conversion (DC%), water sorption (WS), solubility (SO) and the resin-dentin bonding stability (μTBS) of experimental (EXP) etch-and-rinse adhesive systems containing MMP-inhibitors: Galardin-GAL, Batimastat-BAT, GM1489-GM1 and chlorhexidine diacetate-CHX. DC% was measured using FT-IR spectroscopy, while WS and SO were calculated based on ISO4049. Thirty-six human molars were wet ground until the occlusal dentin was exposed. The adhesive systems were applied and resin composite buildups were incrementally constructed. After 24 h immersion in distilled water at 37 °C, the specimens were cut into resin-dentin beams with a cross-sectional area of 1 mm(2). The μTBS was evaluated after 24 h, 6 months and 12 months of water storage at 37 °C. Adper Single Bond 2 (SB2) was used as a commercial control. The data were analyzed using ANOVA and Tukey's HSD test. SB2 presented the highest DC% (p0.05). SO was found to be not significant (p>0.05). All adhesive systems maintained μTBS stability after 6 months of water storage. Only BAT, GM1 and CHX maintained μTBs stability after 12 months of water storage. The experimental adhesive systems with GM1489 and chlorhexidine diacetate presented the best physicochemical properties and preserved resin-dentin bonding stability after 12 months of water storage. GM1489 could be suitable for inclusion as an MMP-inhibitor in etch-and-rinse adhesive systems to maintain resin-dentin bonding stability over time. Copyright © 2015. Published by Elsevier Ltd.

  2. Antibacterial property of CuCrO{sub 2} nanopowders prepared by a self-combustion glycine nitrate process

    Energy Technology Data Exchange (ETDEWEB)

    Nien, Yung-Tang, E-mail: ytnien@nfu.edu.tw [Deparment of Materials Science and Engineering, National Formosa University, Yunlin, 63201, Taiwan (China); Hu, Mon-Ru [Deparment of Materials Science and Engineering, National Formosa University, Yunlin, 63201, Taiwan (China); Chiu, Te-Wei [Department of Materials and Mineral Resources Engineering, National Taipei University of Technology, Taipei, 10608, Taiwan (China); Chu, Jaw-Shiow [Bioresource Collection and Research Center, Food Industry Research and Development Institute, Hsinchu, 30062, Taiwan (China)

    2016-08-15

    Porous CuCrO{sub 2} nanopowders were prepared via glycine nitrate process (GNP) at 175 °C in ambient air. The X-ray diffraction patterns showed a pure delafossite phase of CuCrO{sub 2} with numerous broad peaks, indicating a crystallite size of approximately 20 nm. The surface area of the CuCrO{sub 2} nanopowders was larger than 50 m{sup 2}/g, nearly 100 times greater than that of bulk powders (0.47 m{sup 2}/g). Pathogenic Gram-negative bacteria Escherichia coli (E. coli) were chosen as the antibacterial evaluation indicators for both the nanopowders and bulk powders. The results showed that 1750 ppm nanopowders inhibited the growth of E. coli. As a control, the bulk powders showed a normal growth profile. The antibacterial property of the CuCrO{sub 2} nanopowders can be attributed to the extremely large surface area, which induces rapid release of Cu ions and strong adhesion of nanopowders to bacteria. - Highlights: • CuCrO{sub 2} nanopowders was prepared by low-temperature glycine nitrate process. • 1250–1500 ppm of CuCrO{sub 2} nanopowders were found to depress the growth of Escherichia coli. • Bulk powders by the solid state reaction exhibited no antibacterial property. • The antibacterial property of nanopowders was attributed to rapid Cu ion releases. • Heavy adhesion of nanopowders to bacteria also resulted in antibacterial property.

  3. Bio-inspired nanobowl/nanoball structures fabricated via solvent etching/swelling on nanosphere assembly patterns

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wan-Yi; Liu, Pang-Hsin; Wu, You [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Chung, Yi-Chang, E-mail: ycchung@nuk.edu.tw [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    Ordered self-assembled nanopatterns have attracted much attention for their ability to mimic moth-eye structures and display unique optical properties. In the study, emulsifier-free emulsion polymerization was performed to prepare polystyrene nanospheres with uniform size distribution. Various hydrophilic monomers were added to copolymerize with styrene, including 2-hydroxyethyl methacrylate, acrylic acid, and methyl acrylic acid, respectively, to enhance the self-assembling ability of nanospheres. The nanosphere suspension was injected into an air–water interface to self-assemble a nanosphere array, and then the resulting photonic crystal film was deposited on a substrate using a scooping transfer technique. The layer-by-layer scooping transfer technique can be applied to produce 2D and 3D assembled nanosphere layers on an area as large as a 4-inch wafer. The pattern of the 2D nanosphere array was attached to a UV-curable precursor surface and then encapsulated and transferred to the crosslinked resin after UV irradiation. The sample was then immersed into some solvents which could partially swell the resin surface to produce nanoball structures or etch the surface to generate nanobowl structures. The size of the as-prepared polystyrene spheres was about 360 nm, while the feature size of the nanoballs was about 230 nm after undergoing acetonitrile swelling. The facile and inexpensive technique can be applied to produce ordered nanoball patterns for various applications, such as optical coatings, superhydrophobic coatings, biophotosensors, antireflection films, dry adhesives, and so on. - Highlights: • We prepared core-shell PS nanosphere suspensions with narrow-size-distribution. • We employed a scooping technique to fabricate large-area nanosphere monolayers. • Swelling by acetonitrile formed nanoballs on a UV resin/nanosphere laminated layer. • Etching by toluene produced nanobowl on the UV resin/nanosphere laminated layer. • The parted nanoball

  4. Plasma Etching for Failure Analysis of Integrated Circuit Packages

    NARCIS (Netherlands)

    Tang, J.; Schelen, J.B.J.; Beenakker, C.I.M.

    2011-01-01

    Plastic integrated circuit packages with copper wire bonds are decapsulated by a Microwave Induced Plasma system. Improvements on microwave coupling of the system are achieved by frequency tuning and antenna modification. Plasmas with a mixture of O2 and CF4 showed a high etching rate around 2

  5. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  6. Long-term bond strength of adhesive systems applied to etched and deproteinized dentin

    Directory of Open Access Journals (Sweden)

    Ninoshka Uceda-Gómez

    2007-12-01

    Full Text Available The aim of this study was to evaluate the early and 12-month bond strength of two adhesive systems (Single Bond-SB and One Step-OS applied to demineralized dentin (WH and demineralized/NaOCl-treated dentin (H. Twenty flat dentin surfaces were exposed, etched, rinsed and slightly dried. For the H groups, a solution of 10% NaOCl was applied for 60 s, rinsed (15 s and slightly dried. The adhesives were applied according to the manufacturer's instructions and composite resin crowns were incrementally constructed. After 24 h (water-37ºC, the specimens was sectioned in order to obtain resin-dentin sticks (0.8 mm². The specimens were tested in microtensile (0.5 mm/min immediately (IM or after 12 months of water storage (12M. The data (MPa were subjected to ANOVA and Tukey's test (a=0.05. Only the main factors adhesive and time were significant (p=0.004 and p=0.003, respectively. SB (42.3±9.1 showed higher bond strengths than OS (33.6±11.6. The mean bond strength for IM-group (42.5±8.7 was statistically superior to 12M (33.3±11.8. The use of 10% NaOCl, after acid etching, did not improve the immediate and the long-term resin-dentin bond strength.

  7. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  8. Toward three-dimensional microelectronic systems: directed self-assembly of silicon microcubes via DNA surface functionalization.

    Science.gov (United States)

    Lämmerhardt, Nico; Merzsch, Stephan; Ledig, Johannes; Bora, Achyut; Waag, Andreas; Tornow, Marc; Mischnick, Petra

    2013-07-02

    The huge and intelligent processing power of three-dimensional (3D) biological "processors" like the human brain with clock speeds of only 0.1 kHz is an extremely fascinating property, which is based on a massively parallel interconnect strategy. Artificial silicon microprocessors are 7 orders of magnitude faster. Nevertheless, they do not show any indication of intelligent processing power, mostly due to their very limited interconnectivity. Massively parallel interconnectivity can only be realized in three dimensions. Three-dimensional artificial processors would therefore be at the root of fabricating artificially intelligent systems. A first step in this direction would be the self-assembly of silicon based building blocks into 3D structures. We report on the self-assembly of such building blocks by molecular recognition, and on the electrical characterization of the formed assemblies. First, planar silicon substrates were functionalized with self-assembling monolayers of 3-aminopropyltrimethoxysilane for coupling of oligonucleotides (single stranded DNA) with glutaric aldehyde. The oligonucleotide immobilization was confirmed and quantified by hybridization with fluorescence-labeled complementary oligonucleotides. After the individual processing steps, the samples were analyzed by contact angle measurements, ellipsometry, atomic force microscopy, and fluorescence microscopy. Patterned DNA-functionalized layers were fabricated by microcontact printing (μCP) and photolithography. Silicon microcubes of 3 μm edge length as model objects for first 3D self-assembly experiments were fabricated out of silicon-on-insulator (SOI) wafers by a combination of reactive ion etching (RIE) and selective wet etching. The microcubes were then surface-functionalized using the same protocol as on planar substrates, and their self-assembly was demonstrated both on patterned silicon surfaces (88% correctly placed cubes), and to cube aggregates by complementary DNA

  9. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  10. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  11. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  12. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  13. Influence of warm air-drying on enamel bond strength and surface free-energy of self-etch adhesives.

    Science.gov (United States)

    Shiratsuchi, Koji; Tsujimoto, Akimasa; Takamizawa, Toshiki; Furuichi, Tetsuya; Tsubota, Keishi; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2013-08-01

    We examined the effect of warm air-drying on the enamel bond strengths and the surface free-energy of three single-step self-etch adhesives. Bovine mandibular incisors were mounted in self-curing resin and then wet ground with #600 silicon carbide (SiC) paper. The adhesives were applied according to the instructions of the respective manufacturers and then dried in a stream of normal (23°C) or warm (37°C) air for 5, 10, and 20 s. After visible-light irradiation of the adhesives, resin composites were condensed into a mold and polymerized. Ten samples per test group were stored in distilled water at 37°C for 24 h and then the bond strengths were measured. The surface free-energies were determined by measuring the contact angles of three test liquids placed on the cured adhesives. The enamel bond strengths varied according to the air-drying time and ranged from 15.8 to 19.1 MPa. The trends for the bond strengths were different among the materials. The value of the γS⁺ component increased slightly when drying was performed with a stream of warm air, whereas that of the γS⁻ component decreased significantly. These data suggest that warm air-drying is essential to obtain adequate enamel bond strengths, although increasing the drying time did not significantly influence the bond strength. © 2013 Eur J Oral Sci.

  14. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  15. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  16. Comparison of shear bond strength of self-etching fluoride releasing adhesives with and without pumice prophylaxis

    Directory of Open Access Journals (Sweden)

    V R Shobbana Devi

    2015-01-01

    Conclusions: Fluoride releasing adhesives combined with antibacterial monomer can play a vital role in reducing white spot lesions by enhancing the cariostatic effect especially in noncompliant\\medically compromised patients.

  17. Use of CR-39 foils for personnel neutron dosimetry: improved electrochemical etching chambers and procedures

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1986-01-01

    The electrochemical etching procedures for the new dosimetry system that uses foils of CR-39 plastic has been improved. During 1985, the etching chambers were modified to correct several problems and the changes to the etching procedures were studied, which gave a more uniform track density and size. The currently recommended etch parameters are given. A new generation of CR-39 material from the manufacturer proved to have a considerably lower background track density and a higher sensitivity; the new foils are also more uniform in thickness, which eliminates the need to numerically compensate for thickness variations. The energy dependence of the CR-39 using monoenergetic neutrons from accelerators at Battelle Northwest Laboratories and at Los Alamos National Laboratory was determined. Some variation was found in the energy dependence, but it is believed this was caused by changes in the etching procedures and by uncertainties in the fluences of the neutrons from the accelerators. A means by which the counting of CR-39 tracks may be automated is suggested; this would be very useful in adapting the CR-39 dosimetry system to large-scale use

  18. Generation of Hybrid Peptide-Silver Nanoparticles for Antibacterial and Antifouling Applications

    KAUST Repository

    Seferji, Kholoud

    2018-05-01

    An alarming increase of antibiotic-resistant bacterial strains has made the demand for novel antibacterial agents, for example, more effective antibiotics, highly crucial. One of the oldest antimicrobial agents is elementary silver which has been used for thousands of years. Even in our days, elementary silver is used for medical purposes, such as for burns, wounds, and microbial infections. We have taken the effectiveness of elementary silver into consideration to generate novel antibacterial and antifouling agents. Our innovative antibacterial agents are hybrid peptide silver nanoparticles (CH-01-AgNPs) that are created de novo and in situ from a silver nitrate solution (AgNO3) in the presence of ultrashort self-assembling peptides compounds. The nucleation of CH-01-AgNPs is initiated by irradiating the peptide solution mixed with the AgNO3 solution using ultraviolet (UV) light at a wavelength of 254 nm, in the absence of any reducing or capping agents. Obviously, the peptide itself serves as the reducing agent. The ultrashort peptides are four amino acids in length with an innate ability to self-assemble into nanofibrous scaffolds. Using these ultrashort peptides CH-01 we were able to create hybrid peptide silver nanoparticles CH-01-AgNPs with a diameter of 4-6 nm. The synthesized CH-01-AgNPs were further characterized using ultraviolet-visible spectroscopy, transmission electron microscopy, dynamic light scattering, and X-ray photoelectron spectroscopy. The antibacterial and antifouling activity of CH-01-AgNPs were then investigated using either gram-negative bacteria, such as antibiotic-resistant Top10 Escherichia coli and Pseudomonas aeruginosa PDO300, or gram-positive bacteria, such as Staphylococcus aureus CECT 976. The hybrid nanoparticles demonstrated very promising antibacterial and antifouling activity with higher antibacterial and antifouling activity as commercial silver nanoparticles. Quantitative Polymerase Chain Reaction (qPCR) results showed

  19. Evaluation of in vitro antibacterial effect of room curing polymethylmethacrylate material adding nano-silver base inorganic antibacterial agents

    International Nuclear Information System (INIS)

    Jia Chunli; Wang Xiaorong; Zhang Citong; Sun Shiqun; Yang Yun

    2012-01-01

    Objective: To investigate the antibacterial effect of room curing polymethylmethacrylate (PMMA) material adding nano-silver base inorganic antibacterial agent and to detect the changes of its mechanical property. Methods: Nano-silver base inorganic antibacterial agent was added to the room curing PMMA material in the range of 0.5% -3.0% at an interval of 0.5% by ball milling specimen. Antibacterial rates of the specimens were detected by film method. Bending strength, impact strength, and wear resistance of the specimens were respectively detected on electronic universal testing machine, impact test machine and friction and wear test machine. Results: The antibacterial rates of Streptococcus mutans and Candida albicans were more than 50% when antibiotics content was 1.0% . The antibacterial rates of Streptococcus mutans and Candida albicans were more than 90% when the antibiotics content was 2.5% . The three mechanical properties were increased compared with control group when the antibacterial agents were in the range of 1.0% -1.5% . Then the three mechanical properties were decreased with the increasing of antimicrobial concentration. When the antibiotics content was 2.0% , the wear resistance had significant difference compared with control group (P<0.05); when the antibiotics content was 2.5% , the bending strength and impact strength had significant difference compared with control group (P<0.05). Conclusion: The antibacterial effect of room curing PMMA adding nano-silver base inorganic antibacterial agent is ideal. The antibacterial rate is increased gradually with the increasing content of antibacterial agents. There is no significant effect on the mechanical properties of room curing PMMA material, but the antibacterial effects are satisfied when the content of antibacterial agents is 2.0% . (authors)

  20. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  1. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  2. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  3. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  4. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  5. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  6. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    Science.gov (United States)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  7. Sealing effectiveness of fissure sealant bonded with universal adhesive systems on saliva-contaminated and noncontaminated enamel.

    Science.gov (United States)

    Memarpour, Mahtab; Shafiei, Fereshteh; Zarean, Mehran; Razmjoei, Faranak

    2018-01-01

    The effectiveness of sealants is dependent upon their adhesion to enamel surface. The aim of the study was to evaluate the sealing ability of a pit and fissure sealant used with a universal adhesive (etch-and-rinse vs. self-etch modes) when the site is contaminated with saliva. Adhesive properties were evaluated as microleakage and scanning electron microscopic (SEM) characteristics. A total of 72 mandibular third molars were randomly divided into 6 groups (n=12). Occlusal pits and fissures were sealed with an unfilled resin fissure sealant (FS) material with or without saliva contamination. The groups included: 1) phosphoric acid etching + FS (control), 2) phosphoric acid etching + Scotchbond Universal (etch-and-rinse) + FS, 3) phosphoric acid etching + saliva + Scotchbond Universal (etch-and-rinse) + FS, 4) Scotchbond Universal (self-etching) + FS,5) Scotchbond Universal (self-etching) + saliva + FS, and 6) Scotchbond Universal (self-etching) + saliva + Scotchbond Universal + FS. After thermocycling, the teeth were placed in 0.5% fuchsin, sectioned, and evaluated by digital microscopy. Two samples from each group were also observed by SEM. The data were analyzed with Kruskal-Wallis and Mann-Whitney tests for a significance of p universal adhesive in etch-and-rinse or self-etch modes was similar to that of conventional acid etching. Saliva contamination had a negative effect on sealant adhesion to pretreated enamel. Key words: Pit and fissure sealant, Universal adhesive, Saliva.

  8. Influence of previous acid etching on bond strength of universal adhesives to enamel and dentin.

    Science.gov (United States)

    Torres, Carlos Rocha Gomes; Zanatta, Rayssa Ferreira; Silva, Tatiane Josefa; Huhtala, Maria Filomena Rocha Lima; Borges, Alessandra Bühler

    2017-01-01

    The objective of this study was to evaluate the effect of acid pretreatment on the bond strength of composite resin bonded to enamel and dentin with 2 different universal self-etching adhesives. The null hypothesis was that the acid treatment performed prior to adhesive application would not significantly change the bond strength to enamel or dentin for either universal adhesive tested. A sample of 112 bovine incisors were selected and embedded in acrylic resin. Half were ground until a flat enamel surface was obtained, and the other half were polished until a 6 × 6-mm area of dentin was exposed, resulting into 2 groups (n = 56). The enamel and dentin groups were divided into 2 subgroups according to the adhesive system applied: Futurabond U or Scotchbond Universal. Each of these subgroups was divided into 2 additional subgroups (n = 14); 1 subgroup received phosphoric acid pretreatment, and 1 subgroup did not. The bond strength was assessed with a microtensile test. Data from enamel and dentin specimens were analyzed separately using 1-way analysis of variance. The acid pretreatment did not significantly change the bond strength of the adhesives tested, either to enamel (P = 0.4161) or to dentin (P = 0.4857). The acid etching pretreatment did not affect the bond strength to dentin and enamel when the tested universal multipurpose adhesive systems were used.

  9. Carbon Nanomaterials as Antibacterial Colloids

    Directory of Open Access Journals (Sweden)

    Michael Maas

    2016-07-01

    Full Text Available Carbon nanomaterials like graphene, carbon nanotubes, fullerenes and the various forms of diamond have attracted great attention for their vast potential regarding applications in electrical engineering and as biomaterials. The study of the antibacterial properties of carbon nanomaterials provides fundamental information on the possible toxicity and environmental impact of these materials. Furthermore, as a result of the increasing prevalence of resistant bacteria strains, the development of novel antibacterial materials is of great importance. This article reviews current research efforts on characterizing the antibacterial activity of carbon nanomaterials from the perspective of colloid and interface science. Building on these fundamental findings, recent functionalization strategies for enhancing the antibacterial effect of carbon nanomaterials are described. The review concludes with a comprehensive outlook that summarizes the most important discoveries and trends regarding antibacterial carbon nanomaterials.

  10. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  11. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  12. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  13. 3D bio-etching of a complex composite-like embryonic tissue.

    Science.gov (United States)

    Hazar, Melis; Kim, Yong Tae; Song, Jiho; LeDuc, Philip R; Davidson, Lance A; Messner, William C

    2015-08-21

    Morphogenesis involves a complex series of cell signaling, migration and differentiation events that are coordinated as tissues self-assemble during embryonic development. Collective cell movements such as those that occur during morphogenesis have typically been studied in 2D with single layers of cultured cells adhering to rigid substrates such as glass or plastic. In vivo, the intricacies of the 3D microenvironment and complex 3D responses are pivotal in the formation of functional tissues. To study such processes as collective cell movements within 3D multilayered tissues, we developed a microfluidic technique capable of producing complex 3D laminar multicellular structures. We call this technique "3D tissue-etching" because it is analogous to techniques used in the microelectromechanics (MEMS) field where complex 3D structures are built by successively removing material from a monolithic solid through subtractive manufacturing. We use a custom-designed microfluidic control system to deliver a range of tissue etching reagents (detergents, chelators, proteases, etc.) to specific regions of multilayered tissues. These tissues were previously isolated by microsurgical excision from embryos of the African claw-toed frog, Xenopus laevis. The ability to shape the 3D form of multicellular tissues and to control 3D stimulation will have a high impact on tissue engineering and regeneration applications in bioengineering and medicine as well as provide significant improvements in the synthesis of highly complex 3D integrated multicellular biosystems.

  14. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  15. Evaluation of antibacterial activity of Prosopis juliflora (SW.) DC. leaves.

    Science.gov (United States)

    Thakur, Rupesh; Singh, Rupal; Saxena, Pooja; Mani, Abin

    2014-01-01

    The ethnobotanical importance of Prosopis juliflora is well-known in the folkloric system of medicine for the treatment of various ailments. Although, the study related to the antibacterial potential of this plant, from Central India is scanty. The in vitro antibacterial activity of Prosopis juliflora leaves collected from the local area was evaluated against ten bacterial type cultures by agar well diffusion assay. The crude extracts prepared by two methods separately with three different solvents were examined for the preliminary antibacterial activity and phytochemical screening, the results of which were used for the choice of solvent and mass extraction of crude extract. Solvent fractionation of crude extract was done employing two sets of solvents namely Set-PCE and Set-HDB which resulted in total, six organic and two aqueous fractions, which were finally subjected to antibacterial activities. Varying degrees of growth inhibition was shown by all the fractions against tested microorganisms. The highest antibacterial activity was observed in aqueous fractions as compared to solvent fractions. Isolation and characterization of the bioactive components can be further done by systematic screening of the most active solvent fraction which could lead to the possible source of new antibacterial agents.

  16. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  17. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  18. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  19. Bond strength of a composite resin to glass ionomer cements using different adhesive systems

    Directory of Open Access Journals (Sweden)

    Ana Carolina de Oliveira BECCI

    2017-08-01

    Full Text Available Abstract Introduction Glass ionomer cements are often used as a base or cavity lining prior to restorative material. Objective To evaluate the bond strength of a composite resin to different glass ionomer cements, when using a two-step conventional and self-etching adhesive systems. Material and method Three glass ionomer cements (Ketac Molar Easymix, Vitremer and Vitrebond, the composite resin Filtek Z350 XT and the adhesive systems Adper Single Bond 2, Clearfil SE Bond and Adper Easy One were used. As negative control, resin was bonded to cement without using an adhesive system. Holes (4 mm diameter, 2 mm deep prepared in acrilic bloks were filled with the glass ionomer cements (n=12/group. On the surface, an area of 1mm in diameter was delimited, the adhesive system was applied, and a specimen of composite resin with 1 mm height was made. After 24 hours storage (37 °C and 100% humidity, the microshear test was performed. Data were analyzed using two-way ANOVA and Tukey test for comparison between groups (α=0.05. Result The adhesive systems significantly improved the bond strenght of composite resin to glass ionomer cements (p≤0.001. There was no significant difference in bond strength when self-etching adhesive systems were compared with the simplified etch-and-rinse adhesive, except for Vitrebond where Clearfil SE Bond determined higher bond strength when compared to Adper Single Bond 2 (p=0.003. Conclusion Self-etching adhesive systems are a good option for establishing the bond between the composite resin and the glass ionomer cement.

  20. Etched beam splitters in InP/InGaAsP.

    Science.gov (United States)

    Norberg, Erik J; Parker, John S; Nicholes, Steven C; Kim, Byungchae; Krishnamachari, Uppiliappan; Coldren, Larry A

    2011-01-17

    An etched beam splitter (EBS) photonic coupler based on frustrated total internal reflection (FTIR) is designed, fabricated and characterized in the InP/InGaAsP material system. The EBS offers an ultra compact footprint (8x11 μm) and a complete range of bar/cross coupling ratio designs. A novel pre-etching process is developed to achieve sufficient depth of the etched coupling gaps. Fabricated EBS couplers demonstrate insertion loss between 1 and 2.6 dB with transmission (cross-coupling) ≤ 10%. The results show excellent agreement with 3D finite-difference time-domain (FDTD) modeling. The coupling of EBS has weak wavelength dependence in the C-band, making it suitable for wavelength division multiplexing (WDM) or other wide bandwidth applications. Finally, the EBS is integrated with active semiconductor optical amplifier (SOA) and phase-modulator components; using a flattened ring resonator structure, a channelizing filter tunable in both amplitude and center frequency is demonstrated, as well as an EBS coupled ring laser.

  1. Effect of double-layer application on bond quality of adhesive systems.

    Science.gov (United States)

    Fujiwara, Satoshi; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Imai, Arisa; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Nakatsuka, Toshiyuki; Miyazaki, Masashi

    2018-01-01

    The aim of this study was to determine the effect of double-layer application of universal adhesives on the bond quality and compare to other adhesive systems. Two universal adhesives used were in this study: Scotchbond Universal (SU), [3M ESPE] and Prime & Bond elect (PE), [Dentsply Caulk]. The conventional single-step self-etch adhesives G-ӕnial Bond (GB), [GC Corporation.] and BeautiBond (BB), [Shofu Inc.], and a two-step self-etch adhesive, Optibond XTR (OX), [Kerr Corporation], were used as comparison adhesives. Shear bond strengths (SBS) and shear fatigue strengths (SFS) to human enamel and dentin were measured in single application mode and double application mode. For each test condition, 15 specimens were prepared for SBS testing and 30 specimens for SFS testing. Enamel and dentin SBS of the universal adhesives in the double application mode were significantly higher than those of the single application mode. In addition, the universal adhesives in the double application mode had significantly higher dentin SFS values than those of the single application mode. The two-step self-etch adhesive OX tended to have lower bond strengths in the double application mode, regardless of the test method or adherent substrate. The double application mode is effective in enhancing SBS and SFS of universal adhesives, but not conventional two-step self-etch adhesives. These results suggest that, although the double application mode may enhance the bonding quality of a universal adhesive, it may be counter-productive for two-step self-etch adhesives in clinical use. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  3. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  4. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  5. Microwave synthesis and antibacterial studies of bioceramics doped with antibacterial metal

    Science.gov (United States)

    Iqbal, Nida; Kadir, M. R. Abdul

    2017-12-01

    The aim of this work was to evaluate the effect of zinc metal ion on the antibacterial properties of bioceramics i.e. hydroxyapatite for the protection of bacterial infections. In this paper, rapid icrowave synthesis of hydroxyapatite with different weightages of antibacterial ions zinc (2 and 4 wt%) at 850 watts via microwave irradiation method at 10 mins was reported. The synthesized bioceramics were chemically characterized using energy dispersive X-ray (EDAX) and Fourier transform infrared spectroscopy (FTIR) studies. FT-IR analysis revealed the presence of Zn into HA lattices due to the increasing in the vibrational modes corresponds to phosphates and hydroxyl groups and EDAX analysis confirmed the presence of oxygen (O), calcium (Ca), phosphor (P), and zinc (Zn) in the ZnHA samples. Antibacterial studies have demonstrated that each of the Zn-bearing bioceramics samples exhibits marked antibacterial effects against Bacillus subtilis and Pseudomonas aeruginosa. Based on the results, it can be concluded that the synthesized Zn HA bioceramics have potential to prevent the bacterial infections and can be used as bone tissue regeneration.

  6. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  7. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  8. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  9. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  10. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  11. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  12. Continuous Process for the Etching, Rinsing and Drying of MEMS Using Supercritical Carbon Dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Min, Seon Ki; Han, Gap Su; You, Seong-sik [Korea University of Technology and Education, Cheonan (Korea, Republic of)

    2015-10-15

    The previous etching, rinsing and drying processes of wafers for MEMS (microelectromechanical system) using SC-CO{sub 2} (supercritical-CO{sub 2}) consists of two steps. Firstly, MEMS-wafers are etched by organic solvent in a separate etching equipment from the high pressure dryer and then moved to the high pressure dryer to rinse and dry them using SC-CO{sub 2}. We found that the previous two step process could be applied to etch and dry wafers for MEMS but could not confirm the reproducibility through several experiments. We thought the cause of that was the stiction of structures occurring due to vaporization of the etching solvent during moving MEMS wafer to high pressure dryer after etching it outside. In order to improve the structure stiction problem, we designed a continuous process for etching, rinsing and drying MEMS-wafers using SC-CO{sub 2} without moving them. And we also wanted to know relations of states of carbon dioxide (gas, liquid, supercritical fluid) to the structure stiction problem. In the case of using gas carbon dioxide (3 MPa, 25 .deg. C) as an etching solvent, we could obtain well-treated MEMS-wafers without stiction and confirm the reproducibility of experimental results. The quantity of rinsing solvent used could be also reduced compared with the previous technology. In the case of using liquid carbon dioxide (3 MPa, 5 .deg. C), we could not obtain well-treated MEMS-wafers without stiction due to the phase separation of between liquid carbon dioxide and etching co-solvent(acetone). In the case of using SC-CO{sub 2} (7.5 Mpa, 40 .deg. C), we had as good results as those of the case using gas-CO{sub 2}. Besides the processing time was shortened compared with that of the case of using gas-CO{sub 2}.

  13. Use of antibacterial agents in an intensive care unit in a hospital in Brazil.

    Science.gov (United States)

    dos Santos, E F; Lauria-Pires, L; Pereira, M G; Silva, A E; Rodrigues, I P; Maia, M O

    2007-06-01

    It is essential to monitor the utilisation of antibacterial drugs in order to establish appropriate measures for their control. The pattern of usage of antibacterial drugs, and its association with indicators of hospital infection, has been investigated in a non-specialized adult intensive care unit (ICU) located in Santa Luzia Hospital (Brasília, DF, Brazil). The study was conducted between January 2001 and June 2004. Data concerning the utilisation of systemic antibacterial drugs, classified according to the Anatomical Therapeutic Chemical/Defined Daily Dose (ATC/DDD) system, and indicators of hospital infection, defined according to the National Nosocomial Infections Surveillance (NNIS) system, were obtained from appropriate hospital archives. During the study period, the average utilisation of antibacterial drugs was 1918.5 DDD units per 1000 patient-day (DDD(1000)). The three most used drugs were penicillins/beta-lactamase inhibitors (535.3 DDD(1000)), third generation cephalosporins (239.1 DDD(1000)) and quinolones (212.5 DDD(1000)). The total utilisation of antibacterial drugs was correlated significantly with the incidence of hospital infection (R = 0.62; p < 0.01) and the index of invasive procedures (R = 0.41; p < 0.01). Furthermore, the latter two indicators were significantly and positively correlated with the use of recently commercialized, broad spectrum antibacterial drugs (except for carbapenems). It is concluded that improved infection control procedures, together with more rigorous criteria regarding the use of invasive procedures, should be implemented by the ICU studied in order to diminish the utilisation of antibacterial drugs.

  14. Enhanced antibacterial effects of clove essential oil by nanoemulsion.

    Science.gov (United States)

    Anwer, Md Khalid; Jamil, Shahid; Ibnouf, Elmutasim Osman; Shakeel, Faiyaz

    2014-01-01

    The aim of present study was to develop and evaluate nanoemulsion formulations of clove essential oil (CEO) for its antibacterial effects in comparison with pure CEO and standard amikacin antibiotic (positive control). Different nanoemulsions of CEO were developed by aqueous phase titration method via construction of pseudo-ternary phase diagrams and investigated for thermodynamic stability and self-nanoemulsification tests. Selected formulations (F1-F5) were characterized for droplet size distribution, viscosity, zeta potential, transmittance and surface morphology. Based on lowest droplet size (29.1 nm), lowest PI (0.026), lowest viscosity (34.6 cp), optimal zeta potential (-31.4 mV), highest transmittance (99.4 %) and lowest concentration of Triacetin (8 % w/w), CEO nanoemulsion F1 (containing 1 % w/w of CEO, 8 % w/w of Triacetin, 15 % w/w of Tween-80, 15 % w/w of Labrasol and 61 % w/w of water) was subjected to antibacterial studies in comparison with pure oil and standard amikacin. The antibacterial effects of F1 were found to be superior over pure oil against all bacterial strains investigated. However, the antibacterial effects of F1 were highly comparable with standard amikacin against all bacterial strains. The minimum inhibitory concentrations (MICs) of F1 were observed in the range of 0.075-0.300 % w/w as compared to pure oil (MICs 0.130-0.500 % w/w) and standard amikacin (MICs 2-16 μg/ml). These results indicated the potential of nanoemulsions for enhancing the therapeutic efficacy of natural bioactive ingredients such as CEO.

  15. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  16. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  17. A novel fabrication method of silicon nano-needles using MEMS TMAH etching techniques

    International Nuclear Information System (INIS)

    Yan Sheping; Xu Yang; Yang Junyi; Wang Huiquan; Jin Zhonghe; Wang Yuelin

    2011-01-01

    Nano-needles play important roles in nanoscale operations. However, current nano-needle fabrication is usually expensive and controling the sizes and angles is complicated. We have developed a simple and low cost silicon nano-needle fabrication method using traditional microelectromechanical system (MEMS) tetramethyl ammonium hydroxide (TMAH) etching techniques. We take advantage of the fact that the decrease of the silicon etch rate in TMAH solutions exhibits an inverse fourth power dependence on the boron doping concentration in our nano-needle fabrication. Silicon nano-needles, with high aspect ratio and sharp angles θ as small as 2.9 deg., are obtained, which could be used for bio-sensors and nano-handling procedures, such as penetrating living cells. An analytic model is proposed to explain the etching evolution of the experimental results, which is used to predict the needle angle, length, and etching time. Based on our method, nano-needles with small acute angle θ can be obtained.

  18. Antibacterial-induced nephrotoxicity in the newborn.

    Science.gov (United States)

    Fanos, V; Cataldi, L

    1999-03-01

    Antibacterials are the primary cause of drug-induced kidney disease in all age groups and these agents bring about renal damage by 2 main mechanisms, namely, direct and immunologically mediated. For some antibacterials (aminoglycosides and vancomycin) nephrotoxicity is very frequent but generally reversible upon discontinuation of the drug. However, the development of acute renal failure with these agents is possible and its incidence in the newborn seems to be increasing. Antibacterials are very often used in the neonatal period especially in very low birthweight neonates. The role of neonatal age in developing nephrotoxicity has still to be defined. Since the traditional laboratory parameters of nephrotoxicity are abnormal only in the presence of substantial renal damage, the identification of early non-invasive markers of the renal damage (urinary microglobulins, enzymes and growth factors) is of importance. Aminoglycosides and glycopeptides are still frequently used, either alone or in combination, despite their low therapeutic index. Numerous factors intervene in bringing about the kidney damage induced by these 2 classes of antibacterials, such as factors related to the antibacterial itself and others related to the associated pathology as well as pharmacological factors. Nephrotoxicity can be caused by the beta-lactams and related compounds. Their potential to cause nephrotoxicity decreases in the order: carbapenems > cephalosporins > penicillins > monobactams. Third generation cephalosporins are frequently used in neonates. However, they are well tolerated compounds at the renal level. The nephrotoxicity of other classes of antibacterials is not discussed either because they are only used in neonates in exceptional circumstances, for example, chloramphenicol and cotrimoxazole (trimethoprim-sulfamethoxazole) or are not associated with significant nephrotoxicity, for example macrolides, clindamicin, quinolones, rifampicin (rifampin) and metronidazole

  19. Proteomic Identification of Novel Secreted Antibacterial Toxins of the Serratia marcescens Type VI Secretion System*

    Science.gov (United States)

    Fritsch, Maximilian J.; Trunk, Katharina; Diniz, Juliana Alcoforado; Guo, Manman; Trost, Matthias; Coulthurst, Sarah J.

    2013-01-01

    It has recently become apparent that the Type VI secretion system (T6SS) is a complex macromolecular machine used by many bacterial species to inject effector proteins into eukaryotic or bacterial cells, with significant implications for virulence and interbacterial competition. “Antibacterial” T6SSs, such as the one elaborated by the opportunistic human pathogen, Serratia marcescens, confer on the secreting bacterium the ability to rapidly and efficiently kill rival bacteria. Identification of secreted substrates of the T6SS is critical to understanding its role and ability to kill other cells, but only a limited number of effectors have been reported so far. Here we report the successful use of label-free quantitative mass spectrometry to identify at least eleven substrates of the S. marcescens T6SS, including four novel effector proteins which are distinct from other T6SS-secreted proteins reported to date. These new effectors were confirmed as antibacterial toxins and self-protecting immunity proteins able to neutralize their cognate toxins were identified. The global secretomic study also unexpectedly revealed that protein phosphorylation-based post-translational regulation of the S. marcescens T6SS differs from that of the paradigm, H1-T6SS of Pseudomonas aeruginosa. Combined phosphoproteomic and genetic analyses demonstrated that conserved PpkA-dependent threonine phosphorylation of the T6SS structural component Fha is required for T6SS activation in S. marcescens and that the phosphatase PppA can reverse this modification. However, the signal and mechanism of PpkA activation is distinct from that observed previously and does not appear to require cell–cell contact. Hence this study has not only demonstrated that new and species-specific portfolios of antibacterial effectors are secreted by the T6SS, but also shown for the first time that PpkA-dependent post-translational regulation of the T6SS is tailored to fit the needs of different bacterial

  20. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  1. Comparison of shear bond strength of universal adhesives on etched and nonetched enamel.

    Science.gov (United States)

    Beltrami, Riccardo; Chiesa, Marco; Scribante, Andrea; Allegretti, Jessica; Poggio, Claudio

    2016-04-06

    The purpose of this study was to evaluate the effect of surface pretreatment with 37% phosphoric acid on the enamel bond strength of different universal adhesives. One hundred and sixty bovine permanent mandibular incisors freshly extracted were used as a substitute for human teeth. The materials tested in this study included 6 universal adhesives, and 2 self-etch adhesives as control. The teeth were assigned into 2 groups: In the first group, etching was performed using 37% phosphoric acid for 30 seconds. In the second group, no pretreatment agent was applied. After adhesive application, a nanohybrid composite resin was inserted into the enamel surface by packing the material into cylindrical-shaped plastic matrices. After storing, the specimens were placed in a universal testing machine. The normality of the data was calculated using the Kolmogorov-Smirnov test. Analysis of variance (ANOVA) was applied to determine whether significant differences in debond strength values existed among the various groups. Groups with phosphoric acid pretreatment showed significantly higher shear bond strength values than groups with no enamel pretreatment (p<0.001). No significant variation in shear strength values was detected when comparing the different adhesive systems applied onto enamel after orthophosphoric acid application (p>0.05). All adhesives provide similar bond strength values when enamel pretreatment is applied even if compositions are different. Bond strength values are lower than promised by manufacturers.

  2. A decomposable silica-based antibacterial coating for percutaneous titanium implant

    Directory of Open Access Journals (Sweden)

    Wang J

    2017-01-01

    Full Text Available Jia Wang,1,* Guofeng Wu,2,* Xiangwei Liu,3,* Guanyang Sun,1 Dehua Li,3 Hongbo Wei3 1State Key Laboratory of Military Stomatology, National Clinical Research Center for Oral Diseases & Shaanxi Key Laboratory of Stomatology, Department of Prosthodontics, School of Stomatology, The Fourth Military Medical University, Xi’an, 2Department of Prosthodontics, Nanjing Stomatological Hospital, Medical School of Nanjing University, Nanjing, 3State Key Laboratory of Military Stomatology, National Clinical Research Center for Oral Diseases & Shaanxi Engineering Research Center for Dental Materials and Advanced Manufacture, Department of Oral Implants, School of Stomatology, The Fourth Military Medical University, Xi’an, People’s Republic of China *These authors contributed equally to this work Abstract: Although percutaneous titanium implants have become one of the best choices as retainers in the facial defects, peri-implantitis still occurs at a significant rate. This unwanted complication occurs due to adhesion of bacteria and subsequent biofilm formation. To solve this problem, we have developed a novel antibiotic nanodelivery system based on self-decomposable silica nanoparticles. In this study, silica-gentamycin (SG nanoparticles were successfully fabricated using an innovative one-pot solution. The nanoparticles were incorporated within a gelatin matrix and cross-linked on microarc-oxidized titanium. To characterize the SG nanoparticles, their particle size, zeta potential, surface morphology, in vitro drug release, and decomposition process were sequentially evaluated. The antibacterial properties against the gram-positive Staphylococcus aureus, including bacterial viability, antibacterial rate, and bacteria morphology, were analyzed using SG-loaded titanium specimens. Any possible influence of released gentamycin on the viability of human fibroblasts, which are the main component of soft tissues, was investigated. SG nanoparticles from the

  3. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  4. A self-aligned gate definition process with submicron gaps

    NARCIS (Netherlands)

    Warmerdam, L.F.P.; Aarnink, Antonius A.I.; Holleman, J.; Wallinga, Hans

    1989-01-01

    A self-aligned gate definition process is proposed. Spacings between adjacent gates of 0.5 µm and smaller are fabricated. The spacing is realized by an edge-etch technique, combined with anisotropic plasma etching of the single poly-silicon layer. Straight gaps with minor width variation are

  5. SELF SYSTEMS, ANOMIE AND SELF ESTEEM,

    Science.gov (United States)

    The perceived social self and the ratings of the Dymond Scale were used as measures of selfesteem. As hypothesized, on both measures of self - esteem System...3, 4 and 2. Thus persons functioning in terms of the more abstract level of System 4 were higher in self - esteem than were Ss of the other systems...addition to showing that self - esteem does not depend on internalization of or adherence to dominant social norms, these results were interpreted as

  6. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  7. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    Energy Technology Data Exchange (ETDEWEB)

    Jaleh, B. [Physics Department, Bu- Alisina University, Hamadan (Iran, Islamic Republic of); Parvin, P. [Physics Department, Amirkabir University, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of) and Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of) ]. E-mail: parvin@aut.ac.ir; Katoozi, M. [National Radiation Protection Department, AEOI, Tehran (Iran, Islamic Republic of); Zamani, Z. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of); Zare, A. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of)

    2005-11-15

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than {phi}{sub th}5.2J/cm{sup 2}, at 32mJ/cm{sup 2} fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for {phi}>{phi}{sub th}, whereas the polymer experiences hardening effect due to crosslinking when {phi}<{phi}{sub th}. Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC.

  8. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    International Nuclear Information System (INIS)

    Jaleh, B.; Parvin, P.; Katoozi, M.; Zamani, Z.; Zare, A.

    2005-01-01

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than φ th 5.2J/cm 2 , at 32mJ/cm 2 fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for φ>φ th , whereas the polymer experiences hardening effect due to crosslinking when φ th . Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC

  9. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  10. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  11. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  12. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  13. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  14. Antibacterial components of honey

    NARCIS (Netherlands)

    Kwakman, Paulus H. S.; Zaat, Sebastian A. J.

    2012-01-01

    The antibacterial activity of honey has been known since the 19th century. Recently, the potent activity of honey against antibiotic-resistant bacteria has further increased the interest for application of honey, but incomplete knowledge of the antibacterial activity is a major obstacle for clinical

  15. METAL OXIDE DOPED ANTIBACTERIAL POLYMERIC COATED TEXTILE MATERIALS AND ASSESSEMENT OF ANTIBACTERIAL ACTIVITY WITH ELECTRON SPIN RESONANCE

    Directory of Open Access Journals (Sweden)

    GEDIK Gorkem

    2017-05-01

    Full Text Available Antibacterial activity of a food conveyor belt is an essential property in some cases. However, every antibacterial chemical is not suitable to contact with food materials. Many metal oxides are suitable option for this purpose. The aim of this study was to investigate antibacterial properties of zinc oxide doped PVC polymer coated with electron spin resonance technique. Therefore, optimum zinc oxide containing PVC paste was prepared and applied to textile surface. Coating construction was designed as double layered, first layer did not contain antibacterial agent, thin second layer contained zinc oxide at 10-35% concentration. Oxygen radicals released from zinc oxide containing polymeric coated surface were spin trapped with DMPO (dimethylpyrroline-N-oxide spin trap and measured with Electron Spin Resonance (ESR. Besides conveyor belt samples, oxygen radical release from zinc oxide surface was measured with ESR under UV light and dark conditions. Oxygen radical release was determined even at dark conditions. Antibacterial properties were tested with ISO 22196 standard using Listeria innocua species. Measured antibacterial properties were related with ESR results. Higher concentration of zinc oxide resulted in higher antibacterial efficiency. DCFH-DA flourometric assay was carried out to determine oxidative stress insidebacteria. It is tought that, this technique will lead to decrease on the labour and time needed for conventional antibacterial tests.

  16. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  17. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  18. Vapor-phase etching of InP using anhydrous HCl and PH/sub 3/ gas

    International Nuclear Information System (INIS)

    Pak, K.; Koide, Y.; Imai, K.; Yoshida, A.; Nakamura, T.; Yasuda, Y.; Nishinaga, T.

    1986-01-01

    In situ etching of the substrate surface for vapor-phase epitaxy is a useful technique for obtaining a smooth and damage-free surface prior to the growth. Previous work showed that the incorporation of in situ etching of InP substrate with anhydrous HCl gas resulted in a significant improvement in the surface morphologies for MOVPE-grown InGaAs/InP and InP epitaxial layers. However, the experiment on the HCl etching of the InP substrate for a wide temperature range has not been performed as yet. In this note, the authors describe the effect of the substrate temperature on the etching morphology of InP substrate by using the anhydrous HCl and PH/sub 3/ gases. In the experiment, they used a standard MOVPE horizontal system. A quartz reactor tube in a 60 mm ID, 60 cm long, was employed

  19. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  20. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  1. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  2. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  3. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  4. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  5. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  6. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  7. Antibacterial nanocarriers of resveratrol with gold and silver nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sohyun [College of Pharmacy, Inje University, 197 Inje-ro Gimhae, Gyeongnam 621-749 (Korea, Republic of); Cha, Song-Hyun [National Creative Research Initiatives (NCRI) Center for Isogeometric Optimal Design, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Cho, Inyoung [School of Civil, Environmental and Architecture Engineering, Korea University, 145 Anam-ro, Seongbuk-gu, Seoul 136-701 (Korea, Republic of); Park, Soomin [National Creative Research Initiatives (NCRI) Center for Isogeometric Optimal Design, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Park, Yohan [College of Pharmacy, Inje University, 197 Inje-ro Gimhae, Gyeongnam 621-749 (Korea, Republic of); Cho, Seonho [National Creative Research Initiatives (NCRI) Center for Isogeometric Optimal Design, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Park, Youmie, E-mail: youmiep@inje.ac.kr [College of Pharmacy, Inje University, 197 Inje-ro Gimhae, Gyeongnam 621-749 (Korea, Republic of); National Creative Research Initiatives (NCRI) Center for Isogeometric Optimal Design, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of)

    2016-01-01

    This study focused on the preparation of resveratrol nanocarrier systems and the evaluation of their in vitro antibacterial activities. Gold nanoparticles (AuNPs) and silver nanoparticles (AgNPs) for resveratrol nanocarrier systems were synthesized using green synthetic routes. During the synthesis steps, resveratrol was utilized as a reducing agent to chemically reduce gold and silver ions to AuNPs and AgNPs. This system provides green and eco-friendly synthesis routes that do not involve additional chemical reducing agents. Resveratrol nanocarriers with AuNPs (Res-AuNPs) and AgNPs (Res-AgNPs) were observed to be spherical and to exhibit characteristic surface plasmon resonance at 547 nm and at 412–417 nm, respectively. The mean size of the nanoparticles ranged from 8.32 to 21.84 nm, as determined by high-resolution transmission electron microscopy. The face-centered cubic structure of the Res-AuNPs was confirmed by high-resolution X-ray diffraction. Fourier-transform infrared spectra indicated that the hydroxyl groups and C=C in the aromatic ring of resveratrol were involved in the reduction reaction. Res-AuNPs retained excellent colloidal stability during ultracentrifugation and re-dispersion, suggesting that resveratrol also played a role as a capping agent. Zeta potentials of Res-AuNPs and Res-AgNPs were in the range of − 20.58 to − 48.54 mV. Generally, against Gram-positive and Gram-negative bacteria, the Res-AuNPs and Res-AgNPs exhibited greater antibacterial activity compared to that of resveratrol alone. Among the tested strains, the highest antibacterial activity of the Res-AuNPs was observed against Streptococcus pneumoniae. The addition of sodium dodecyl sulfate during the synthesis of Res-AgNPs slightly increased their antibacterial activity. These results suggest that the newly developed resveratrol nanocarrier systems with metallic nanoparticles show potential for application as nano-antibacterial agents with enhanced activities. - Highlights

  8. Development and antibacterial performance of silver nanoparticles ...

    Indian Academy of Sciences (India)

    Antibacterial activity; in situ fabrication; polyester fabric; silver nanoparticle. 1. Introduction ... transmitter found in central nervous system which has a vital role in human health. .... finished fabric were higher due to the presence of higher.

  9. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  10. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  11. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  12. Marginal Micro-leakage of Self-etch and All-in One Adhesives to Primary Teeth, with Mechanical or Chemo-Mechanical Caries Removal

    Directory of Open Access Journals (Sweden)

    Nouzari A

    2016-06-01

    Full Text Available Statement of Problem: Chemo-mechanical caries removal is an effective alternative to the traditional rotary drilling method. One of the factors that can influence micro-leakage is the method of caries removal. Objectives: To compare the micro-leakage of resin composite in primary dentition using self-etch and all-in one adhesives following conventional and chemo-mechanical caries removal. Materials and Methods: Sixty extracted human primary anterior teeth with class III carious lesions were collected. The selected teeth were divided randomly into two groups each consisting of 30 teeth. In group1 carious lesions were removed using Carisolv multi mix gel. In group 2, caries was removed using round steel burs in a slow–speed hand piece. Then, the specimens in each group were randomly divided into two subgroups (A and B of 15 and treated by either Clearfil SE Bond (CSEB or Scotch bond. All prepared cavities were filled with a resin composite (Estellite. All the specimens were stored in distilled water at 37ºC for 24 hours and then thermocycled in 5ºC and 55ºC water with a dwell time of 20 seconds for 1500 cycles. The specimens were immersed in 1% methylene blue solution for 24 hours, removed, washed and sectioned mesiodistally. The sectioned splits were examined under a stereomicroscope to determine the micro-leakage scores. The data were analyzed using Kruskal-Wallis Test in SPSS version 21. Results: There were no significant differences between micro-leakage scores among the four groups (p = 0.127. Score 0 of micro-leakage was detected for 60% of the specimens in group 1-A (Carisolv + CSEB, 73% of the group 2-A (hand piece + CSEB, 80% of the group 1-B (Carisolv + Scotch bond, and 93% of the group 2-B in which caries was removed using hand piece and bonded with Scotch bond . Conclusions: Although caries removal using hand piece bur along with using Scotch bond adhesive performed less micro-leakage, it would seems that the use of Carisolv

  13. Antibacterial Barbituric Acid Analogues Inspired from Natural 3-Acyltetramic Acids; Synthesis, Tautomerism and Structure and Physicochemical Property-Antibacterial Activity Relationships

    Directory of Open Access Journals (Sweden)

    Yong-Chul Jeong

    2015-02-01

    Full Text Available The synthesis, tautomerism and antibacterial activity of novel barbiturates is reported. In particular, 3-acyl and 3-carboxamidobarbiturates exhibited antibacterial activity, against susceptible and some resistant Gram-positive strains of particular interest is that these systems possess amenable molecular weight, rotatable bonds and number of proton-donors/acceptors for drug design as well as less lipophilic character, with physicochemical properties and ionic states that are similar to current antibiotic agents for oral and injectable use. Unfortunately, the reduction of plasma protein affinity by the barbituric core is not sufficient to achieve activity in vivo. Further optimization to reduce plasma protein affinity and/or elevate antibiotic potency is therefore required, but we believe that these systems offer unusual opportunities for antibiotic drug discovery.

  14. Comparison of shear bond strength of the stainless steel metallic brackets bonded by three bonding systems

    Directory of Open Access Journals (Sweden)

    Mehdi Ravadgar

    2013-09-01

    Full Text Available Introduction: In orthodontic treatment, it is essential to establish a satisfactory bond between enamel and bracket. After the self-etch primers (SEPs were introduced for the facilitation of bracket bonding in comparison to the conventional etch-and-bond system, multiple studies have been carried out on their shear bond strengths which have yielded different results. This study was aimed at comparing shear bond strengths of the stainless steel metallic brackets bonded by three bonding systems. Methods: In this experimental in vitro study, 60 extracted human maxillary premolar teeth were randomly divided into three equal groups: in the first group, Transbond XT (TBXT light cured composite was bonded with Transbond plus self-etching primer (TPSEP in the second group, TBXT composite was bonded with the conventional method of acid etching and in the third group, the self cured composite Unite TM bonding adhesive was bonded with the conventional method of acid etching. In all the groups, Standard edgewise-022 metallic brackets (American Orthodontics, Sheboygan, USA were used. Twenty-four hours after the completion of thermocycling, shear bond strength of brackets was measured by Universal Testing Machine (Zwick. In order to compare the shear bond strengths of the groups, the variance analysis test (ANOVA was adopted and p≤0.05 was considered as a significant level. Results: Based on megapascal, the average shear bond strength for the first, second, and third groups was 8.27±1.9, 9.78±2, and 8.92±2.5, respectively. There was no significant difference in the shear bond strength of the groups. Conclusions: Since TPSEP shear bond strength is approximately at the level of the conventional method of acid etching and within the desirable range for orthodontic brackets shear bond strength, applying TPSEP can serve as a substitute for the conventional method of etch and bond, particularly in orthodontic operations.

  15. Comparison of shear bond strength of the stainless steel metallic brackets bonded by three bonding systems

    Directory of Open Access Journals (Sweden)

    Mehdi Ravadgar

    2013-09-01

    Full Text Available Introduction: In orthodontic treatment, it is essential to establish a satisfactory bond between enamel and bracket. After the self-etch primers (SEPs were introduced for the facilitation of bracket bonding in comparison to the conventional etch-and-bond system, multiple studies have been carried out on their shear bond strengths which have yielded different results. This study was aimed at comparing shear bond strengths of the stainless steel metallic brackets bonded by three bonding systems. Methods: In this experimental in vitro study, 60 extracted human maxillary premolar teeth were randomly divided into three equal groups: in the first group, Transbond XT (TBXT light cured composite was bonded with Transbond plus self-etching primer (TPSEP; in the second group, TBXT composite was bonded with the conventional method of acid etching; and in the third group, the self cured composite Unite TM bonding adhesive was bonded with the conventional method of acid etching. In all the groups, Standard edgewise-022 metallic brackets (American Orthodontics, Sheboygan, USA were used. Twenty-four hours after the completion of thermocycling, shear bond strength of brackets was measured by Universal Testing Machine (Zwick. In order to compare the shear bond strengths of the groups, the variance analysis test (ANOVA was adopted and p≤0.05 was considered as a significant level. Results: Based on megapascal, the average shear bond strength for the first, second, and third groups was 8.27±1.9, 9.78±2, and 8.92±2.5, respectively. There was no significant difference in the shear bond strength of the groups. Conclusions: Since TPSEP shear bond strength is approximately at the level of the conventional method of acid etching and within the desirable range for orthodontic brackets shear bond strength, applying TPSEP can serve as a substitute for the conventional method of etch and bond, particularly in orthodontic operations.

  16. Study in electron microscopy of the formation of the hybrid layer using adhesive systems One Coat and Experimental (EXL 759), at the Facultad de Odontologia of the Universidad de Costa Rica

    International Nuclear Information System (INIS)

    Santamaria Guzman, S. Marcela; Guevara Lopez, Rodrigo

    2012-01-01

    The formation of the hybrid layer is observed in dental pieces in vitro, utilizing conventional adhesives systems and of self etching with different times of acid etching, by applying of electron microscopy. Samples of dental pieces are prepared utilizing conventional adhesive systems as Single Bond 2 of 3M, One Coat of Coltene and the adhesive self etching Experimental (EXL 759) of 3M. Samples of dental pieces collected have been molars recently extracted and later stored in jars with water. Samples prepared with the adhesive systems are observed in the electron microscope to obtain images of the hybrid layers formed. The hybrid layers formed are compared observing the photographs of the images obtained in the electron microscope. The adhesive system that has allowed the formation of a hybrid layer more convenient is determined. The time of acid etching is determined and has interfered in the formation of a hybrid layer more stable [es

  17. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  18. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  19. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  20. Mussel-Inspired Anisotropic Nanocellulose and Silver Nanoparticle Composite with Improved Mechanical Properties, Electrical Conductivity and Antibacterial Activity

    Directory of Open Access Journals (Sweden)

    Hoang-Linh Nguyen

    2016-03-01

    Full Text Available Materials for wearable devices, tissue engineering and bio-sensing applications require both antibacterial activity to prevent bacterial infection and biofilm formation, and electrical conductivity to electric signals inside and outside of the human body. Recently, cellulose nanofibers have been utilized for various applications but cellulose itself has neither antibacterial activity nor conductivity. Here, an antibacterial and electrically conductive composite was formed by generating catechol mediated silver nanoparticles (AgNPs on the surface of cellulose nanofibers. The chemically immobilized catechol moiety on the nanofibrous cellulose network reduced Ag+ to form AgNPs on the cellulose nanofiber. The AgNPs cellulose composite showed excellent antibacterial efficacy against both Gram-positive and Gram-negative bacteria. In addition, the catechol conjugation and the addition of AgNP induced anisotropic self-alignment of the cellulose nanofibers which enhances electrical and mechanical properties of the composite. Therefore, the composite containing AgNPs and anisotropic aligned the cellulose nanofiber may be useful for biomedical applications.