WorldWideScience

Sample records for annealed si structures

  1. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  2. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  3. Tuning by means of laser annealing of electronic and structural properties of nc-Si/a-Si:H

    International Nuclear Information System (INIS)

    Poliani, E.; Somaschini, C.; Sanguinetti, S.; Grilli, E.; Guzzi, M.; Le Donne, A.; Binetti, S.; Pizzini, S.; Chrastina, D.; Isella, G.

    2009-01-01

    We report the effect of laser annealing on the structural and electronic properties of nc-Si/a-Si:H samples grown close to the amorphous to nanocrystalline transition. The nc-Si/a-Si:H thin films were produced by low-energy plasma-enhanced chemical vapor deposition through a gas discharge containing SiH 4 . The samples were subjected to different laser fluencies and were characterized for changes in their structural and electronic properties via Raman spectroscopy and photoluminescence measurements. The laser annealing effects are twofold: i) the nanocrystalline phase grows, during the laser treatment, respect to the amorphous phase; ii) the photoluminescence spectra show the suppression, after laser annealing, of the frequencies above the crystalline Si band-gap.

  4. Influence of initial annealing on structure evolution and magnetic properties of 3.4% Si non-oriented steel during final annealing

    Energy Technology Data Exchange (ETDEWEB)

    Simões Mendanha Pedrosa, Josiane [Department of Physics, Federal University of Ouro Preto, Ouro Preto MG-3540000 (Brazil); Costa Paolinelli, Sebastião da [Research Department Aperam South America, Praça Primeiro de Maio, 9, Timóteo MG-35180018 (Brazil); Barros Cota, André, E-mail: abcota@ufop.br [Department of Physics, Federal University of Ouro Preto, Ouro Preto MG-3540000 (Brazil)

    2015-11-01

    The effect of the initial annealing on structure evolution and magnetic properties during the final annealing of a 3.4% Si non-oriented grain steel was evaluated. Half of the samples were submitted to initial annealing at 1030 °C before cold rolling and all samples were subjected to final annealing process at temperatures from 540 °C to 1100 °C. The magnetic induction and core loss in the final samples, the microstructure by optical microscopy and the crystallographic texture by X-ray diffraction and EBSD were evaluated. The results show that the samples without initial annealing presented better magnetic properties than the samples with initial annealing, due to the higher ratio between Eta fiber and Gamma fiber volume fractions (Eta/Gamma ratio) in their structure after final annealing. - Highlights: • Texture and magnetic properties of 3.4% Si non-oriented electrical steel were measured. • Without initial annealing, better texture and magnetic properties were obtained. • Good texture and magnetic properties are obtained with Steckel hot band structure.

  5. Effect of annealing temperature on the contact properties of Ni/V/4H-SiC structure

    Directory of Open Access Journals (Sweden)

    Chong-Chong Dai

    2014-04-01

    Full Text Available A sandwich structure of Ni/V/4H-SiC was prepared and annealed at different temperatures from 650 °C to 1050 °C. The electrical properties and microstructures were characterized by transmission line method, X-ray diffraction, Raman spectroscopy and transmission electron microscopy. A low specific contact resistance of 3.3 × 10-5 Ω·cm2 was obtained when the Ni/V contact was annealed at 1050 °C for 2 min. It was found that the silicide changed from Ni3Si to Ni2Si with increasing annealing temperature, while the vanadium compounds appeared at 950 °C and their concentration increased at higher annealing temperature. A schematic diagram was proposed to explain the ohmic contact mechanism of Ni/V/4H-SiC structure.

  6. Influence of thermal annealing on the memory effect in MIS structures containing crystalline Si nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Meier, Sebastian; Brueggemann, R. [Institut fuer Physik, Carl von Ossietzky Universitaet Oldenburg, 26111 Oldenburg (Germany); Kirilov, Kiril [Department of Solid State Physics and Microelectronics, Sofia Univ. (Bulgaria); Levi, Zelma; Manolov, E. [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Nedev, N. [Instituto de Ingenieria Universidad Autonoma de Baja California, Benito Juarez Blvd., s/n, C.P. 21280, Mexicali, Baja California, Mexico (Mexico)

    2007-07-01

    Silicon nanocrystals embedded in a SiO{sub 2} matrix are fabricated by thermal annealing of Metal/SiO{sub 2}/SiO{sub x}/c-Si structures (x=1.15) at 1000 C in N{sub 2} atmosphere for 30 or 60 min. High frequency C-V measurements demonstrate that both types of sample can be charged negatively or positively by applying a positive or negative bias voltage to the gate. The clockwise hysteresis windows of 30 and 60 min annealed samples are about 7 and 5.5 V for the {+-}12 V scanning range (E{sub ox}={+-}2.4 MV/cm), respectively. Although the samples annealed for 60 min have a smaller hysteresis window, they have two important advantages compared to the 30 min annealed ones: a lower defect density at the c-Si wafer/SiO{sub 2} interface and a smaller value of the fixed oxide charge close to this interface.

  7. The effects of different heat treatment annealing on structural properties of LaFe11.5Si1.5 compound

    Science.gov (United States)

    Norizan, Yang Nurhidayah Asnida; Din, Muhammad Faiz Md; Zamri, Wan Fathul Hakim W.; Hashim, Fakroul Ridzuan; Jusoh, Mohd Taufik; Rahman, Mohd Rashid Abdul

    2018-02-01

    The cubic NaZn13-type LaFe13-xSix based compounds have been studied systematically and has become one of the most interesting systems for exploring large MCE. Its magnetic properties are strongly doping dependent and provides many of advantage compare to other as magnetic materials for magnetic refrigerator application. In other to produce high quality of cubic NaZn13-type structure, the structural properties of LaFe11.5Si1.5 compounds annealed at different temperature have been investigated. The LaFe11.5Si1.5 compounds was prepared by arc melting and annealed at two different heat treatment which are 1323 K for 14 days and 1523 K for 4 hour. The powder X-ray diffraction (XRD) shows that a short time and high temperature annealing process has benefits for the formation of the NaZn13-type phase compared to a long time and low temperature annealing process. This is shown by the weight fraction of cubic NaZn13- type structure increases from 80% for low temperature annealing to 83% for high temperature annealing. At the same time, high temperature annealing increase the main structure and decrease the impurity (α-Fe and LaFeSi). Furthermore, it can be clearly seen in the Rietveld refinement results that the lattice parameter is increase at the high temperature annealing because of more cubic NaZn13 is formed at higher temperature.

  8. Effects of thermal annealing on electrical characteristics of Cd/CdS/n-Si/Au-Sb sandwich structure

    International Nuclear Information System (INIS)

    Saglam, M.; Ates, A.; Guezeldir, B.; Astam, A.; Yildirim, M.A.

    2009-01-01

    In general, at the metal-semiconductor contacts, interfacial layers have been fabricated by different methods such as molecular beam epitaxy, metal organic chemical vapor deposition, sputtering and vacuum evaporation. However, all of these techniques have encountered various difficulties in the deposited films. Instead of these methods, since Successive Ionic Layer Adsorption and Reaction (SILAR) method is simple, fast, sensitive, and less costly to prepare interfacial layer, we have first employed this method in order to prepare Cd/CdS/n-Si/Au-Sb sandwich structure. For this reason, the CdS thin film has been directly formed on n-type Si substrate by means of SILAR method. The Cd/CdS/n-Si/Au-Sb sandwich structure has demonstrated clearly rectifying behaviour by the current-voltage (I-V) curves studied at room temperature. In order to observe the effect of the thermal annealing, this structure has been annealed at temperatures from 50 to 300 deg. C for 3 min in N 2 atmosphere. The characteristic parameters such as barrier height, ideality factor and series resistance of this structure have been calculated from the forward bias I-V characteristics as a function of annealing temperature with different methods. The values of n, Φ b and mean R s of the initial Cd/CdS/n-Si/Au-Sb sandwich structure were found to be 2.31, 0.790 eV and 1.86 kΩ respectively. After annealing at 300 deg. C, these values were changed to 1.89, 0.765 eV and 0.48 kΩ. It has been seen that the barrier height, ideality factor and series resistance have slightly changed with increasing annealing temperature up to 300 deg. C.

  9. Influence of high temperature annealing on the structure, hardness and tribological properties of diamond-like carbon and TiAlSiCN nanocomposite coatings

    International Nuclear Information System (INIS)

    Xie, Z.W.; Wang, L.P.; Wang, X.F.; Huang, L.; Lu, Y.; Yan, J.C.

    2011-01-01

    Diamond-like carbon (DLC) and TiAlSiCN nanocomposite coatings were synthesized and annealed at different temperatures in a vacuum environment. The microstructure, hardness and tribological properties of as-deposited and annealed DLC-TiAlSiCN nanocomposite coatings were characterized by X-ray diffraction, X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), Raman spectroscopy, nano-indentation and friction tests. The TEM results reveal that the as-deposited DLC-TiAlSiCN coating has a unique nanocomposite structure consisting of TiCN nanocrystals embedded in an amorphous matrix consisting of a-Si 3 N 4 , a-SiC, a-CN and DLC, and the structure changed little after annealing at 800 °C. However, XPS and Raman results show that an obvious graphitization of the DLC phase occurred during the annealing process and it worsened with annealing temperature. Because of the graphitization, the hardness of the DLC-TiAlSiCN coating after annealing at 800 °C decreased from 45 to 36 GPa. In addition, the DLC-TiAlSiCN coating after annealing at 800 °C has a similar friction coefficient to the as-deposited coating.

  10. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  11. Moessbauer study of isothermally annealed amorphous Fe-Nb-Cu-Si-B alloys

    International Nuclear Information System (INIS)

    Sitek, J.; Toth, I.; Miglierini, M.

    1993-01-01

    Amorphous ribbons of Fe 73.5 Nb 3 Cu 1 Si 13.5 B 9 have been annealed above the crystallization temperature. Annealed samples consisted of crystalline and amorphous phases in a wide temperature range. Two samples of different thicknesses of 33 μm and 27 μm were isothermally annealed at a temperature of 545 C from 0.5 to 5 h in a vacuum furnace. The amount of crystalline phase increases rapidly in the ticker sample. The crystalline part of the Moessbauer spectrum consists of four sharp sextets which can be assigned to a DO 3 -structure FeSi alloy. After 700 C annealing the amorphous phase was not observed and the crystalline phase consisted of the DO 3 -structure FeSi alloy, paramagnetic FeNbB and presumably Fe 23 B 6 and Fe 3 SiB 2 . (orig.)

  12. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  13. Structural change upon annealing of amorphous GeSbTe grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Bragaglia, V., E-mail: bragaglia@pdi-berlin.de; Jenichen, B.; Giussani, A.; Perumal, K.; Riechert, H.; Calarco, R. [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2014-08-07

    The structural change upon annealing of an amorphous GeSbTe (GST) film deposited by molecular beam epitaxy on a Si(111) substrate is studied by means of X-ray diffraction (XRD), X-ray reflectivity (XRR), and atomic force microscopy (AFM). XRD profiles reveal that both metastable cubic and stable hexagonal phases are obtained with a single out-of-plane orientation. XRR study shows a density increase and consequent thickness decrease upon annealing, in accordance with literature. From both, the XRD and the AFM study, it emerges that the crystalline substrate acts as a template for the film, favoring the crystallization of the amorphous GST into the [111] oriented metastable cubic phase, and the latter turns into the [0001] stable hexagonal phase for higher annealing temperature.

  14. Annealing-induced Ge/Si(100) island evolution

    International Nuclear Information System (INIS)

    Zhang Yangting; Drucker, Jeff

    2003-01-01

    Ge/Si(100) islands were found to coarsen during in situ annealing at growth temperature. Islands were grown by molecular-beam epitaxy of pure Ge and annealed at substrate temperatures of T=450, 550, 600, and 650 deg. C, with Ge coverages of 6.5, 8.0, and 9.5 monolayers. Three coarsening mechanisms operate in this temperature range: wetting-layer consumption, conventional Ostwald ripening, and Si interdiffusion. For samples grown and annealed at T=450 deg. C, consumption of a metastably thick wetting layer causes rapid initial coarsening. Slower coarsening at longer annealing times occurs by conventional Ostwald ripening. Coarsening of samples grown and annealed at T=550 deg. C occurs via a combination of Si interdiffusion and conventional Ostwald ripening. For samples grown and annealed at T≥600 deg. C, Ostwald ripening of SiGe alloy clusters appears to be the dominant coarsening mechanism

  15. AFM and FTIR characterization of microcrystalline Si obtained from isothermal annealing of Al/a-Si:H

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M.; Orduna-Diaz, A.; Delgado-Macuil, R. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Olvera-Hernandez, J. [Centro de Investigacion en Dispositivos Semiconductores (CIDS), BUAP, Puebla, Pue. 72570 (Mexico); Navarro-Contreras, H.; Vidal, M.A.; Saucedo, N.; Mendez-Garcia, V.H. [Instituto de Investigacion en Comunicacion Optica (IICO), UASLP, San Luis Potosi, S.L.P. 78100 (Mexico)

    2007-04-15

    Atomic force microscopy and Fourier transform infrared spectroscopy were used to investigate the morphology of the microcrystalline surface, and also the amorphous-crystalline structural transformation of a-Si:H films, isothermally annealed during several hours. Crystallization process was strongly influenced by the deposition of an Al layer on the surface of a-Si:H samples. Representative AFM images show the presence of grains, which increase in diameter with the annealing time. Relative crystallized fraction as a function of the annealing time can be described adequately by using the Avrami equation. The kinetic of this crystallization process suggest a two-dimensional growth of the Si nuclei. Fourier transform infrared measurements show the presence of an intense band near 512 cm{sup -1} associated to Si-Si bonding. We observed the relative diminishing of the intensity of the Si-H wagging mode at 694 cm{sup -1} with annealing time, suggesting effusion of hydrogen to the surface of microcrystalline films. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Moessbauer study of isothermally annealed amorphous Fe-Nb-Cu-Si-B alloys

    Energy Technology Data Exchange (ETDEWEB)

    Sitek, J. (Dept. of Nuclear Physics and Technology, Slovak Technical Univ., Bratislava (Slovakia)); Toth, I. (Dept. of Nuclear Physics and Technology, Slovak Technical Univ., Bratislava (Slovakia)); Miglierini, M. (Dept. of Nuclear Physics and Technology, Slovak Technical Univ., Bratislava (Slovakia))

    1993-11-01

    Amorphous ribbons of Fe[sub 73.5]Nb[sub 3]Cu[sub 1]Si[sub 13.5]B[sub 9] have been annealed above the crystallization temperature. Annealed samples consisted of crystalline and amorphous phases in a wide temperature range. Two samples of different thicknesses of 33 [mu]m and 27 [mu]m were isothermally annealed at a temperature of 545 C from 0.5 to 5 h in a vacuum furnace. The amount of crystalline phase increases rapidly in the ticker sample. The crystalline part of the Moessbauer spectrum consists of four sharp sextets which can be assigned to a DO[sub 3]-structure FeSi alloy. After 700 C annealing the amorphous phase was not observed and the crystalline phase consisted of the DO[sub 3]-structure FeSi alloy, paramagnetic FeNbB and presumably Fe[sub 23]B[sub 6] and Fe[sub 3]SiB[sub 2]. (orig.)

  17. Structural evolution of tunneling oxide passivating contact upon thermal annealing.

    Science.gov (United States)

    Choi, Sungjin; Min, Kwan Hong; Jeong, Myeong Sang; Lee, Jeong In; Kang, Min Gu; Song, Hee-Eun; Kang, Yoonmook; Lee, Hae-Seok; Kim, Donghwan; Kim, Ka-Hyun

    2017-10-16

    We report on the structural evolution of tunneling oxide passivating contact (TOPCon) for high efficient solar cells upon thermal annealing. The evolution of doped hydrogenated amorphous silicon (a-Si:H) into polycrystalline-silicon (poly-Si) by thermal annealing was accompanied with significant structural changes. Annealing at 600 °C for one minute introduced an increase in the implied open circuit voltage (V oc ) due to the hydrogen motion, but the implied V oc decreased again at 600 °C for five minutes. At annealing temperature above 800 °C, a-Si:H crystallized and formed poly-Si and thickness of tunneling oxide slightly decreased. The thickness of the interface tunneling oxide gradually decreased and the pinholes are formed through the tunneling oxide at a higher annealing temperature up to 1000 °C, which introduced the deteriorated carrier selectivity of the TOPCon structure. Our results indicate a correlation between the structural evolution of the TOPCon passivating contact and its passivation property at different stages of structural transition from the a-Si:H to the poly-Si as well as changes in the thickness profile of the tunneling oxide upon thermal annealing. Our result suggests that there is an optimum thickness of the tunneling oxide for passivating electron contact, in a range between 1.2 to 1.5 nm.

  18. Structural and electronic properties of Si/SiO2 MOS structures with aligned 3C-SiC nanocrystals in the oxide

    International Nuclear Information System (INIS)

    Pongracz, A.; Battistig, G.; Duecso, Cs.; Josepovits, K.V.; Deak, P.

    2007-01-01

    Our group previously proved that a simple reactive annealing in CO containing gas produces 3C-SiC nanocrystals, which are epitaxially and void-free aligned in the Si substrate. By a further thermal oxidation step, these nanocrystals can be lifted from the Si and incorporated into the SiO 2 matrix, thereby creating a promising structure for charge storage. In this work the structural and electrical properties of such systems with nanocrystalline SiC will be presented. Prototype MOS structures with 3C-SiC nanocrystals were produced for current-voltage and capacitance-voltage measurements. The results indicate that the high-temperature annealing did not damage the MOS structure, despite the fact that the CO annealing changed the electrical properties of the system. There was a positive charge accumulation and a reversible carrier injection observed in the structure. We assume that the positive charges originated from oxygen vacancies and the charge injection is related to the presence of SiC nanocrystals

  19. Improvement on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Energy Technology Data Exchange (ETDEWEB)

    Esakky, Papanasam, E-mail: papanasamte@gmail.com; Kailath, Binsu J

    2017-08-15

    Highlights: • Post deposition annealing (PDA) and post metallization annealing (PMA) on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors. • Post deposition N{sub 2}O plasma annealing inhibits crystallization of HfO{sub 2} during high temperature annealing. • Plasma annealing followed by RTA in N{sub 2} results in formation of hafnium silicate at the HfO{sub 2}-SiC interface. • PDA reduces interface state density (D{sub it}) and gate leakage current density (J{sub g}) by order. • PMA in forming gas for 40 min results in better passivation and reduces D{sub it} by two orders and J{sub g} by thrice. - Abstract: HfO{sub 2} as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO{sub 2}/SiC capacitors offer higher sensitivity than SiO{sub 2}/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO{sub 2}/SiC interface. Effect of post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO{sub 2}/SiC MIS capacitors are reported in this work. N{sub 2}O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N{sub 2} result in formation of Hf silicate at the HfO{sub 2}/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO{sub 2}/SiC capacitors.

  20. Transformation of point defects under annealing of neutron-irradiated Si and Si:Ge

    International Nuclear Information System (INIS)

    Pomozov, Yu.V.; Khirunenko, L.I.; Shakhovtsev, V.I.; Yashnik, V.I.

    1990-01-01

    Transformation of point radiation defects under isochronous annealing of neurton-irradaited Si and Si:Ge is studied. It is determined, that occurence of several new centers which produce A-centre range absorption bands is observed at annealing within 423-493 K temperature range. It is shown that vacancy and oxygen are included in the centers composition. It is found that VO centre transformation into VO 2 at annealing occurs via intermediate stage in contrast to that occuring in electron-irradiated crystals via VO direct diffusion to interstitial oxygen. Transformation of centers under Si ansd Si:Ge annealing occurs similarly

  1. Failure behavior of ITO diffusion barrier between electroplating Cu and Si substrate annealed in a low vacuum

    International Nuclear Information System (INIS)

    Hsieh, S.H.; Chien, C.M.; Liu, W.L.; Chen, W.J.

    2009-01-01

    A structure of Cu/ITO(10 nm)/Si was first formed and then annealed at various temperatures for 5 min in a rapid thermal annealing furnace under 10 -2 Torr pressure. In Cu/ITO(10 nm)/Si structure, the ITO(10 nm) film was coated on Si substrate by sputtering process and the Cu film was deposited on ITO film by electroplating technique. The various Cu/ITO(10 nm)/Si samples were characterized by a four-point probe, a scanning electron microscope, an X-ray diffractometer, and a transmission electron microscope. The results showed that when the annealing temperature increases near 600 deg. C the interface between Cu and ITO becomes unstable, and the Cu 3 Si particles begin to form; and when the annealing temperature increases to 650 deg. C, a good many of Cu 3 Si particles about 1 μm in size form and the sheet resistance of Cu/ITO(10 nm)/Si structure largely increases.

  2. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  3. Nonvolatile field effect transistors based on protons and Si/SiO2Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Vanheusden, K.; Fleetwood, D.M.; Schwank, J.R.; Winokur, P.S.; Knoll, M.G.; Devine, R.A.B.

    1997-01-01

    Recently, the authors have demonstrated that annealing Si/SiO 2 /Si structures in a hydrogen containing ambient introduces mobile H + ions into the buried SiO 2 layer. Changes in the H + spatial distribution within the SiO 2 layer were electrically monitored by current-voltage (I-V) measurements. The ability to directly probe reversible protonic motion in Si/SiO 2 /Si structures makes this an exemplar system to explore the physics and chemistry of hydrogen in the technologically relevant Si/SiO 2 structure. In this work, they illustrate that this effect can be used as the basis for a programmable nonvolatile field effect transistor (NVFET) memory that may compete with other Si-based memory devices. The power of this novel device is its simplicity; it is based upon standard Si/SiO 2 /Si technology and forming gas annealing, a common treatment used in integrated circuit processing. They also briefly discuss the effects of radiation on its retention properties

  4. Annealing-induced evolution of optical properties of the multilayered nanoperiodic SiOx/ZrO2 system containing Si nanoclusters

    International Nuclear Information System (INIS)

    Ershov, A. V.; Tetelbaum, D. I.; Chugrov, I. A.; Mashin, A. I.; Mikhaylov, A. N.; Nezhdanov, A. V.; Ershov, A. A.; Karabanova, I. A.

    2011-01-01

    The photoluminescence, infrared absorption, and Raman spectra of amorphous multilayered nanoperiodic a-SiO x /ZrO 2 structures produced by vacuum evaporation and then annealed at different temperatures (500–1100°C) are studied. It is established that the evolution of the optical properties with increasing annealing temperature is controlled by sequential transformation of Si clusters formed in the SiO x layers from nonphase inclusions to amorphous clusters and then to nanocrystals. The finally formed nanocrystals are limited in sizes by the thickness of the initial SiO x layers and by chemical reactions with ZrO 2 .

  5. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  6. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  7. GeO2/Ge structure submitted to annealing in deuterium: Incorporation pathways and associated oxide modifications

    Science.gov (United States)

    Bom, N. M.; Soares, G. V.; Hartmann, S.; Bordin, A.; Radtke, C.

    2014-10-01

    Deuterium (D) incorporation in GeO2/Ge structures following D2 annealing was investigated. Higher D concentrations were obtained for GeO2/Ge samples in comparison to their SiO2/Si counterparts annealed in the same conditions. Oxygen vacancies produced during the annealing step in D2 constitute defect sites for D incorporation, analogous to defects at the SiO2/Si interfacial region. Besides D incorporation, volatilization of the oxide layer is also observed as a consequence of D2 annealing, especially in the high temperature regime of the present study (>450 °C). In parallel to this volatilization, the stoichiometry and chemical structure of remnant oxide are modified as well. These results evidence the broader impact of forming gas annealing in dielectric/Ge structures with respect to SiO2/Si counterparts.

  8. Effect of re-oxidation annealing process on the SiO2/SiC interface characteristics

    International Nuclear Information System (INIS)

    Yan Hongli; Jia Renxu; Tang Xiaoyan; Song Qingwen; Zhang Yuming

    2014-01-01

    The effect of the different re-oxidation annealing (ROA) processes on the SiO 2 /SiC interface characteristics has been investigated. With different annealing processes, the flat band voltage, effective dielectric charge density and interface trap density are obtained from the capacitance—voltage curves. It is found that the lowest interface trap density is obtained by the wet-oxidation annealing process at 1050 °C for 30 min, while a large number of effective dielectric charges are generated. The components at the SiO 2 /SiC interface are analyzed by X-ray photoelectron spectroscopy (XPS) testing. It is found that the effective dielectric charges are generated due to the existence of the C and H atoms in the wet-oxidation annealing process. (semiconductor technology)

  9. Structure and chemistry of passivated SiC/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Houston Dycus, J.; Xu, Weizong; LeBeau, James M. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7907 (United States); Lichtenwalner, Daniel J.; Hull, Brett; Palmour, John W. [Power Devices R& D, Wolfspeed, A Cree Company, Research Triangle Park, North Carolina 27709 (United States)

    2016-05-16

    Here, we report on the chemistry and structure of 4H-SiC/SiO{sub 2} interfaces passivated either by nitric oxide annealing or Ba deposition. Using aberration corrected scanning transmission electron microscopy and spectroscopy, we find that Ba and N remain localized at SiC/SiO{sub 2} interface after processing. Further, we find that the passivating species can introduce significant changes to the near-interface atomic structure of SiC. Specifically, we quantify significant strain for nitric oxide annealed sample where Si dangling bonds are capped by N. In contrast, strain is not observed at the interface of the Ba treated samples. Finally, we place these results in the context of field effect mobility.

  10. Growth Mechanism and Surface Structure of Ge Nanocrystals Prepared by Thermal Annealing of Cosputtered GeSiO Ternary Precursor

    Directory of Open Access Journals (Sweden)

    Bo Zhang

    2014-01-01

    Full Text Available Ge nanocrystals (Ge-ncs embedded in a SiO2 superlattice structure were prepared by magnetron cosputtering and postdeposition annealing. The formation of spherical nanocrystals was confirmed by transmission electron microscopy and their growth process was studied by a combination of spectroscopic techniques. The crystallinity volume fraction of Ge component was found to increase with crystallite size, but its overall low values indicated a coexistence of crystalline and noncrystalline phases. A reduction of Ge-O species was observed in the superlattice during thermal annealing, accompanied by a transition from oxygen-deficient silicon oxide to silicon dioxide. A growth mechanism involving phase separation of Ge suboxides (GeOx was then proposed to explain these findings and supplement the existing growth models for Ge-ncs in SiO2 films. Further analysis of the bonding structure of Ge atoms suggested that Ge-ncs are likely to have a core-shell structure with an amorphous-like surface layer, which is composed of GeSiO ternary complex. The surface layer thickness was extracted to be a few angstroms and equivalent to several atomic layer thicknesses.

  11. The effect of millisecond flash lamp annealing on electrical and structural properties of ZnO:Al/Si structures

    Energy Technology Data Exchange (ETDEWEB)

    Lindberg, P. F.; Riise, H. N.; Vines, L.; Svensson, B. G.; Monakhov, E. V. [Department of Physics, Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048, Blindern, N-0316 Oslo (Norway); Lipp Bregolin, F.; Wiesenhütter, K.; Wiesenhütter, U.; Prucnal, S.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, P.O. Box 510119, 01314 Dresden (Germany)

    2016-05-14

    The effect of millisecond flash lamp annealing (FLA) on aluminum doped ZnO (AZO) films and their interface with Si have been studied. The AZO films were deposited by magnetron sputtering on Si (100) substrates. The electrical and structural properties of the film and AZO/Si structures were characterized by current–voltage, capacitance–voltage, and deep level transient spectroscopy measurements, X-ray diffraction, and secondary ion mass spectrometry. The resistivity of the AZO film is reduced to a close to state-of-the-art value of 2 × 10{sup −4} Ω cm after FLA for 3 ms with an average energy density of 29 J/cm{sup 2}. In addition, most of the interfacial defects energy levels are simultaneously annealed out, except for one persisting shallow level, tentatively assigned to the vacancy-oxygen complex in Si, which was not affected by FLA. Subsequent to the FLA, the samples were treated in N{sub 2} or forming gas (FG) (N{sub 2}/H{sub 2}, 90/10%{sub mole}) ambient at 200–500 °C. The latter samples maintained the low resistivity achieved after the FLA, but not the former ones. The interfacial defect level persisting after the FLA is removed by the FG treatment, concurrently as another level emerges at ∼0.18 eV below the conduction band. The electrical data of the AZO films are discussed in term of point defects controlling the resistivity, and it is argued that the FLA promotes formation of electrically neutral clusters of Zink vacancies (V{sub Zn}'s) rather than passivating/compensating complexes between the Al donors and V{sub Zn}'s.

  12. Evolution of the structure and hydrogen bonding configuration in annealed hydrogenated a-Si/a-Ge multilayers and layers

    International Nuclear Information System (INIS)

    Frigeri, C.; Nasi, L.; Serenyi, M.; Khanh, N.Q.; Csik, A.; Szekrenyes, Zs.; Kamaras, K.

    2012-01-01

    Complete text of publication follows. Among the present available renewable energy sources, energy harvesting from sunlight by means of photovoltaic cells is the most attractive one. In order to win over the traditional energy resources both efficiency and cost effectiveness of photovoltaic conversion must be optimized as far as possible. Efficiency is basically improved by the use of multijunction cells containing semiconductors with different band-gap. In this respect, the III-V compounds guarantee the highest efficiency, up to 41.6 %, but they are quite expensive. The latter drawback also affects other compounds like CdTe and CuIn 1-x Ga x Se 2 (CIGS). Si based solar devices have lower efficiency but are much more cost effective. They can use either crystalline or amorphous Si thin layers or Si nanoparticles. As to the thin films, amorphous Si (a-Si) is preferred to crystalline Si as it has a wider band-gap (1.7 instead of 1.1 eV) thus harvesting a larger portion of solar energy. A tandem cell is formed by using a-SiGe which has a smaller band-gap tunable between 1.1 and 1.7 eV depending on the Ge content. The best value should be 1.4 eV since the material properties seem to degrade below this value whilst the photo-conductivity drops after light soaking if the band gap exceeds 1.4 eV. A key issue of amorphous Si, Ge and SiGe is the high density of defects in the band-gap mostly due to dangling bonds whose density is particularly high (even up to 5 x10 19 cm -3 ) since the lattice is significantly disordered with distorted bond angles and lengths. This increases the probability of rupture of the Si-Si (Ge-Ge) bonds, i.e., formation of dangling bonds. Owing to the fact that hydrogen with its single electron structure can close the dangling bonds, their density can be reduced even by 4 orders of magnitude by doping with hydrogen. However, H is unstable in the host lattice. In fact, several findings showed its evolution from the thin layer upon annealing and that

  13. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  14. TEM studies of P+ implanted and subsequently laser annealed Si

    International Nuclear Information System (INIS)

    Sadana, D.K.; Wilson, M.C.; Booker, G.R.; Washburn, J.

    1979-05-01

    The present investigation is concerned with laser annealing of P + implanted Si. The aim of the work was to study the crystallization behavior of damage structure occurring due to high dose rate implantation using transmission electron microscopy (TEM) as the method of examination

  15. Influence of annealing temperature on structural and optical properties of SiO{sub 2}:RE{sub 2}O{sub 3} [RE = Y, Gd] powder

    Energy Technology Data Exchange (ETDEWEB)

    Ahlawat, Rachna, E-mail: rachnaahlawat2003@yahoo.com

    2015-07-25

    Highlights: • Sol–gel process is used to obtain spherical nanocrystallites of SiO{sub 2}:RE{sub 2}O{sub 3} [RE = Y, Gd] powder. • Effect of four steps annealing is studied on micro strain, nanocrystallite size and dislocation density. • Optical properties are examined by absorption spectra and PL. • SiO{sub 2}:RE{sub 2}O{sub 3} [RE = Y, Gd] binary oxides are promising materials for high temperature structural applications. - Abstract: SiO{sub 2}:RE{sub 2}O{sub 3} [RE = Y, Gd] powder were prepared by wet chemical technique and the prepared binary oxides annealed at 500 °C and 900 °C. The crystalline structure, phase transformation, and surface morphologies of as-prepared and annealed samples were investigated by XRD and TEM. The normal transmission was measured using FTIR spectroscopy. Optical properties have been studied with UV–Vis spectroscopy and PL study. XRD results shows that the as prepared samples of SiO{sub 2}:RE{sub 2}O{sub 3} [RE = Y, Gd] powder has mixed phases of RE(NO{sub 3}){sub 3} and Si(OH){sub 3}. However, cubic rare earth oxide phase alone is found for annealed samples. The strain values are calculated from W–H plot for annealed samples. TEM micrograph shows that the samples are composed of individual spherical nanocrystallites at 500 °C and aggregated nanocrystallites at 900 °C. From the UV–Vis spectra, it is found that the position of the absorption peak is shifted toward the higher wavelength side when annealing temperature is increased. In the PL spectra, the broad emission bands are observed between 570–600 nm and the presence of O–Si–O (silica) and metal oxide is confirmed by FTIR spectra.

  16. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  17. The annealing effects on irradiated SiC piezo resistive pressure sensor

    International Nuclear Information System (INIS)

    Almaz, E.; Blue, T. E.; Zhang, P.

    2009-01-01

    The effects of temperature on annealing of Silicon Carbide (SiC) piezo resistive pressure sensor which was broken after high fluence neutron irradiation, were investigated. Previously, SiC piezo resistive sensor irradiated with gamma ray and fast neutron in the Co-60 gamma-ray irradiator and Beam Port 1 (BP1) and Auxiliary Irradiation Facility (AIF) at the Ohio State University Nuclear Reactor Laboratory (OSUNRL) respectively. The Annealing temperatures were tested up to 400 C. The Pressure-Output voltage results showed recovery after annealing process on SiC piezo resistive pressure sensor. The bridge resistances of the SiC pressure sensor stayed at the same level up to 300 C. After 400 C annealing, the resistance values changed dramatically.

  18. Parametric investigation of the formation of epitaxial Ti{sub 3}SiC{sub 2} on 4H-SiC from Al-Ti annealing

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Tannous, T., E-mail: tony.abi-tannous@insa-lyon.fr [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Soueidan, M. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Ferro, G. [Université de Lyon, CNRS, Laboratoire des Multimatériaux et Interfaces, UMR 5615, F-69622 (France); Lazar, M. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Toury, B. [Université de Lyon, CNRS, Laboratoire des Multimatériaux et Interfaces, UMR 5615, F-69622 (France); Beaufort, M.F.; Barbot, J.F. [Institut Pprime CNRS - Université de Poitiers - ENSMA - UPR 3346 Département Physique et Mécanique des Matériaux SP2MI 86962 Futuroscope Chasseneuil Cedex (France); Penuelas, J. [Université de Lyon, Institut des Nanotechnologies de Lyon, UMR CNRS 5270, 69134 ECULLY Cedex (France); Planson, D. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France)

    2015-08-30

    Highlights: • Growth of Ti{sub 3}SiC{sub 2} thin films onto 4H-SiC (0 0 0 1) 8° and 4°-off substrates. • High temperature application for SiC ohmic contact. • Thermal annealing of Ti{sub -}Al layers. • Influence of the composition in the Ti{sub x}Al{sub 1−x} alloy was investigated. • Influence of the annealing temperature (900–1200 °C) after deposition was investigated. • The structural investigations were mainly performed by using X-ray diffraction (XRD), and transmission electron microscopy (TEM). • Elementary and profile characterization were performed using X-Ray photoelectron spectroscopy (XPS). - Abstract: The growth of Ti{sub 3}SiC{sub 2} thin films was studied onto 4H-SiC (0 0 0 1) 8° and 4°-off substrates by thermal annealing of Ti{sub x}Al{sub 1−x} (0.5 ≤ x ≤ 1) layers. The annealing time was fixed at 10 min under Argon atmosphere. The synthesis conditions were also investigated according to the annealing temperature (900–1200 °C) after deposition. X-Ray Diffraction (XRD) and Transmission Electron Microscope (TEM) show that the layer of Ti{sub 3}SiC{sub 2} is epitaxially grown on the 4H-SiC substrate. In addition the interface looks sharp and smooth with evidence of interfacial ordering. Moreover, during the annealing procedure, the formation of unwanted aluminum oxide was detected by using X-Ray Photoelectron Spectroscopy (XPS); this layer can be removed by using a specific annealing procedure.

  19. Rapid thermally annealed plasma deposited SiNx:H thin films: Application to metal-insulator-semiconductor structures with Si, In0.53Ga0.47As, and InP

    International Nuclear Information System (INIS)

    Martil, I.; Prado, A. del; San Andres, E.; Gonzalez Diaz, G.; Martinez, F.L.

    2003-01-01

    We present in this article a comprehensive study of rapid thermal annealing (RTA) effects on the physical properties of SiN x :H thin films deposited by the electron cyclotron resonance plasma method. Films of different as-deposited compositions (defined in this article as the nitrogen to silicon ratio, x=N/Si) were analyzed: from Si-rich (x=0.97) to N-rich (x=1.6) films. The evolution of the composition, bonding configuration, and paramagnetic defects with the annealing temperature are explained by means of different network bond reactions that take place depending on the as-deposited film composition. All the analyzed films release hydrogen, while Si-rich and near-stoichiometric (x=1.43) ones also lose nitrogen upon annealing. These films were used to make Al/SiN x :H/semiconductor devices with Si, In 0.53 Ga 0.47 As, and InP. After RTA treatments, the electrical properties of the three different SiN x :H/semiconductor interfaces can be explained, noting the microstructural modifications that SiN x :H experiences upon annealing

  20. Annealing Time Effect on Nanostructured n-ZnO/p-Si Heterojunction Photodetector Performance

    Science.gov (United States)

    Habubi, Nadir. F.; Ismail, Raid. A.; Hamoudi, Walid K.; Abid, Hassam. R.

    2015-02-01

    In this work, n-ZnO/p-Si heterojunction photodetectors were prepared by drop casting of ZnO nanoparticles (NPs) on single crystal p-type silicon substrates, followed by (15-60) min; step-annealing at 600∘C. Structural, electrical, and optical properties of the ZnO NPs films deposited on quartz substrates were studied as a function of annealing time. X-ray diffraction studies showed a polycrystalline, hexagonal wurtizte nanostructured ZnO with preferential orientation along the (100) plane. Atomic force microscopy measurements showed an average ZnO grain size within the range of 75.9 nm-99.9 nm with a corresponding root mean square (RMS) surface roughness between 0.51 nm-2.16 nm. Dark and under illumination current-voltage (I-V) characteristics of the n-ZnO/p-Si heterojunction photodetectors showed an improving rectification ratio and a decreasing saturation current at longer annealing time with an ideality factor of 3 obtained at 60 min annealing time. Capacitance-voltage (C-V) characteristics of heterojunctions were investigated in order to estimate the built-in-voltage and junction type. The photodetectors, fabricated at optimum annealing time, exhibited good linearity characteristics. Maximum sensitivity was obtained when ZnO/Si heterojunctions were annealed at 60 min. Two peaks of response, located at 650 nm and 850 nm, were observed with sensitivities of 0.12-0.19 A/W and 0.18-0.39 A/W, respectively. Detectivity of the photodetectors as function of annealing time was estimated.

  1. Damage accumulation and annealing in 6H-SiC irradiated with Si+

    International Nuclear Information System (INIS)

    Jiang, W.; Weber, W.J.; Thevuthasan, S.; McCready, D.E.

    1998-01-01

    Damage accumulation and annealing in 6H-silicon carbide (α-SiC) single crystals have been studied in situ using 2.0 MeV He + RBS in a left angle 0001 right angle -axial channeling geometry (RBS/C). The damage was induced by 550 keV Si + ion implantation (30 off normal) at a temperature of -110 C, and the damage recovery was investigated by subsequent isochronal annealing (20 min) over the temperature range from -110 C to 900 C. At ion fluences below 7.5 x 10 13 Si + /cm 2 (0.04 dpa in the damage peak), only point defects appear to be created. Furthermore, the defects on the Si sublattice can be completely recovered by thermal annealing at room temperature (RT), and recovery of defects on the C sublattice is suggested. At higher fluences, amorphization occurs; however, partial damage recovery at RT is still observed, even at a fluence of 6.6 x 10 14 Si + /cm 2 (0.35 dpa in the damage peak) where a buried amorphous layer is produced. At an ion fluence of 6.0 x 10 15 Si + /cm 2 (-90 C), an amorphous layer is created from the surface to a depth of 0.6 μm. Because of recovery processes at the buried crystalline-amorphous interface, the apparent thickness of this amorphous layer decreases slightly (<10%) with increasing temperature over the range from -90 C to 600 C. (orig.)

  2. Thermal stability of Cu/α-Ta/SiO2/Si structures

    International Nuclear Information System (INIS)

    Yuan, Z.L.; Zhang, D.H.; Li, C.Y.; Prasad, K.; Tan, C.M.

    2004-01-01

    The thermal stability of the Cu/α-Ta/SiO 2 /Si structures is investigated. Tantalum oxides are first observed at the interface between Cu and Ta due to residual oxygen in the annealing ambient at low annealing temperatures (about 600 deg. C). Ternary Cu-Ta oxides and/or Ta oxides rather than Cu oxides are found at the Cu top layer on account of the out diffusion of Ta. After high temperature annealing (up to 750 deg. C), polycrystalline Tantalum oxides (Ta 2 O 5 ) and Ta-rich silicides (Ta 5 Si 3 ) are found as dominant products due to the dissociation of SiO 2 . A severe intermixing of Cu, Ta and SiO 2 was observed after 800 deg. C annealing. First a drop and then an increase in sheet resistances were observed, the former possibly resulting from grain growth and impurities removal in Cu films, and the latter from the reduction of Cu thickness and formation of high resistivity products. The α-Ta films with a thickness of 25 nm have good barrier effectiveness up to 750 deg. C. The degradation of α-Ta film is mainly caused by self oxidation, silicidation and bidirectional diffusion

  3. Microstructural modifications induced by rapid thermal annealing in plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F.J.; Fernandez, M.; Martinez, F.L.

    2003-01-01

    The effect of rapid thermal annealing (RTA) processes on the structural properties of SiO x N y H z films was investigated. The samples were deposited by the electron cyclotron resonance plasma method, using SiH 4 , O 2 and N 2 as precursor gases. For SiO x N y H z films with composition close to that of SiO 2 , which have a very low H content, RTA induces thermal relaxation of the lattice and improvement of the structural order. For films of intermediate composition and of compositions close to SiN y H z , the main effect of RTA is the release of H at high temperatures (T>700 deg. C). This H release is more significant in films containing both Si-H and N-H bonds, due to cooperative reactions between both kinds of bonds. In these films the degradation of structural order associated to H release prevails over thermal relaxation, while in those films with only N-H bonds, thermal relaxation predominates. For annealing temperatures in the 500-700 deg. C range, the passivation of dangling bonds by the nonbonded H in the films and the transition from the paramagnetic state to the diamagnetic state of the K center result in a decrease of the density of paramagnetic defects. The H release observed at high annealing temperatures is accompanied by an increase of density of paramagnetic defects

  4. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  5. Infrared studies of the evolution of the C{sub i}O{sub i}(Si{sub I}) defect in irradiated Si upon isothermal anneals

    Energy Technology Data Exchange (ETDEWEB)

    Angeletos, T.; Londos, C. A., E-mail: hlontos@phys.uoa.gr [University of Athens, Solid State Physics Section, Panepistimiopolis Zografos, Athens 157 84 (Greece); Chroneos, A., E-mail: alexander.chroneos@imperial.ac.uk [Faculty of Engineering, Environment and Computing, Coventry University, Priory Street, Coventry CV1 5FB (United Kingdom); Department of Materials, Imperial College London, London SW7 2AZ (United Kingdom)

    2016-03-28

    Carbon-oxygen-self-interstitial complexes were investigated in silicon by means of Fourier transform infrared spectroscopy. Upon irradiation, the C{sub i}O{sub i} defect (C{sub 3}) forms which for high doses attract self-interstitials (Si{sub I}s) leading to the formation of the C{sub i}O{sub i}(Si{sub I}) defect (C{sub 4}) with two well-known related bands at 939.6 and 1024 cm{sup −1}. The bands are detectable in the spectra both in room temperature (RT) and liquid helium (LH) temperature. Upon annealing at 150 °C, these bands were transformed to three bands at 725, 952, and 973 cm{sup −1}, detectable only at LH temperatures. Upon annealing at 220 °C, these bands were transformed to three bands at 951, 969.5, and 977 cm{sup −1}, detectable both at RT and LH temperatures. Annealing at 280 °C resulted in the transformation of these bands to two new bands at 973 and 1024 cm{sup −1}. The latter bands disappear from the spectra upon annealing at 315 °C without the emergence of other bands in the spectra. Considering reaction kinetics and defect metastability, we developed a model to describe the experimental results. Annealing at 150 °C triggers the capturing of Si{sub I}s by the C{sub 4} defect leading to the formation of the C{sub i}O{sub i}(Si{sub I}){sub 2} complex. The latter structure appears to be bistable: measuring at LH, the defect is in configuration C{sub i}O{sub i}(Si{sub I}){sub 2} giving rise to the bands at 725, 952, and 973 cm{sup −1}, whereas on measurements at RT, the defect converts to another configuration C{sub i}O{sub i}(Si{sub I}){sub 2}{sup *} without detectable bands in the spectra. Possible structures of the two C{sub i}O{sub i}(Si{sub I}){sub 2} configurations are considered and discussed. Upon annealing at 220 °C, additional Si{sub I}s are captured by the C{sub i}O{sub i}(Si{sub I}){sub 2} defect leading to the formation of the C{sub i}O{sub i}(Si{sub I}){sub 3} complex, which in turn on annealing at

  6. Effect of the Annealing Temperature on the Structure and Magnetic Properties of 2% Si Steel

    Directory of Open Access Journals (Sweden)

    Cunha Marco A. da

    2002-01-01

    Full Text Available To study the effect of the annealing temperature on the structure and magnetic properties of a 2%Si non-oriented steel cold rolled samples were submitted to final annealing in the temperature range of 540 °C to 980 °C in hydrogen atmosphere. The samples had received cold rolling reduction of 75% to a final thickness of 0.50 mm. Recovery and recrystallization resulted in significant improvement of magnetic properties, with decrease of iron loss (W1.5 and increase of polarisation (J50 and relative permeability (µ1.5. On further grain growth, after recrystallization, there was simultaneous decrease of iron loss, polarisation and relative permeability. Texture evolution on grain growth accounts for the observed decrease of J50 and µ1.5. The beneficial effect of increasing grain size on core loss overcomes the detrimental effect of texture resulting in decrease of W1.5.

  7. Structural and magnetic properties of Mn-implanted Si

    International Nuclear Information System (INIS)

    Zhou Shengqiang; Potzger, K.; Zhang Gufei; Muecklich, A.; Eichhorn, F.; Schell, N.; Groetzschel, R.; Schmidt, B.; Skorupa, W.; Helm, M.; Fassbender, J.; Geiger, D.

    2007-01-01

    Structural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi 1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence, the size increases from 5 nm to 20 nm upon rapid thermal annealing. No significant evidence is found for Mn substituting Si sites either in the as-implanted or annealed samples. The observed ferromagnetism yields a saturation moment of 0.21μ B per implanted Mn at 10 K, which could be assigned to MnSi 1.7 nanoparticles as revealed by a temperature-dependent magnetization measurement

  8. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  9. Annealing study on radiation-induced defects in 6H-SiC

    International Nuclear Information System (INIS)

    Pinheiro, M.V.B.; Lingner, T.; Caudepon, F.; Greulich-Weber, S.; Spaeth, J.M.

    2004-01-01

    We present the results of a systematic isochronal annealing investigation of vacancy-related defects in electron-irradiated n-type 6H-SiC:N. A series of 10 samples cut from a commercial wafer and annealed up to 1200 C after electron-irradiation (1.5 x 10 18 cm -3 ) was characterized with photoluminescence (PL), Magnetic circular dichroism of the absorption (MCDA) and conventional electron paramagnetic resonance (EPR). Apart from less stable triplet-related defects which vanished between 150 C and 300 C, the thermal behavior of three radiation-induced defects was studied: the silicon vacancy (V Si ), the carbon-antisite-carbon-vacancy pair (C Si -V C ) and the D1 center. Their annealing behavior showed that the destruction of the isolated V Si between 750 C and 900 C is followed by the formation of thermally more stable C Si -V C pairs, a result that has been theoretically predicted recently. By further heating the samples the C Si -V C pairs are annealed out between 900 C and 1050 C and were followed by an increase in the D1 center concentration. (orig.)

  10. Variation in the Optical Properties of the SiC-SiO2 Composite Antireflection Layer in Crystalline Silicon Solar Cells by Annealing

    Science.gov (United States)

    Jannat, Azmira; Li, Zhen Yu; Akhter, M. Shaheer; Yang, O.-Bong

    2017-11-01

    This study showed the effects of annealing on a sol-gel-derived SiC-SiO2 composite antireflection (AR) layer and investigated the optical and photovoltaic properties of crystalline silicon (Si) solar cells. The SiC-SiO2 composite AR coating showed a considerable decrease in reflectance from 7.18% to 3.23% at varying annealing temperatures of 450-800°C. The refractive indices of the SiC-SiO2 composite AR layer were tuned from 2.06 to 2.45 with the increase in annealing temperature. The analysis of the current density-voltage characteristics indicated that the energy conversion efficiencies of the fabricated Si solar cells gradually increased from 16.99% to 17.73% with increasing annealing temperatures of 450-800°C. The annealing of the SiC-SiO2 composite AR layer in Si solar cells was crucial to improving the optical, morphological, and photovoltaic properties.

  11. Thermal evolution of the morphology, structure, and optical properties of multilayer nanoperiodic systems produced by the vacuum evaporation of SiO and SiO2

    International Nuclear Information System (INIS)

    Ershov, A. V.; Chugrov, I. A.; Tetelbaum, D. I.; Mashin, A. I.; Pavlov, D. A.; Nezhdanov, A. V.; Bobrov, A. I.; Grachev, D. A.

    2013-01-01

    The alternate vacuum evaporation of SiO and SiO 2 from separate sources is used to produce amorphous a-SiO x /SiO 2 multilayer nanoperiodic structures with periods of 5–10 nm and a number of layers of up to 64. The effect of annealing at temperatures T a = 500–1100°C on the structural and optical properties of the nanostructures is studied. The results of transmission electron microscopy of the samples annealed at 1100°C indicate the annealing-induced formation of vertically ordered quasiperiodic arrays of Si nanocrystals, whose dimensions are comparable to the a-SiO x -layer thickness in the initial nanostructures. The nanostructures annealed at 1100°C exhibit size-dependent photoluminescence in the wavelength range 750–830 nm corresponding to Si nanocrystals. The data on infrared absorption and Raman scattering show that the thermal evolution of structural and phase state of the SiO x layers with increasing annealing temperature proceeds through the formation of amorphous Si nanoinclusions with the subsequent formation and growth of Si nanocrystals.

  12. Thermal effect on structural and magnetic properties of Fe78B13Si9 annealed amorphous ribbons

    Science.gov (United States)

    Soltani, Mohamed Larbi; Touares, Abdelhay; Aboki, Tiburce A. M.; Gasser, Jean-Georges

    2017-08-01

    In the present work, we study the influence of thermal treatments on the magnetic properties of as-quenched and pre-crystallized Fe78Si9B13 after stress relaxation. The crystallization behavior of amorphous and treated Fe78Si9B13 ribbons was revisited. The measurements were carried out by means of Differential Scanning Calorimetry, by X-ray diffraction and by Vibrating Sample Magnetometer, Susceptometer and fluxmeter. Relaxed samples were heated in the resistivity device up to 700°C and annealed near the onset temperature about 420°C for respectively 1, 3, 5, 8 hours. In as-quenched samples, two transition points occur at about 505°C and 564°C but in relaxed sample, the transition points have been found about 552°C and 568°C. Kinetics of crystallization was deduced for all studied samples. Annealing of the as-purchased ribbon shows the occurrence of α-Fe and tetragonal Fe3B resulting from the crystallization of the remaining amorphous phase. The effects on magnetic properties were pointed out by relating the structural evolution of the samples. The magnetic measurements show that annealing change the saturation magnetization and the coercive magnetic field values, hence destroying the good magnetic properties of the material. The heat treatment shows that the crystallization has greatly altered the shape of the cycles and moved the magnetic saturation point of the samples. The effect of treatment on the magneto-crystalline anisotropy is also demonstrated.

  13. Annealing effect on Schottky barrier inhomogeneity of graphene/n-type Si Schottky diodes

    International Nuclear Information System (INIS)

    Lin, Yow-Jon; Lin, Jian-Huang

    2014-01-01

    Highlights: • The current–voltage characteristics of graphene/n-type Si devices were measured. • The ideality factor increases with the decrease measurement temperatures. • Such behavior is attributed to Schottky barrier inhomogeneities. • Both Schottky barrier inhomogeneity and the T 0 effect are affected by annealing. • Stoichiometry of SiO x has a noticeable effect on the inhomogeneous barriers. - Abstract: The current–voltage characteristics of graphene/n-type Si (n-Si) Schottky diodes with and without annealing were measured in the temperature range of −120 to 30 °C and analyzed on the basis of thermionic emission theory. It is found that the barrier height decreases and the ideality factor increases with the decrease measurement temperatures. Such behavior is attributed to Schottky barrier inhomogeneities. It is shown that both the barrier height and the ideality factor can be tuned by changing the annealing temperature. Through the analysis, it can be suspected that a SiO x layer at the graphene/n-Si interfaces influences the electronic conduction through the device and stoichiometry of SiO x is affected by annealing treatment. In addition, both Schottky barrier inhomogeneity and the T 0 effect are affected by annealing treatment, implying that stoichiometry of SiO x has a noticeable effect on the inhomogeneous barriers of graphene/n-Si Schottky diodes

  14. Annealing temperature effect on self-assembled Au droplets on Si (111).

    Science.gov (United States)

    Sui, Mao; Li, Ming-Yu; Kim, Eun-Soo; Lee, Jihoon

    2013-12-13

    We investigate the effect of annealing temperature on self-assembled Au droplets on Si (111). The annealing temperature is systematically varied while fixing other growth parameters such as deposition amount and annealing duration clearly to observe the annealing temperature effect. Self-assembled Au droplets are fabricated by annealing from 50°C to 850°C with 2-nm Au deposition for 30 s. With increased annealing temperatures, Au droplets show gradually increased height and diameter while the density of droplets progressively decreases. Self-assembled Au droplets with fine uniformity can be fabricated between 550°C and 800°C. While Au droplets become much larger with increased deposition amount, the extended annealing duration only mildly affects droplet size and density. The results are systematically analyzed with cross-sectional line profiles, Fourier filter transform power spectra, height histogram, surface area ratio, and size and density plots. This study can provide an aid point for the fabrication of nanowires on Si (111).

  15. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  16. Effects of buffer layer annealing temperature on the structural and optical properties of hydrothermal grown ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Heo, J.H.; Shin, C.M. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-02-01

    ZnO was deposited on bare Si(1 0 0), as-deposited, and annealed ZnO/Si(1 0 0) substrates by hydrothermal synthesis. The effects of a ZnO buffer layer and its thermal annealing on the properties of the ZnO deposited by hydrothermal synthesis were studied. The grain size and root mean square (RMS) roughness values of the ZnO buffer layer increased after thermal annealing of the buffer layer. The effect of buffer layer annealing temperature on the structural and optical properties was investigated by photoluminescence, X-ray diffraction, atomic force microscopy, and scanning electron microscopy. Hydrothermal grown ZnO deposited on ZnO/Si(1 0 0) annealed at 750 deg. C with the concentration of 0.3 M exhibits the best structural and optical properties.

  17. Annealing Behavior of Al-Implantation-Induced Disorder in 4H-SiC

    International Nuclear Information System (INIS)

    Zhang, Yanwen; Weber, William J.; Jiang, Weilin; Shutthanandan, V.; Thevuthasan, Suntharampillai; Janson, Martin; Hallen, Anders

    2004-01-01

    Single crystal 4H-SiC films were implanted at 150 K with 1.1 MeV Al 2 2+ and subsequently annealed at elevated temperatures. Rutherford backscattering spectrometry (RBS) results indicate that the relative Si disorder at the damage peak recovers significantly as the annealing temperature increases. However, the residual Si disorder is more resistant to high-temperature annealing in the region of the implanted Al. The maximum concentration of Al profile measured by secondary ion mass spectroscopy (SIMS) is a factor of 1000 lower than the level of the residual Si disorder at the same region. Analysis of these results indicates that the excess residual Si disorder around the implanted Al projected range cannot be accounted for by just the Al interstitials; instead, it appears that each implanted Al stabilizes or inhibits recovery for an equivalent of a few hundred Si interstitials under the current experimental conditions

  18. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  19. Annealing effect on redistribution of atoms in austenite of Fe-Ni-Mo and Fe-Ni-Si alloys

    International Nuclear Information System (INIS)

    Rodionov, Yu.L.; Isfandiyarov, G.G.; Zambrzhitskij, V.N.

    1980-01-01

    Using the Moessbauer spectrum method, studied has been the change in the fine atomic structure of the Fe-(28-36)%Ni austenite alloys with Mo and Si additives during annealing in the 200-800 deg C range. Also, the energy of the activation of processes, occurring at the annealing temperatures of below 500 deg C has been researched. On the basis of the obtained results a conclusion is drawn that the annealing of the investigated alloys at 300-500 deg C is conducive to the redistribution of the atoms of the alloying element and to the formation of regions with a higher content of Ni and Mo(Si) atoms

  20. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  1. Effects of nitrogen annealing on surface structure, silicide formation ...

    Indian Academy of Sciences (India)

    Effects of nitrogen annealing on structural and magnetic properties of Co/Si (100) up to 700◦C has been studied in this ... are dictated by uniformity of interdiffusion parallel to inter- ..... AFM images confirmed increase in the nanocrystalline.

  2. The dependence of the interface and shape on the constrained growth of nc-Si in a-SiN sub x /a-Si:H/a-SiN sub x structures

    CERN Document Server

    Zhang Li; Wang Li; Li Wei; Xu Jun; Huang Xin Fan; Chen Kun Ji

    2002-01-01

    Size-controlled nanocrystalline silicon (nc-Si) has been prepared from a-SiN sub x /a-Si:H/a-SiN sub x ('a' standing for amorphous) structures by thermal annealing. Transmission electron microscope analyses show that the lateral size of the nc-Si is controlled by the annealing conditions and the a-Si sublayer thickness. The deviation of the nc-Si grain size distribution decreases with the a-Si sublayer thickness, so thinner a-Si sublayers are favourable for obtaining uniform nc-Si grains. In the a-Si:H (10 nm) sample annealed at 1000 deg. C for 30 min, an obvious bi-modal size distribution of nc-Si grains appears, but no obvious bi-modal size distribution is found in other samples with thinner a-Si:H sublayers. On the basis of the experimental results, we discuss the process of transition from the sphere-like shape to the disc-like shape in the growth model of the nc-Si crystallization. The critical thickness of the a-Si sublayer for the constrained crystallization can be determined by the present model. More...

  3. Room-temperature annealing of Si implantation damage in InP

    International Nuclear Information System (INIS)

    Akano, U.G.; Mitchell, I.V.

    1991-01-01

    Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice

  4. Effect of rapid thermal annealing temperature on the dispersion of Si nanocrystals in SiO2 matrix

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2015-01-01

    Effect of rapid thermal annealing temperature on the dispersion of silicon nanocrystals (Si-NC’s) embedded in SiO 2 matrix grown by atom beam sputtering (ABS) method is reported. The dispersion of Si NCs in SiO 2 is an important issue to fabricate high efficiency devices based on Si-NC’s. The transmission electron microscopy studies reveal that the precipitation of excess silicon is almost uniform and the particles grow in almost uniform size upto 850 °C. The size distribution of the particles broadens and becomes bimodal as the temperature is increased to 950 °C. This suggests that by controlling the annealing temperature, the dispersion of Si-NC’s can be controlled. The results are supported by selected area diffraction (SAED) studies and micro photoluminescence (PL) spectroscopy. The discussion of effect of particle size distribution on PL spectrum is presented based on tight binding approximation (TBA) method using Gaussian and log-normal distribution of particles. The study suggests that the dispersion and consequently emission energy varies as a function of particle size distribution and that can be controlled by annealing parameters

  5. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  6. Enhanced TiC/SiC Ohmic contacts by ECR hydrogen plasma pretreatment and low-temperature post-annealing

    International Nuclear Information System (INIS)

    Liu, Bingbing; Qin, Fuwen; Wang, Dejun

    2015-01-01

    Highlights: • Low-temperature ECR microwave hydrogen plasma were pretreated for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces. • The relationship among Ohmic properties, the SiC surface properties and TiC/SiC interface properties were established. • Interface band structures were analyzed to elucidate the mechanism by which the Ohmic contacts were formed. - Abstract: We proposed an electronic cyclotron resonance (ECR) microwave hydrogen plasma pretreatment (HPT) for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces and formed ideal TiC/SiC Ohmic contacts with significantly low contact resistivity (1.5 × 10"−"5 Ω cm"2) after low-temperature annealing (600 °C). This is achieved by reducing barrier height at TiC/SiC interface because of the release of pinned Fermi level by surface flattening and SiC surface states reduction after HPT, as well as the generation of donor-type carbon vacancies, which reduced the depletion-layer width for electron tunneling after annealing. Interface band structures were analyzed to elucidate the mechanism of Ohmic contact formations.

  7. Effect of annealing on magnetic properties and structure of Fe-Ni based magnetic microwires

    International Nuclear Information System (INIS)

    Zhukova, V.; Korchuganova, O.A.; Aleev, A.A.; Tcherdyntsev, V.V.; Churyukanova, M.; Medvedeva, E.V.; Seils, S.; Wagner, J.; Ipatov, M.; Blanco, J.M.; Kaloshkin, S.D.; Aronin, A.; Abrosimova, G.; Orlova, N.

    2017-01-01

    Highlights: • High domain wall mobility of Fe-Ni-based microwires. • Enhancement of domain wall velocity and mobility in Fe-rich microwires after annealing. • Observation of areas enriched by Si and depleted by B after annealing. • Phase separation in annealed Fe-Ni based microwires in metallic nucleus and near the interface layer. - Abstract: We studied the magnetic properties and domain wall (DW) dynamics of Fe 47.4 Ni 26.6 Si 11 B 13 C 2 and Fe 77.5 Si 7.5 B 15 microwires. Both samples present rectangular hysteresis loop and fast magnetization switching. Considerable enhancement of DW velocity is observed in Fe 77.5 Si 7.5 B 15 , while DW velocity of samples Fe 47.4 Ni 26.6 Si 11 B 13 C 2 is less affected by annealing. The other difference is the magnetic field range of the linear region on dependence of domain wall velocity upon magnetic field: in Fe 47.4 Ni 26.6 Si 11 B 13 C 2 sample is considerably shorter and drastically decreases after annealing. We discussed the influence of annealing on DW dynamics considering different magnetoelastic anisotropy of studied microwires and defects within the amorphous state in Fe 47.4 Ni 26.6 Si 11 B 13 C 2 . Consequently we studied the structure of Fe 47.4 Ni 26.6 Si 11 B 13 C 2 sample using X-ray diffraction and the atom probe tomography. The results obtained using the atom probe tomography supports the formation of the B-depleted and Si-enriched precipitates in the metallic nucleus of Fe-Ni based microwires.

  8. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  9. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    International Nuclear Information System (INIS)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-01-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN x /SiN y multilayers with high on/off ratio of 10 9 . High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  10. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    Science.gov (United States)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-09-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiNx/SiNy multilayers with high on/off ratio of 109. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  11. Si diffusion in compositional disordering of Si-implanted GaAs/AlGaAs superlattices induced by rapid thermal annealing

    International Nuclear Information System (INIS)

    Uematsu, Masashi; Yanagawa, Fumihiko

    1988-01-01

    The Si diffusion in Si-implanted GaAs/Al 0.5 Ga 0.5 As superlattices intermixed in the disrodering process induced by rapid thermal annealing (RTA), is investigated by means of secondary ion mass spectroscopy (SIMS). The SIMS profiles indicate that no fast Si diffusion occurs during the disordering, and the disordering occurs when the Si concentration exceeds 1 x 10 19 cm -3 , which is about three times larger than the threshold value for the disordering by furnace annealing (FA). The number of Si atoms which are allowed to pass through the heterointerface is considered to be essential for disordering. (author)

  12. Effect of annealing on magnetic properties and structure of Fe-Ni based magnetic microwires

    Energy Technology Data Exchange (ETDEWEB)

    Zhukova, V. [Dpto. de Física de Materiales, Fac. Químicas, UPV/EHU, 20018 San Sebastian (Spain); Dpto. de Física Aplicada, EUPDS, UPV/EHU, 20018 San Sebastian (Spain); Korchuganova, O.A.; Aleev, A.A. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), 115409 Moscow (Russian Federation); Tcherdyntsev, V.V.; Churyukanova, M. [National University of Science and Technology «MISIS», 119049 Moscow (Russian Federation); Medvedeva, E.V. [Institute of Electrophysics, Ural Branch, Russian Academy of Sciences 620016 Yekaterinburg (Russian Federation); Seils, S.; Wagner, J. [Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology, 76131 Karlsruhe (Germany); Ipatov, M. [Dpto. de Física de Materiales, Fac. Químicas, UPV/EHU, 20018 San Sebastian (Spain); Dpto. de Física Aplicada, EUPDS, UPV/EHU, 20018 San Sebastian (Spain); Blanco, J.M. [Dpto. de Física Aplicada, EUPDS, UPV/EHU, 20018 San Sebastian (Spain); Kaloshkin, S.D. [National University of Science and Technology «MISIS», 119049 Moscow (Russian Federation); Aronin, A. [National University of Science and Technology «MISIS», 119049 Moscow (Russian Federation); Insitute of Solid State Physics, Moscow Region, 142432 Chernogolovka (Russian Federation); Abrosimova, G.; Orlova, N. [Insitute of Solid State Physics, Moscow Region, 142432 Chernogolovka (Russian Federation); and others

    2017-07-01

    Highlights: • High domain wall mobility of Fe-Ni-based microwires. • Enhancement of domain wall velocity and mobility in Fe-rich microwires after annealing. • Observation of areas enriched by Si and depleted by B after annealing. • Phase separation in annealed Fe-Ni based microwires in metallic nucleus and near the interface layer. - Abstract: We studied the magnetic properties and domain wall (DW) dynamics of Fe{sub 47.4}Ni{sub 26.6}Si{sub 11}B{sub 13}C{sub 2} and Fe{sub 77.5}Si{sub 7.5}B{sub 15} microwires. Both samples present rectangular hysteresis loop and fast magnetization switching. Considerable enhancement of DW velocity is observed in Fe{sub 77.5}Si{sub 7.5}B{sub 15}, while DW velocity of samples Fe{sub 47.4}Ni{sub 26.6}Si{sub 11}B{sub 13}C{sub 2} is less affected by annealing. The other difference is the magnetic field range of the linear region on dependence of domain wall velocity upon magnetic field: in Fe{sub 47.4}Ni{sub 26.6}Si{sub 11}B{sub 13}C{sub 2} sample is considerably shorter and drastically decreases after annealing. We discussed the influence of annealing on DW dynamics considering different magnetoelastic anisotropy of studied microwires and defects within the amorphous state in Fe{sub 47.4}Ni{sub 26.6}Si{sub 11}B{sub 13}C{sub 2}. Consequently we studied the structure of Fe{sub 47.4}Ni{sub 26.6}Si{sub 11}B{sub 13}C{sub 2} sample using X-ray diffraction and the atom probe tomography. The results obtained using the atom probe tomography supports the formation of the B-depleted and Si-enriched precipitates in the metallic nucleus of Fe-Ni based microwires.

  13. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  14. Effect of rapid thermal annealing temperature on the dispersion of Si nanocrystals in SiO{sub 2} matrix

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Nupur, E-mail: n1saxena@gmail.com; Kumar, Pragati; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi-110007 (India)

    2015-05-15

    Effect of rapid thermal annealing temperature on the dispersion of silicon nanocrystals (Si-NC’s) embedded in SiO{sub 2} matrix grown by atom beam sputtering (ABS) method is reported. The dispersion of Si NCs in SiO{sub 2} is an important issue to fabricate high efficiency devices based on Si-NC’s. The transmission electron microscopy studies reveal that the precipitation of excess silicon is almost uniform and the particles grow in almost uniform size upto 850 °C. The size distribution of the particles broadens and becomes bimodal as the temperature is increased to 950 °C. This suggests that by controlling the annealing temperature, the dispersion of Si-NC’s can be controlled. The results are supported by selected area diffraction (SAED) studies and micro photoluminescence (PL) spectroscopy. The discussion of effect of particle size distribution on PL spectrum is presented based on tight binding approximation (TBA) method using Gaussian and log-normal distribution of particles. The study suggests that the dispersion and consequently emission energy varies as a function of particle size distribution and that can be controlled by annealing parameters.

  15. Pulsed Q-switched ruby laser annealing of Bi implanted Si crystals investigated by channeling

    International Nuclear Information System (INIS)

    Deutch, B.I.; Shih-Chang, T.; Shang-Hwai, L.; Zu-Yao, Z.; Jia-Zeng, H.; Ren-Zhi, D.; Te-Chang, C.; De-Xin, C.

    1979-01-01

    Channeling was used to investigate pulsed, Q switched ruby-laser annealed and thermally annealed Si single crystals implanted with 40-keV Bi ions to a dose of 10 15 atoms/cm 2 . After thermal annealing, residual damage decreased with increasing annealing temperature to a minimum value of 30% at 900 0 C. The Bi atoms in substitutional sites reached a maximum value (50%) after annealing at 750 0 C but decreased with increasing annealing temperature. Out diffusion of Bi atoms occurred at temperatures higher than 625 0 C. For comparison, the residual damage disappeared almost completely after pulsed-laser annealing (30 ns pulse width, Energy, E = 3J/cm 2 ). The concentration of Bi in Si exceeded its solid solubility by an order of magnitude; 95% of Bi atoms were annealed to substitutional sites. Laser pulses of different energies were used to investigate the efficiency of annealing. (author)

  16. Structural characterization of amorphous Fe-Si and its recrystallized layers

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Ishimaru, Manabu; Hirotsu, Yoshihiko; Valdez, James A.; Sickafus, Kurt E.

    2006-01-01

    We have synthesized amorphous Fe-Si thin layers and investigated their microstructure using transmission electron microscopy (TEM). Si single crystals with (1 1 1) orientation were irradiated with 120 keV Fe + ions to a fluence of 4.0 x 10 17 cm -2 at cryogenic temperature (120 K), followed by thermal annealing at 1073 K for 2 h. A continuous amorphous layer with a bilayered structure was formed on the topmost layer of the Si substrate in the as-implanted specimen: the upper layer was an amorphous Fe-Si, while the lower one was an amorphous Si. After annealing, the amorphous bilayer crystallized into a continuous β-FeSi 2 thin layer

  17. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  18. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  19. Marker experiments in growth studies of Ni2Si, Pd2Si, and CrSi2 formed both by thermal annealing and by ion mixing

    International Nuclear Information System (INIS)

    Hung, L.S.; Mayer, J.W.; Pai, C.S.; Lau, S.S.

    1985-01-01

    Inert markers (evaporated tungsten and silver) were used in growth studies of silicides formed both by thermal annealing and by ion mixing in the Ni/Si, Pd/Si, and Cr/Si systems. The markers were initially imbedded inside silicides and backscattering spectrometry was used to determine the marker displacement after different processing conditions. The results obtained in thermal annealing are quite consistent with that found in previous investigations. Ni is the dominant diffusing species in Ni 2 Si, while Si is the diffusing species in CrSi 2 . In Pd 2 Si, both Pd and Si are moving species with Pd the faster of the two. In contrast, in growth of silicides by ion irradiation Si is the faster diffusing species in all three systems

  20. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  1. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  2. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  3. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  4. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  5. Annealing induced low coercivity, nanocrystalline Co–Fe–Si thin films exhibiting inverse cosine angular variation

    Energy Technology Data Exchange (ETDEWEB)

    Hysen, T., E-mail: hysenthomas@gmail.com [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Al-Harthi, Salim; Al-Omari, I.A. [Department of Physics, Sultan Qaboos University, PC 123, Muscat, Sultanate of Oman (Oman); Geetha, P.; Lisha, R. [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Ramanujan, R.V. [School of Materials Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Sakthikumar, D. [Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe, Saitama (Japan); Anantharaman, M.R., E-mail: mra@cusat.ac.in [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India)

    2013-09-15

    Co–Fe–Si based films exhibit high magnetic moments and are highly sought after for applications like soft under layers in perpendicular recording media to magneto-electro-mechanical sensor applications. In this work the effect of annealing on structural, morphological and magnetic properties of Co–Fe–Si thin films was investigated. Compositional analysis using X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a native oxide surface layer consisting of oxides of Co, Fe and Si on the surface. The morphology of the as deposited films shows mound like structures conforming to the Volmer–Weber growth model. Nanocrystallisation of amorphous films upon annealing was observed by glancing angle X-ray diffraction and transmission electron microscopy. The evolution of magnetic properties with annealing is explained using the Herzer model. Vibrating sample magnetometry measurements carried out at various angles from 0° to 90° to the applied magnetic field were employed to study the angular variation of coercivity. The angular variation fits the modified Kondorsky model. Interestingly, the coercivity evolution with annealing deduced from magneto-optical Kerr effect studies indicates a reverse trend compared to magetisation observed in the bulk. This can be attributed to a domain wall pinning at native oxide layer on the surface of thin films. The evolution of surface magnetic properties is correlated with morphology evolution probed using atomic force microscopy. The morphology as well as the presence of the native oxide layer dictates the surface magnetic properties and this is corroborated by the apparent difference in the bulk and surface magnetic properties. - Highlights: • The relation between grain size and magnetic properties in Co–Fe–Si thin films obeys the Herzer model. • Angular variation of coercivity is found to obey the Kondorsky model. • The MOKE measurements provide further evidence for domain wall pinning.

  6. The structure and elemental composition of the SiO2 layers with zinc-based nano clusters created by high-dose implantation and annealing

    International Nuclear Information System (INIS)

    Mokhovikov, M.A.; Komarov, F.F.; Vlasukova, L.A.; Mil'chanin, O.V.; Wendler, E.; Wesch, W.; Zhukovski, P.; Vengerek, P.

    2015-01-01

    We present the results of the structure and elemental composition of the SiO 2 layers after high-dose zinc implantation (10 16 - 10 17 sm -2 ) at room temperature and at 500°C, as well as after 700°C annealing. In the case of 'hot' implantation the formation of nano sized (to 5 nm) clusters containing atoms of zinc is registered in as-implanted samples. TEM-analysis proves crystalline structure of these precipitates. Subsequent annealing results in a redistribution of zinc within the implanted layer and in the formation of large crystallites (10 -12 nm for a dose of 5*10 16 cm -2 and 12-18 nm for a dose of 10 17 cm -2 ) in the area of high impurity concentration. (authors)

  7. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  8. Effect of annealing on magnetic properties and structure of Fe-Ni based magnetic microwires

    Science.gov (United States)

    Zhukova, V.; Korchuganova, O. A.; Aleev, A. A.; Tcherdyntsev, V. V.; Churyukanova, M.; Medvedeva, E. V.; Seils, S.; Wagner, J.; Ipatov, M.; Blanco, J. M.; Kaloshkin, S. D.; Aronin, A.; Abrosimova, G.; Orlova, N.; Zhukov, A.

    2017-07-01

    We studied the magnetic properties and domain wall (DW) dynamics of Fe47.4Ni26.6Si11B13C2 and Fe77.5Si7.5B15 microwires. Both samples present rectangular hysteresis loop and fast magnetization switching. Considerable enhancement of DW velocity is observed in Fe77.5Si7.5B15, while DW velocity of samples Fe47.4Ni26.6Si11B13C2 is less affected by annealing. The other difference is the magnetic field range of the linear region on dependence of domain wall velocity upon magnetic field: in Fe47.4Ni26.6Si11B13C2 sample is considerably shorter and drastically decreases after annealing. We discussed the influence of annealing on DW dynamics considering different magnetoelastic anisotropy of studied microwires and defects within the amorphous state in Fe47.4Ni26.6Si11B13C2. Consequently we studied the structure of Fe47.4Ni26.6Si11B13C2 sample using X-ray diffraction and the atom probe tomography. The results obtained using the atom probe tomography supports the formation of the B-depleted and Si-enriched precipitates in the metallic nucleus of Fe-Ni based microwires.

  9. Spin accumulation in Si channels using CoFe/MgO/Si and CoFe/AlOx/Si tunnel contacts with high quality tunnel barriers prepared by radical-oxygen annealing

    International Nuclear Information System (INIS)

    Akushichi, T.; Shuto, Y.; Sugahara, S.; Takamura, Y.

    2015-01-01

    We investigate spin injection into Si channels using three-terminal spin-accumulation (3T-SA) devices with high-quality CoFe/MgO/n-Si and CoFe/AlO x /n-Si tunnel spin-injectors whose tunnel barriers are formed by radical oxidation of Mg and Al thin films deposited on Si(100) substrates and successive annealing under radical-oxygen exposure. When the MgO and AlO x barriers are not treated by the radical-oxygen annealing, the Hanle-effect signals obtained from the 3T-SA devices are closely fitted by a single Lorentz function representing a signal due to trap spins. On the other hand, when the tunnel barriers are annealed under radical-oxygen exposure, the Hanle-effect signals can be accurately fitted by the superposition of a Lorentz function and a non-Lorentz function representing a signal due to accumulated spins in the Si channel. These results suggest that the quality improvement of tunnel barriers treated by radical-oxygen annealing is highly effective for spin-injection into Si channels

  10. Electro-physical properties of a Si-based MIS structure with a low-k SiOC(-H) film

    Energy Technology Data Exchange (ETDEWEB)

    Zakirov, Anvar Sagatovich; Navamathavan, Rangaswamy; Kim, Seung Hyun; Jang, Yong Jun; Jung, An Soo; Choi, Chi Kyu [Cheju National University, Jeju (Korea, Republic of)

    2006-09-15

    SiOC(-H) films with low dielectric constants have been prepared by using plasma enhanced chemical vapor deposition with a mixture of methyltriethoxysilane and oxygen precursors. The C-V characteristics of the structures, Al/SiOC(-H)/p-Si(100), were studied in the forward and the reverse directions by applying a polarizing potential. We found that the ratio of the maximum to the minimum capacitance (C{sub ma}x{sub /}C{sub min}) depended on the [MTES/(MTES+O{sub 2})] flow rate ratio. Annealed samples exhibited even greater reductions of the maximum capacitance and the dielectric constant of the SiOC(-H) samples. After annealing at 400 .deg. C, the measurement in the reverse direction revealed an interesting behavior in the form of strongly pronounced 'steps'. The bonds between Si-O and the -CH{sub 3} group reduced the surface charge density, and the distribution of the surface charge density depended on [MTES/(MTES+O{sub 2})] flow rate ratio and the annealing temperature because the fixed positive (Si-CH{sub 3}){sup +} and negative (Si-O){sup -} changed the configuration at the SiOC(-H)/p-Si(100) interface. The SiOC(-H) film had donor (O{sub 2}) and acceptor (Si-CH{sub 3} -groups) levels, and the electronic process at the SiOC(-H)/p-Si(100) interface was defined by the (Si-CH{sub 3}){sup +} and the (Si-O){sup -} bonds.

  11. The influence of thermal annealing on the characteristics of Au/Ni Schottky contacts on n-type 4 H-SiC

    Science.gov (United States)

    Omotoso, E.; Auret, F. D.; Igumbor, E.; Tunhuma, S. M.; Danga, H. T.; Ngoepe, P. N. M.; Taleatu, B. A.; Meyer, W. E.

    2018-05-01

    The effects of isochronal annealing on the electrical, morphological and structural characteristics of Au/Ni/4 H-SiC Schottky barrier diodes (SBDs) have been studied. Current-voltage ( I- V), capacitance-voltage ( C- V), deep-level transient spectroscopy, scanning electron microscope (SEM) and X-ray diffraction measurements were employed to study the thermal effect on the characteristics of the SBDs. Prior to thermal annealing of Schottky contacts, the I- V measurements results confirmed the good rectification behaviour with ideality factor of 1.06, Schottky barrier height of 1.20 eV and series resistance of 7 Ω. The rectification properties after annealing was maintained up to an annealing temperature of 500 °C, but deviated slightly above 500 °C. The uncompensated ionized donor concentration decreased with annealing temperature, which could be attributed to out-diffusion of the 4 H-SiC into the Au/Ni contacts and decrease in bonding due to formation of nickel silicides. We observed the presence of four deep-level defects with energies 0.09, 0.11, 0.16 and 0.65 eV below the conduction band before and after the isochronal annealing up to 600 °C. The conclusion drawn was that annealing did not affect the number of deep-level defects present in Au/Ni/4 H-SiC contacts. The variations in electrical properties of the devices were attributed to the phase transformations and interfacial reactions that occurred after isochronal annealing.

  12. Comparison between thermal annealing and ion mixing of multilayered Ni-W films on Si. II

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between bilayered Ni/W films and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by electron-beam sequential deposition of Ni and W onto the Si substrates and following by either furnace annealing (approx. 200--900 0 C) or ion mixing (approx. 2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). Thermal annealing of both W/Ni/Si and Ni/W/Si samples led to the formation of Ni silicide next to the Si substrate and W silicide on the sample surface (layer reversal between Ni and W in the Ni/W/Si case). Ion mixing of W/Ni/Si samples led to the formation of Ni silicide with a thin layer of Ni-W-Si mixture located at the sample surface. For Ni/W/Si samples a ternary amorphous mixture of Ni-W-Si was obtained with ion mixing. These reactions were rationalized in terms of the mobilities of various atoms and the intermixings between layers

  13. Strain relaxation near high-k/Si interface by post-deposition annealing

    International Nuclear Information System (INIS)

    Emoto, T.; Akimoto, K.; Yoshida, Y.; Ichimiya, A.; Nabatame, T.; Toriumi, A.

    2005-01-01

    We studied the effect of post-deposition annealing on a HfO 2 /Si interface of by extremely asymmetric X-ray diffraction. Comparing the rocking curves before annealing the sample with those of the annealed sample, it is found that an interfacial layer with a density of 3 g/cm 3 grows at the interface between the HfO 2 layer and the substrate during post-deposition annealing. The wavelength dependency of the integrated intensities of the rocking curve for the as-deposited sample fluctuated with the observation position. This fluctuation was suppressed by annealing. From these results we concluded that the strain introduced into the substrate becomes homogeneous by annealing. Moreover, a quantitative estimation of the strain by curve fitting reveals the existence of compressive strain under the HfO 2 layer

  14. The influence of post-deposition annealing on the structure, morphology and luminescence properties of pulsed laser deposited La0.5Gd1.5SiO5 doped Dy3+ thin films

    Science.gov (United States)

    Ogugua, Simon N.; Swart, Hendrik C.; Ntwaeaborwa, Odireleng M.

    2018-04-01

    The influence of post-deposition annealing on the structure, particle morphology and photoluminescence properties of dysprosium (Dy3+) doped La0.5Gd1.5SiO5 thin films grown on Si(111) substrates at different substrate temperatures using pulsed laser deposition (PLD) technique were studied. The X-ray diffractometer results showed an improved crystallinity after post-annealing. The topography and morphology of the post-annealed films were studied using atomic force microscopy and field emission scanning electron microscopy respectively. The elemental composition in the surface region of the films were analyzed using energy dispersive X-ray spectroscopy. The photoluminescence studies showed an improved luminescent after post-annealing. The cathodoluminescence properties of the films are also reported. The CIE colour coordinates calculated from the photoluminescence and cathodoluminescence data suggest that the films can have potential application in white light emitting diode (LED) and field emission display (FED) applications.

  15. Microstructure and Dielectric Properties of LPCVD/CVI-SiBCN Ceramics Annealed at Different Temperatures

    Directory of Open Access Journals (Sweden)

    Jianping Li

    2017-06-01

    Full Text Available SiBCN ceramics were introduced into porous Si3N4 ceramics via a low-pressure chemical vapor deposition and infiltration (LPCVD/CVI technique, and then the composite ceramics were heat-treated from 1400 °C to 1700 °C in a N2 atmosphere. The effects of annealing temperatures on microstructure, phase evolution, dielectric properties of SiBCN ceramics were investigated. The results revealed that α-Si3N4 and free carbon were separated below 1700 °C, and then SiC grains formed in the SiBCN ceramic matrix after annealing at 1700 °C through a phase-reaction between free carbon and α-Si3N4. The average dielectric loss of composites increased from 0 to 0.03 due to the formation of dispersive SiC grains and the increase of grain boundaries.

  16. Effects of annealing temperatures on the morphological, mechanical, surface chemical bonding, and solar selectivity properties of sputtered TiAlSiN thin films

    International Nuclear Information System (INIS)

    Rahman, M. Mahbubur; Jiang, Zhong-Tao; Zhou, Zhi-feng; Xie, Zonghan; Yin, Chun Yang; Kabir, Humayun; Haque, Md. Mahbubul; Amri, Amun; Mondinos, Nicholas; Altarawneh, Mohammednoor

    2016-01-01

    Quaternary sputtered TiAlSiN coatings were investigated for their high temperature structural stability, surface morphology, mechanical behaviors, surface chemical bonding states, solar absorptance and thermal emittance for possible solar selective surface applications. The TiAlSiN films were synthesized, via unbalanced magnetron sputtered technology, on AISI M2 steel substrate and annealed at 500 °C - 800 °C temperature range. SEM micrographs show nanocomposite-like structure with amorphous grain boundaries. Nanoindentation analyses indicate a decrease of hardness, plastic deformation and constant yield strength for the coatings. XPS analysis show mixed Ti, Al and Si nitride and oxide as main coating components but at 800 °C the top layer of the coatings is clearly composed of only Ti and Al oxides. Synchrotron radiation XRD (SR-XRD) results indicate various Ti, Al and Si nitride and oxide phases, for the above annealing temperature range with a phase change occurring with the Fe component of the substrate. UV–Vis spectroscopy, FTIR spectroscopy studies determined a high solar selectivity, s of 24.6 for the sample annealed at 600 °C. Overall results show good structural and morphological stability of these coatings at temperatures up to 800 °C with a very good solar selectivity for real world applications. - Highlights: • TiAlSiN sputtered coatings were characterized for solar selective applications. • In situ synchrotron radiation XRD were studies show the occurrence of multiple stable phases. • A high selectivity of 24.63 has been achieved for the coatings annealed at 700 °C. • Existence of XRD phases were also confirmed by XPS measurements. • At high temperature annealing the mechanical properties of films were governed by the utmost surfaces of the films.

  17. Fluorescent SiC with pseudo-periodic moth-eye structures

    DEFF Research Database (Denmark)

    Ou, Yiyu; Aijaz, Imran; Ou, Haiyan

    2012-01-01

    White light-emitting diodes (LEDs) consisting of a nitride-based blue LED chip and phosphor are very promising candidates for the general lighting applications as energy-saving sources. Recently, donor-acceptor doped fluorescent SiC has been proven as a highly efficient wavelength converter...... to enhance the extraction efficiency, we present a simple method to fabricate the pseudo-periodic moth-eye structures on the surface of the fluorescent SiC. A thin gold layer is deposited on the fluorescent SiC first. Then the thin gold layer is treated by rapid thermal processing. After annealing, the thin...... gold layer turns into discontinuous nano-islands. The average size of the islands is dependent on the annealing condition which could be well controlled. By using the reactive-ion etching, pseudo-periodic moth-eye structures would be obtained using the gold nano-islands as a mask layer. Reactive...

  18. Effect of annealing induced residual stress on the resonance frequency of SiO2 microcantilevers

    Science.gov (United States)

    Balasubramanian, S.; Prabakar, K.; Tripura Sundari, S.

    2018-04-01

    In the present work, effect of residual stress, induced due to annealing of SiO2 microcantilevers (MCs) on their resonance frequency is studied. SiO2MCs of various dimensions were fabricated using direct laser writer & wet chemical etching method and were annealed at 800 °C in oxygen environment, post release. The residual stress was estimated from the deflection profile of the MCs measured using 3D optical microscope, before and after annealing. Resonance frequency of the MCs was measured using nano-vibration analyzer and was found to change after annealing. Further the frequency shift was found to depend on the MC dimensions. This is attributed to the large stress gradients induced by annealing and associated stiffness changes.

  19. Comparison between thermal annealing and ion mixing of alloyed Ni-W films on Si. I

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between Ni-W alloys and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by sputtering of Ni-W alloys, both Ni-rich and W-rich, onto the Si substrates, and followed by either furnace annealing (200--900 0 C) or ion mixing (2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). In general, thermal annealing and ion mixing lead to similar reactions. Phase separation between Ni and W with Ni silicides formed next to the Si substrate and W silicide formed on the surface was observed for both Ni-rich and W-rich samples under thermal annealing. Phase separation was also observed for Ni-rich samples under ion mixing; however, a Ni-W-Si ternary compound was possibly formed for ion-mixed W-rich samples. These reactions were rationalized in terms of the mobilities of various atoms and the energetics of the systems

  20. Mechanical and microstructural evolution of Hi-Nicalon Trade Mark SiC fibers annealed in O2-H2O-Ar atmospheres

    International Nuclear Information System (INIS)

    Li Siwei; Feng Zude; Mei Hui; Zhang Litong

    2008-01-01

    Hi-Nicalon fibers were exposed in 8% O 2 /78% Ar/14% H 2 O atmosphere for 1 h at 1300, 1400, 1500, 1600 deg. C, respectively. Residual tensile strength was evaluated by tensile test, phases in the fibers were identified using an X-ray diffractometer (XRD), morphology of the fracture surfaces and microstructure was observed by scanning electron microscope (SEM) and transmission electron microscope (TEM), respectively. Results indicated that residual tensile strength increased with increasing temperature from 1300 to 1500 deg. C, then decreased after annealing in 1600 deg. C. The grain size of β-SiC and the amount of the stacking faults increased under the elevated temperature as well. After annealing, a passive film with a structure of α-cristobalite crystals dispersed in amorphous SiO 2 phase formed on the fiber surface, the thickness of the film increased with the annealing temperature from 1300 to 1500 deg. C, after annealing in 1600 deg. C, fractional silica film spalled. Finally, relationship between the structural changes and the mechanical properties, the control effect of water vapor on formation and structural evolution of the passive film were discussed

  1. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  2. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100

    Directory of Open Access Journals (Sweden)

    Mastura Shafinaz Zainal Abidin

    2014-02-01

    Full Text Available The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100 substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100 orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  3. Visible light emission from silicon implanted and annealed SiO2layers

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Bottani, C.E.; Corni, F.; Tonini, R.; Ottaviani, G.P.

    1997-01-01

    Silicon implanted and annealed SiO 2 layers are studied using photoluminescence (PL) and positron annihilation spectroscopy (PAS). Two PL emission bands are observed. A band centered at 560 nm is present in as-implanted samples and it is still observed after 1,000 C annealing. The emission time is fast. A second band centered at 780 nm is detected after 1,000 C annealing. The intensity of the 780 nm band further increased when hydrogen annealing was performed. The emission time is long (1 micros to 0.2 ms). PAS results show that defects produced by implantation anneal at 600 C. Based on the annealing behavior and on the emission times, the origin of the two bands is discussed

  4. Effect of tensile stress on the annealed structure of a metallic glass

    International Nuclear Information System (INIS)

    Vianco, P.T.; Li, J.C.M.

    1987-01-01

    The low-temperature (120 0 --245 0 C) structural relaxation of Metglas/sup R/ 2826B (Ni 49 Fe 29 P 14 B 6 Si 2 ) amorphous alloy was investigated for samples subjected to a tensile stress in the range of 20--400 MPa during annealing. The stress-annealed samples demonstrated a much smaller increase of microhardness than was observed in similarly annealed ribbons without a stress. Further heat treatment of the stress-annealed specimens, this time without the stress, was capable of increasing the microhardnesses of only some ribbons to values equal to those of samples similarly heat treated initially without a stress. An additional exothermic peak in the differential scanning calorimetry (DSC) thermograms of the stress-annealed specimens indicated the presence of a more disordered structure at room temperature, which was found to correlate with the lower microhardness values. Otherwise, those artifacts of the DSC thermograms that were characteristic of samples annealed without a stress were still present in the stress-annealed ribbons. No effect on the crystallization temperature was noted but the glass transition temperature was increased in the stress-annealed case with respect to values attained when the stress was absent during heat treatment. A reduction in the degree of embrittlement of those samples annealed with a tensile stress was a further indication of more disorder in the stress-annealed ribbons

  5. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiN{sub x}/SiN{sub y} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan [National Laboratory of Solid State Microstructures, Jiangsu Provincial Key Laboratory of Photonic Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2014-09-28

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  6. The role of Frenkel defect diffusion in dynamic annealing in ion-irradiated Si

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, J. B.; Aji, L. B. Bayu; Martin, A. A.; Shin, S. J.; Shao, L.; Kucheyev, S. O.

    2017-01-06

    The formation of stable radiation damage in crystalline solids often proceeds via complex dynamic annealing processes, involving migration and interaction of ballistically-generated point defects. The dominant dynamic annealing processes, however, remain unknown even for crystalline Si. Here, we use a pulsed ion beam method to study defect dynamics in Si bombarded in the temperature range from -20 to 140 °C with 500 keV Ar ions. Results reveal a defect relaxation time constant of ~10–0.2 ms, which decreases monotonically with increasing temperature. The dynamic annealing rate shows an Arrhenius dependence with two well-defined activation energies of 73 ± 5 meV and 420 ± 10 meV, below and above 60 °C, respectively. Rate theory modeling, bench-marked against this data, suggests a crucial role of both vacancy and interstitial diffusion, with the dynamic annealing rate limited by the migration and interaction of vacancies.

  7. Real time nanoscale structural evaluation of gold structures on Si (100) surface using in-situ transmission electron microscopy

    International Nuclear Information System (INIS)

    Rath, A.; Juluri, R. R.; Satyam, P. V.

    2014-01-01

    Transport behavior of gold nanostructures on Si(100) substrate during annealing under high vacuum has been investigated using in-situ real time transmission electron microscopy (TEM). A comparative study has been done on the morphological changes due to annealing under different vacuum environments. Au thin films of thickness ∼2.0 nm were deposited on native oxide covered silicon substrate by using thermal evaporation system. In-situ real time TEM measurements at 850 °C showed the isotropic growth of rectangular/square shaped gold-silicon alloy structures. During the growth, it is observed that the alloying occurs in liquid phase followed by transformation into the rectangular shapes. For similar system, ex-situ annealing in low vacuum (10 −2 millibars) at 850 °C showed the spherical gold nanostructures with no Au-Si alloy formation. Under low vacuum annealing conditions, the rate of formation of the oxide layer dominates the oxide desorption rate, resulting in the creation of a barrier layer between Au and Si, which restricts the inter diffusion of Au in to Si. This work demonstrates the important role of interfacial oxide layer on the growth of nanoscale Au-Si alloy structures during the initial growth. The time dependent TEM images are presented to offer a direct insight into the fundamental dynamics of the sintering process at the nanoscale

  8. CREEP-INDUCED STRUCTURAL CHANGES IN Ni-Si-B AMORPHOUS ALLOY

    NARCIS (Netherlands)

    Jurikova, A.; Miskuf, J.; Csach, K.; Ocelik, V.

    The influence of the stress annealing on the reversible structural relaxation of a Ni-Si-B amorphous ribbon was studied. Creep-induced structural changes in the amorphous structure were derived from anisothermal DSC and dilatometric experiments. It is demonstrated that considerable enthalpy and

  9. Strain and defect microstructure in ion-irradiated GeSi/Si strained layers as a function of annealing temperature

    International Nuclear Information System (INIS)

    Glasko, J.M.; Elliman, R.G.; Zou, J.; Cockayne, D.J.H.; Fitz Gerald, J.D.

    1998-01-01

    High energy (1 MeV), ion irradiation of GeSi/Si strained layers at elevated temperatures can cause strain relaxation. In this study, the effect of subsequent thermal annealing was investigated. Three distinct annealing stages were identified and correlated with the evolution of the defect microstructure. In the temperature range from 350 to 600 deg C, a gradual recovery of strain is observed. This is believed to result from the annealing of small defect clusters and the growth of voids. The voids are visible at annealing temperatures in excess of 600 deg C, consistent with an excess vacancy concentration in the irradiated alloy layer. The 600 to 750 deg C range is marked by pronounced maximal recovery of strain, and is correlated with the dissolution of faulted loops in the substrate. At temperatures in the range 750-1000 deg C, strain relaxation is observed and is correlated with the growth of intrinsic dislocations within the alloy layer. These dislocations nucleate at the alloy-substrate interface and grow within the alloy layer, towards the surface. (authors)

  10. Effect of the post-deposition annealing on electrical characteristics of MIS structures with HfO{sub 2}/SiO{sub 2} gate dielectric stacks

    Energy Technology Data Exchange (ETDEWEB)

    Taube, Andrzej [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Mroczynski, Robert, E-mail: rmroczyn@elka.pw.edu.pl [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Korwin-Mikke, Katarzyna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Gieraltowska, Sylwia [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Szmidt, Jan [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Piotrowska, Anna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)

    2012-09-01

    In this work, we report on effects of post-deposition annealing on electrical characteristics of metal-insulator-semiconductor (MIS) structures with HfO{sub 2}/SiO{sub 2} double gate dielectric stacks. Obtained results have shown the deterioration of electro-physical properties of MIS structures, e.g. higher interface traps density in the middle of silicon forbidden band (D{sub itmb}), as well as non-uniform distribution and decrease of breakdown voltage (U{sub br}) values, after annealing above 400 Degree-Sign C. Two potential hypothesis of such behavior were proposed: the formation of interfacial layer between hafnia and silicon dioxide and the increase of crystallinity of HfO{sub 2} due to the high temperature treatment. Furthermore, the analysis of conduction mechanisms in investigated stacks revealed Poole-Frenkel (P-F) tunneling at broad range of electric field intensity.

  11. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    International Nuclear Information System (INIS)

    Rooyen, I.J. van; Neethling, J.H.; Henry, A.; Janzén, E.; Mokoduwe, S.M.; Janse van Vuuren, A.; Olivier, E.

    2012-01-01

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. 30 Si transmutes to phosphorous ( 31 P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 × 10 15 to 1.2 × 10 19 atom/cm 3 and are therefore relevant to the PBMR operating conditions. Annealing from 1000 °C to 2100 °C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which 110m Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 °C to 2100 °C. The HRTEM micrograph of the decomposition of SiC at 2100 °C are shown and discussed. Nanotubes were not identified during the TEM and HRTEM analysis

  12. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Rooyen, I.J. van, E-mail: Isabella.vanrooyen@inl.gov [CSIR, National Laser Centre, PO Box 395, Pretoria 0001 (South Africa); Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Neethling, J.H. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Henry, A.; Janzen, E. [Department of Physics, Chemistry and Biology, Semiconductor Materials, Linkoeping University, Linkoeping 58183 (Sweden); Mokoduwe, S.M. [Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Janse van Vuuren, A.; Olivier, E. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-10-15

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. {sup 30}Si transmutes to phosphorous ({sup 31}P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 Multiplication-Sign 10{sup 15} to 1.2 Multiplication-Sign 10{sup 19} atom/cm{sup 3} and are therefore relevant to the PBMR operating conditions. Annealing from 1000 Degree-Sign C to 2100 Degree-Sign C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which {sup 110m}Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 Degree-Sign C to 2100 Degree-Sign C. The HRTEM micrograph of the decomposition of Si

  13. Annealing effect and photovoltaic properties of nano-ZnS/textured p-Si heterojunction

    Science.gov (United States)

    Ji, Liang-Wen; Hsiao, Yu-Jen; Tang, I.-Tseng; Meen, Teen-Hang; Liu, Chien-Hung; Tsai, Jenn-Kai; Wu, Tien-Chuan; Wu, Yue-Sian

    2013-11-01

    The preparation and characterization of heterojunction solar cell with ZnS nanocrystals synthesized by chemical bath deposition method were studied in this work. The ZnS nanocrystals were characterized by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM). Lower reflectance spectra were found as the annealing temperature of ZnS film increased on the textured p-Si substrate. It was found that the power conversion efficiency (PCE) of the AZO/ZnS/textured p-Si heterojunction solar cell with an annealing temperature of 250°C was η = 3.66%.

  14. Effect of post oxidation anneal on VUV radiation-hardness of the Si/SiO2 system studied by positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Clement, M.; Nijs, J.M.M. de; Veen, A. van; Schut, H.; Balk, P.

    1995-01-01

    The effect of a post oxidation anneal at 1,000 C in a N 2 ambient of the thermally grown Si/SiO 2 system was investigated using vacuum ultraviolet irradiation for determining the generation of interface traps of the Al metallized system in combination with positron annihilation spectroscopy to characterize the structure of the oxide network. A correlation was found between the generation of interface traps and the S parameter of the positron trapping sites in the oxide close to the Si. It appears likely that the positrons are trapped in the larger near-interfacial oxide network interstices. These interstices could act as scavengers for the metastable intermediate (atomic hydrogen or excitons) involved in the generation of the interface traps

  15. Fabrication of highly oriented D03-Fe3Si nanocrystals by solid-state dewetting of Si ultrathin layer

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Nakagawa, Tatsuhiko; Machida, Nobuya; Shigematsu, Toshihiko; Nakao, Motoi; Sudoh, Koichi

    2013-01-01

    In this paper, highly oriented nanocrystals of Fe 3 Si with a D0 3 structure are fabricated on SiO 2 using ultrathin Si on insulator substrate. First, (001) oriented Si nanocrystals are formed on the SiO 2 layer by solid state dewetting of the top Si layer. Then, Fe addition to the Si nanocrystals is performed by reactive deposition epitaxy and post-deposition annealing at 500 °C. The structures of the Fe–Si nanocrystals are analyzed by cross-sectional transmission electron microscopy and nanobeam electron diffraction. We observe that Fe 3 Si nanocrystals with D0 3 , B2, and A2 structures coexist on the 1-h post-annealed samples. Prolonged annealing at 500 °C is effective in obtaining Fe 3 Si nanocrystals with a D0 3 single phase, thereby promoting structural ordering in the nanocrystals. We discuss the formation process of the highly oriented D0 3 -Fe 3 Si nanocrystals on the basis of the atomistic structural information. - Highlights: • Highly oriented Fe–Si nanocrystals (NCs) are fabricated by reactive deposition. • Si NCs formed by solid state dewetting of Si thin layers are used as seed crystals. • The structures of Fe–Si NCs are analyzed by nanobeam electron diffraction. • Most of Fe–Si NCs possess the D0 3 structure after post-deposition annealing

  16. Effects of process variables in decarburization annealing of Fe-3%Si-0.3%C steel sheet on textures and magnetic properties

    Science.gov (United States)

    Park, Se Min; Koo, Yang Mo; Shim, Byoung Yul; Lee, Dong Nyung

    2017-01-01

    In Fe-3%Si-0.3%C steel sheet, a relatively strong //ND texture can evolve in the surface layer through the α→γ→α phase transformation in relatively low vacuum (4 Pa) for an annealing time of 10 min and at a cooling rate of 20 K/s. Oxidation of the steel sheet surface prevents the evolution of the //ND texture. However, vacuum-annealing under a vacuum pressure of 1.3×10-3 Pa causes decarburization of the steel sheet, which suppresses oxidation of the steel sheet surface, and subsequent annealing in wet hydrogen of 363 K in dew points causes a columnar grain structure with the //ND texture. After the two-step-annealing (the vacuum annealing under a vacuum pressure of 1.3×10-3 Pa and subsequent decarburizing annealing in wet hydrogen of 363 K in dew points), the decarburized steel sheet exhibits good soft magnetic properties in NO with 3%Si, W15/50 (core loss at 1.5T and 50 Hz) = 2.47 W/kg and B50 (magnetic flux density at 5000 A/m) = 1.71 T.

  17. Thermal Annealing Effect on Optical Properties of Binary TiO2-SiO2 Sol-Gel Coatings

    Directory of Open Access Journals (Sweden)

    Xiaodong Wang

    2012-12-01

    Full Text Available TiO2-SiO2 binary coatings were deposited by a sol-gel dip-coating method using tetrabutyl titanate and tetraethyl orthosilicate as precursors. The structure and chemical composition of the coatings annealed at different temperatures were analyzed by Raman spectroscopy and Fourier Transform Infrared (FTIR spectroscopy. The refractive indices of the coatings were calculated from the measured transmittance and reflectance spectra. An increase in refractive index with the high temperature thermal annealing process was observed. The Raman and FTIR results indicate that the refractive index variation is due to changes in the removal of the organic component, phase separation and the crystal structure of the binary coatings.

  18. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  19. Annealing Temperature Dependent Structural and Optical Properties of RF Sputtered ZnO Thin Films.

    Science.gov (United States)

    Sharma, Shashikant; Varma, Tarun; Asokan, K; Periasamy, C; Boolchandani, Dharmendar

    2017-01-01

    This work investigates the effect of annealing temperature on structural and optical properties of ZnO thin films grown over Si 100 and glass substrates using RF sputtering technique. Annealing temperature has been varied from 300 °C to 600 °C in steps of 100, and different microstructural parameters such as grain size, dislocation density, lattice constant, stress and strain have been evaluated. The structural and surface morphological characterization has been done using X-ray Diffraction (XRD) and Scanning Electron Microscope (SEM). XRD analysis reveals that the peak intensity of 002 crystallographic orientation increases with increased annealing temperature. Optical characterization of deposited films have been done using UV-Vis-NIR spectroscopy and photoluminescence spectrometer. An increase in optical bandgap of deposited ZnO thin films with increasing annealing temperature has been observed. The average optical transmittance was found to be more than 85% for all deposited films. Photoluminiscense spectra (PL) suggest that the crystalline quality of deposited film has increased at higher annealing temperature.

  20. Effect of annealing on SiO{sub x}-TiO{sub 2} axial heterostructure nanowires and improved photodetection

    Energy Technology Data Exchange (ETDEWEB)

    Dhar, J. C.; Singh, N. K. [Department of Electronics and Communication Engineering, National Institute of Technology Nagaland, Dimapur, Nagaland 797103 (India); Mondal, A., E-mail: aniruddhamo@gmail.com; Chakrabartty, S. [Department of Electronics and Communication Engineering, National Institute of Technology Agartala, Jirania, Tripura (West) 799055 (India); Bhattacharyya, A. [Department of Radio Physics and Electronics, University of Calcutta, Kolkata 700009 (India); Chattopadhyay, K. K. [Department of Physics, Jadavpur University, Kolkata 700032 (India)

    2013-12-28

    Glancing angle deposition technique has been used to synthesize the axial heterostructure SiO{sub x}-TiO{sub 2} nanowires (NWs) on the Si substrate. The field emission gun scanning electron microscope image shows the formation of perpendicular NWs on Si substrate. A typical transmission electron microscope image confirms the heterostructure NW which consists of SiO{sub x} of length ∼130 nm and TiO{sub 2} of length ∼170 nm. The amorphous NWs transformed to polycrystalline nature after annealing. The trap assisted radiative recombination process is absent for the annealed NWs. An averagely 1.1 fold enhanced photoabsorption was exhibited by the annealed NWs in the 200–350 nm region and 1.5 fold in the 500–850 nm region. The leakage current (2.6 × 10{sup −8} A/cm{sup 2} at −0.5 V) significantly reduced for annealed NWs device. A maximum 1.4 × 10{sup 3} times enlarged photodetection has been observed for annealed device.

  1. High temperature annealing effect on structural and magnetic properties of Ti/Ni multilayers

    International Nuclear Information System (INIS)

    Bhatt, Pramod; Ganeshan, V.; Reddy, V.R.; Chaudhari, S.M.

    2006-01-01

    High temperature annealing effect on structural and magnetic properties of Ti/Ni multilayer (ML) up to 600 deg. C have been studied and reported in this paper. Ti/Ni multilayer samples having constant layer thicknesses of 50 A each are deposited on float glass and Si(1 1 1) substrates using electron-beam evaporation technique under ultra-high vacuum (UHV) conditions at room temperatures. The micro-structural parameters and their evolution with temperature for as-deposited as well as annealed multilayer samples up to 600 deg. C in a step of 100 deg. C for 1 h are determined by using X-ray diffraction (XRD) and grazing incidence X-ray reflectivity techniques. The X-ray diffraction pattern recorded at 300 deg. C annealed multilayer sample shows interesting structural transformation (from crystalline to amorphous) because of the solid-state reaction (SSR) and subsequent re-crystallization at higher temperatures of annealing, particularly at ≥400 deg. C due to the formation of TiNi 3 and Ti 2 Ni alloy phases. Sample quality and surface morphology are examined by using atomic force microscopy (AFM) technique for both as-deposited as well as annealed multilayer samples. In addition to this, a temperature dependent dc resistivity measurement is also used to study the structural transformation and subsequent alloy phase formation due to annealing treatment. The corresponding magnetization behavior of multilayer samples after each stage of annealing has been investigated by using Magneto-Optical Kerr Effect (MOKE) technique and results are interpreted in terms of observed micro-structural changes

  2. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni_2Si formation and the resulting barrier height changes

    International Nuclear Information System (INIS)

    Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram

    2017-01-01

    Highlights: • Schottky behavior (Φ_B = 0.41 eV) and Fermi level pining were found pre annealing. • Ni_2Si formation was confirmed for 5 min at 850 °C. • 3C/Ni_2Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni_2Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni_2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  3. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  4. Influence of interdiffusion on the magnetic properties of Co/Si (100) films after high magnetic field annealing

    International Nuclear Information System (INIS)

    Zhao, Yue; Wang, Kai; Wang, Qiang; Li, Guojian; Lou, Changsheng; Pang, Hongxuan; He, Jicheng

    2015-01-01

    The influence of interdiffusion on the magnetic properties of Co/Si (100) films after thermal annealing in the presence of a strong magnetic field was investigated. The interdiffusion coefficients of films that were annealed at temperatures of 380 °C and 420 °C in the presence of high magnetic fields were not affected. However, the interdiffusion coefficient of films annealed at 400 °C in the presence of a high magnetic field decreased significantly. The change in the interdiffusion coefficient, caused by high magnetic field annealing, increased the content of the magnetic phase. This increase in the magnetic phase improved the saturation magnetization. A new method of high magnetic field annealing is presented that can modulate the diffusion and magnetic properties of thin films. - Highlights: • Interdiffusion of Co/Si (100) films by high magnetic field annealing was studied. • Thickness of the diffusion layer was reduced by magnetic field annealing at 400 °C. • Interdiffusion coefficient decreased following magnetic field annealing at 400 °C. • Saturation magnetization increased after high magnetic field annealing at 400 °C

  5. Influence of Annealing on Mechanical Properties of Al-20Si Processed by Selective Laser Melting

    Directory of Open Access Journals (Sweden)

    Pan Ma

    2014-01-01

    Full Text Available The microstructure and mechanical properties of Al-20Si produced by selective laser melting (SLM are investigated for different heat treatment conditions. As a result of the high cooling rate during processing, the as-built SLM material displays a microstructure consisting of a supersaturated Al(Si solid solution along with heavily refined eutectic Si and Si particles. The Si particles become coarser, and the eutectic Si gradually changes its morphology from fibrous to plate-like shape with increasing annealing temperature. The microstructural variations occurring during heat treatment significantly affect the mechanical behavior of the samples. The yield and ultimate strengths decrease from 374 and 506 MPa for the as-built SLM material to 162 and 252 MPa for the sample annealed at 673 K, whereas the ductility increases from 1.6 to 8.7%. This offers the possibility to tune microstructure and corresponding properties of the Al-20Si SLM parts to meet specific requirements.

  6. Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers.

    Science.gov (United States)

    Higashitarumizu, Naoki; Ishikawa, Yasuhiko

    2017-09-04

    Enhanced direct-gap light emission is reported for Si-capped n + -Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n + -Ge layer (1 × 10 19 cm -3 , 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N 2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm 2 , similar to III-V lasers, the interface recombination velocity S is required below 10 3 cm/s in spite of S as large as 10 5 cm/s at the ordinary defect-rich Ge/Si interface.

  7. Structure and optical properties of Ge/Si quantum dots formed by driving the evolution of Ge thin films via thermal annealing

    Science.gov (United States)

    Shu, Qijiang; Yang, Jie; Chi, Qingbin; Sun, Tao; Wang, Chong; Yang, Yu

    2018-04-01

    Ge/Si quantum dots (QDs) are fabricated by driving the transformation of a Ge thin film-deposited using the direct current (DC) magnetron sputtering technique by controlling the subsequent in situ annealing processes. The experimental results indicate that, with the increase in annealing temperature, the volume of Ge QDs increases monotonically, while the QD density initially increases then decreases. The maximal QD density can reach 1.1 × 1011 cm‑2 after a 10 min annealing at 650 °C. The Ge–Ge peak of Ge QDs obtained by Raman spectroscopy initially undergoes a blue shift and then a red shift with increasing annealing temperature. This behavior results from the competition between the dislocation and the strain relaxation in QDs. Concurrently, a series of photoelectric detectors are fabricated to evaluate the photoelectric performance of these annealed Ge QD samples. A high-photoelectricity response is demonstrated in the QD sample annealed at 650 °C. Our results pave a promising way for whole-silicon-material optical-electronic integration based on a simple and practicable fabrication method.

  8. Growth process and structure of Er/Si(100) thin film

    International Nuclear Information System (INIS)

    Fujii, S.; Michishita, Y.; Miyamae, N.; Suto, H.; Honda, S.; Okado, H.; Oura, K.; Katayama, M.

    2006-01-01

    The solid-phase reactive epitaxial growth processes and structures of Er/Si(100) thin films were investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The as-deposited Er film grown at room temperature was transformed into crystalline rectangular-shaped islands after annealing at 900 deg. C. These islands have a hexagonal AlB 2 -type structure and the epitaxial relationship is determined to be ErSi 2 (011-bar0)[0001]//Si(100)[011-bar]. It has been revealed that the surface of the Er silicide island is terminated with an Er plane

  9. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni{sub 2}Si formation and the resulting barrier height changes

    Energy Technology Data Exchange (ETDEWEB)

    Tengeler, Sven, E-mail: stengeler@surface.tu-darmstadt.de [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Kaiser, Bernhard [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Chaussende, Didier [Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Jaegermann, Wolfram [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)

    2017-04-01

    Highlights: • Schottky behavior (Φ{sub B} = 0.41 eV) and Fermi level pining were found pre annealing. • Ni{sub 2}Si formation was confirmed for 5 min at 850 °C. • 3C/Ni{sub 2}Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni{sub 2}Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni{sub 2}Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  10. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  11. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  12. Enhancement of optical transmittance and electrical resistivity of post-annealed ITO thin films RF sputtered on Si

    Science.gov (United States)

    Ali, Ahmad Hadi; Hassan, Zainuriah; Shuhaimi, Ahmad

    2018-06-01

    This paper reports on the enhancement of optical transmittance and electrical resistivity of indium tin oxide (ITO) transparent conductive oxides (TCO) deposited by radio frequency (RF) sputtering on Si substrate. Post-annealing was conducted on the samples at temperature ranges of 500-700 °C. From X-ray diffraction analysis (XRD), ITO (2 2 2) peak was observed after post-annealing indicating crystallization phase of the films. From UV-vis measurements, the ITO thin film shows highest transmittance of more than 90% at post-annealing temperature of 700 °C as compared to the as-deposited thin films. From atomic force microscope (AFM), the surface roughness becomes smoother after post-annealing as compared to the as-deposited. The lowest electrical resistivity for ITO sample is 6.68 × 10-4 Ω cm after post-annealed at 700 °C that are contributed by high carrier concentration and mobility. The improved structural and surface morphological characteristics helps in increasing the optical transmittance and reducing the electrical resistivity of the ITO thin films.

  13. Evolution of microstructure at hot band annealing of ferritic FeSi steels

    Energy Technology Data Exchange (ETDEWEB)

    Schneider, Jürgen, E-mail: juergen.schneider@t-online.de [Institute of Metal Forming, Technische Universität Bergakademie Freiberg, Bernhard-von Cotta-Str. 4, D-09596 Freiberg (Germany); Stahlzentrum Freiberg e.V., Leipziger Straße 34, D-09599 Freiberg (Germany); Li, Guangqiang [State Key Lab. of Refractories and Metallurgy, Wuhan University of Science and Technology, No. 947 Heping Avenue, Qingshan District, Wuhan 430081 (China); Franke, Armin [Stahlzentrum Freiberg e.V., Leipziger Straße 34, D-09599 Freiberg (Germany); Zhou, Bowen [State Key Lab. of Refractories and Metallurgy, Wuhan University of Science and Technology, No. 947 Heping Avenue, Qingshan District, Wuhan 430081 (China)

    2017-02-15

    The magnetic properties of the finally fabricated nonoriented FeSi steels critically depend on the microstructure and on the occurring crystallographic texture. The fabrication route comprises hot rolling, coiling and cooling, hot band annealing before cold rolling (optional), cold rolling and the final thermal treatment. As well known there is an interplay between the microstructure and texture during the various processing steps. For that reason, it is of interest to know more on the evolution of the microstructure at hot band annealing of hot band prepared in different ways. In this paper we will summarize our recent results on the evolution of microstructure during thermal annealing of hot band: thermal treatment following immediately the last pass of hot rolling or a hot band annealing as a separate processing step before cold rolling.

  14. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  15. Enhanced Emission of Quantum System in Si-Ge Nanolayer Structure.

    Science.gov (United States)

    Huang, Zhong-Mei; Huang, Wei-Qi; Dong, Tai-Ge; Wang, Gang; Wu, Xue-Ke

    2016-12-01

    It is very interesting that the enhanced peaks near 1150 and 1550 nm are observed in the photoluminescence (PL) spectra in the quantum system of Si-Ge nanolayer structure, which have the emission characteristics of a three-level system with quantum dots (QDs) pumping and emission of quasi-direct-gap band, in our experiment. In the preparing process of Si-Ge nanolayer structure by using a pulsed laser deposition method, it is discovered that the nanocrystals of Si and Ge grow in the (100) and (111) directions after annealing or electron beam irradiation. The enhanced PL peaks with multi-longitudinal-mode are measured at room temperature in the super-lattice of Si-Ge nanolayer quantum system on SOI.

  16. Structural analysis of as-deposited and annealed low-temperature gallium arsenide

    Science.gov (United States)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1993-04-01

    The structure of GaAs grown at low substrate temperatures (LT-GaAs) by molecular beam epitaxy has been studied using high resolution X-ray diffraction methods. Double crystal rocking curves from the as-deposited LT-GaAs show well defined interference fringes, indicating a high level of structural perfection. Triple crystal diffraction analysis of the as-deposited sample showed significantly less diffuse scattering near the LT-GaAs 004 reciprocal lattice point compared with the substrate 004 reciprocal lattice point, suggesting that despite the incorporation of approximately 1% excess arsenic, the epitaxial layer had superior crystalline perfection than did the GaAs substrate. Triple crystal scans of annealed LT-GaAs showed an increase in the integrated diffuse intensity by approximately a factor of three as the anneal temperature was increased from 700 to 900°C. Analogous to the effects of SiO2 precipitates in annealed Czochralski silicon, the diffuse intensity is attributed to distortions in the epitaxial LT-GaAs lattice by arsenic precipitates.

  17. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  18. Annealing assisted structural and surface morphological changes in Langmuir–Blodgett films of nickel octabutoxy phthalocyanine

    Energy Technology Data Exchange (ETDEWEB)

    Shilpa Harish, T.; Viswanath, P., E-mail: viswanath@cnsms.res.in

    2016-01-01

    We report our studies on thin films of metallo-phthalocyanine (MPc), Nickel(II)1,4,8,11,15,18,22,25-octabutoxy-29H,31H-phthalocyanine (NiPc(OBu){sub 8}) transferred in a well defined thermodynamic state over a self assembled monolayer (octadecyl trichlorosilane)/SiO{sub 2}/Si substrate using the Langmuir–Blodgett (LB) method. The films are characterized using differential scanning calorimetry (DSC), grazing incidence X-ray diffraction (GIXD) and atomic force microscopy (AFM) techniques. DSC studies on powdered samples in the bulk indicate enantiotropic solid–solid phase transition. GIXD studies on the as-deposited LB film show a Bragg peak indicating crystallinity of the thin film. Annealing (373 K) results in reduction of lattice spacing (1.21 Å) signifying changes in molecular packing within the unit cell. At this stage, an additional Bragg peak is observed which grows at the expense of the former one and they coexist between 373 K and 423 K. A discontinuity in lattice spacing from 20.73 to 15.12 Å with annealing indicates clearly a structural change of the underlying crystalline lattice. Correspondingly, the surface morphology images obtained using AFM show, with annealing, a transformation from spherical granular morphology to elongated, flat crystallites suggesting asymmetric growth process. Statistical parameters of the grain extracted from the AFM images show that the size, fractal dimension and circularity are affected by annealing. Based on these studies, we infer the structural and surface morphological changes of the meta-stable phase (Form I) to the stable phase (Form II) in annealed LB films of phthalocyanine. - Highlights: • Langmuir–Blodgett (LB) films of phthalocyanine subjected to thermal annealing. • Structural transformation and coexistence of polymorphs in LB films • Surface morphology changes from nanoscale grains to elongated crystallites. • Reduction of fractal dimension and circularity index reveals asymmetric growth.

  19. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  20. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni2Si formation and the resulting barrier height changes

    Science.gov (United States)

    Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram

    2017-04-01

    The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  1. Fabrication of highly oriented D0{sub 3}-Fe{sub 3}Si nanocrystals by solid-state dewetting of Si ultrathin layer

    Energy Technology Data Exchange (ETDEWEB)

    Naito, Muneyuki, E-mail: naito22@center.konan-u.ac.jp [Department of Chemistry, Konan University, Okamoto, Higashinada, Kobe, Hyogo 658-8501 (Japan); Nakagawa, Tatsuhiko; Machida, Nobuya; Shigematsu, Toshihiko [Department of Chemistry, Konan University, Okamoto, Higashinada, Kobe, Hyogo 658-8501 (Japan); Nakao, Motoi [Graduate School of Engineering, Kyushu Institute of Technology, Sensui, Tobata, Kitakyushu, Fukuoka 804-8550 (Japan); Sudoh, Koichi [The Institute of Scientific and Industrial Research, Osaka University, Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2013-07-31

    In this paper, highly oriented nanocrystals of Fe{sub 3}Si with a D0{sub 3} structure are fabricated on SiO{sub 2} using ultrathin Si on insulator substrate. First, (001) oriented Si nanocrystals are formed on the SiO{sub 2} layer by solid state dewetting of the top Si layer. Then, Fe addition to the Si nanocrystals is performed by reactive deposition epitaxy and post-deposition annealing at 500 °C. The structures of the Fe–Si nanocrystals are analyzed by cross-sectional transmission electron microscopy and nanobeam electron diffraction. We observe that Fe{sub 3}Si nanocrystals with D0{sub 3}, B2, and A2 structures coexist on the 1-h post-annealed samples. Prolonged annealing at 500 °C is effective in obtaining Fe{sub 3}Si nanocrystals with a D0{sub 3} single phase, thereby promoting structural ordering in the nanocrystals. We discuss the formation process of the highly oriented D0{sub 3}-Fe{sub 3}Si nanocrystals on the basis of the atomistic structural information. - Highlights: • Highly oriented Fe–Si nanocrystals (NCs) are fabricated by reactive deposition. • Si NCs formed by solid state dewetting of Si thin layers are used as seed crystals. • The structures of Fe–Si NCs are analyzed by nanobeam electron diffraction. • Most of Fe–Si NCs possess the D0{sub 3} structure after post-deposition annealing.

  2. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  3. Chemical interaction of B4C, B, and C with Mo/Si layered structures

    International Nuclear Information System (INIS)

    Rooij-Lohmann, V. I. T. A. de; Veldhuizen, L. W.; Zoethout, E.; Yakshin, A. E.; Kruijs, R. W. E. van de; Thijsse, B. J.; Gorgoi, M.; Schaefers, F.; Bijkerk, F.

    2010-01-01

    To enhance the thermal stability, B 4 C diffusion barrier layers are often added to Mo/Si multilayer structures for extreme ultraviolet optics. Knowledge about the chemical interaction between B 4 C and Mo or Si, however is largely lacking. Therefore, the chemical processes during annealing up to 600 deg. C of a Mo/B 4 C/Si layered structure have been investigated in situ with hard x-ray photoelectron spectroscopy and ex situ with depth profiling x-ray photoelectron spectroscopy. Mo/B/Si and Mo/C/Si structures have also been analyzed as reference systems. The chemical processes in these systems have been identified, with two stages being distinguished. In the first stage, B and C diffuse and react predominantly with Mo. MoSi x forms in the second stage. If the diffusion barrier consists of C or B 4 C, a compound forms that is stable up to the maximum probed temperature and annealing time. We suggest that the diffusion barrier function of B 4 C interlayers as reported in literature can be caused by the stability of the formed compound, rather than by the stability of B 4 C itself.

  4. Growth of Ge/Si(100) Nanostructures by Radio-Frequency Magnetron Sputtering: the Role of Annealing Temperature

    Institute of Scientific and Technical Information of China (English)

    ALIREZA Samavati; S. K. Ghoshal; Z. Othaman

    2012-01-01

    Surface morphologies of Ge islands deposited on Si(100) substrates are characterized and their optical properties determined.Samples are prepared by rf magnetron sputtering in a high-vacuum chamber and are annealed at 600℃,700℃ and 800℃ for 2 min at nitrogen ambient pressure.Atomic force microscopy,field emission scanning electron microscopy,visible photoluminescence (PL) and energy dispersive x-ray spectroscopy are employed.The results for the annealing temperature-dependent sample morphology and the optical properties are presented.The density,size and roughness are found to be strongly influenced by the annealing temperature.A red shift of ~0.29 eV in the PL peak is observed with increasing annealing temperature.%Surface morphologies of Ge islands deposited on Si(100) substrates are characterized and their optical properties determined. Samples are prepared by rf magnetron sputtering in a high-vacuum chamber and are annealed at 600℃, 700℃ and 800℃ for 2 min at nitrogen ambient pressure. Atomic force microscopy, field emission scanning electron microscopy, visible photoluminescence (PL) and energy dispersive x-ray spectroscopy are employed. The results for the annealing temperature-dependent sample morphology and the optical properties are presented. The density, size and roughness are found to be strongly influenced by the annealing temperature. A red shift of ~0.29 eV in the PL peak is observed with increasing annealing temperature.

  5. Microstructure and magnetic behavior of Cu–Co–Si ternary alloy synthesized by mechanical alloying and isothermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Chabri, Sumit, E-mail: sumitchabri2006@gmail.com [Department of Metallurgy & Materials Engineering, Indian Institute of Engineering Science and Technology, Shibpur, Howrah 711103 (India); Bera, S. [Department of Metallurgical & Materials Engineering, National Institute of Technology, Durgapur 713209 (India); Mondal, B.N. [Department of Central Scientific Services, Indian Association for the Cultivation of Science, Jadavpur, Kolkata 700032 (India); Basumallick, A.; Chattopadhyay, P.P. [Department of Metallurgy & Materials Engineering, Indian Institute of Engineering Science and Technology, Shibpur, Howrah 711103 (India)

    2017-03-15

    Microstructure and magnetic behavior of nanocrystalline 50Cu–40Co–10Si (at%) alloy prepared by mechanical alloying and subsequent isothermal annealing in the temperature range of 450–650 °C have been studied. Phase evolution during mechanical alloying and isothermal annealing is characterized by X-ray diffraction (XRD), differential thermal analyzer (DTA), high resolution transmission electron microscopy (HRTEM) and magnetic measurement. Addition of Si has been found to facilitate the metastable alloying of Co in Cu resulting into the formation of single phase solid solution having average grain size of 9 nm after ball milling for 50 h duration. Annealing of the ball milled alloy improves the magnetic properties significantly and best combination of magnetic properties has been obtained after annealing at 550 °C for 1 h duration.

  6. Mechanisms of aluminium-induced crystallization and layer exchange upon low-temperature annealing of amorphous Si/polycrystalline Al bilayers.

    Science.gov (United States)

    Wang, J Y; Wang, Z M; Jeurgens, L P H; Mittemeijer, E J

    2009-06-01

    Aluminium-induced crystallization (ALIC) of amorphous Si and subsequent layer exchange (ALILE) occur in amorphous-Si/polycrystalline-Al bilayers (a-Si/c-Al) upon annealing at temperatures as low as 165 degrees C and were studied by X-ray diffraction and Auger electron spectroscopic depth profiling. It follows that: (i) nucleation of Si crystallization is initiated at Al grain boundaries and not at the a-Si/c-Al interface; (ii) low-temperature annealing results in a large Si grain size in the continuous c-Si layer produced by ALILE. Thermodynamic model calculations show that: (i) Si can "wet" the Al grain boundaries due to the favourable a-Si/c-Al interface energy (as compared to the Al grain-boundary energy); (ii) the wetting-induced a-Si layer at the Al grain boundary can maintain its amorphous state only up to a critical thickness, beyond which nucleation of Si crystallization takes place; and (iii) a tiny driving force controls the kinetics of the layer exchange.

  7. Characterization of electronic structures from CdS/Si nanoheterostructure array based on silicon nanoporous pillar array

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yong, E-mail: liyong@pdsu.edu.cn [Department of Physics and Solar Energy Research Center, Pingdingshan University, Pingdingshan 467000 (China); Song, Xiao Yan [Department of Mathematics and Information Science, North China University of Water Resources and Electric Power, Zhengzhou 450045 (China); Song, Yue Li; Ji, Peng Fei; Zhou, Feng Qun; Tian, Ming Li; Huang, Hong Chun [Department of Physics and Solar Energy Research Center, Pingdingshan University, Pingdingshan 467000 (China); Li, Xin Jian [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China)

    2016-02-15

    Highlights: • CdS/Si nanoheterostructure array has been fabricated through a CBD method. • The electronic properties have been investigated by the I–V and C–V techniques. • The onset voltages, characteristic frequency and built-in potential are investigated. • The electronic structures can be tuned through the annealing treatments. - Abstract: The electronic properties of heterostructures are very important to its applications in the field of optoelectronic devices. Understanding and control of electronic properties are very necessary. CdS/Si nanoheterostructure array have been fabricated through growing CdS nanocrystals on the silicon nanoporous pillar array using a chemical bath deposition method. The electronic properties of CdS nanoheterostructure array have been investigated by the current–voltage, complex impedance spectroscopy and capacitance–voltage techniques. The onset voltages, characteristic frequency and built-in potential are gradually increased with increasing the annealing temperature. It is indicated that the electronic structures of CdS/Si nanoheterostructure array can be tuned through the annealing treatments.

  8. Characterization of electronic structures from CdS/Si nanoheterostructure array based on silicon nanoporous pillar array

    International Nuclear Information System (INIS)

    Li, Yong; Song, Xiao Yan; Song, Yue Li; Ji, Peng Fei; Zhou, Feng Qun; Tian, Ming Li; Huang, Hong Chun; Li, Xin Jian

    2016-01-01

    Highlights: • CdS/Si nanoheterostructure array has been fabricated through a CBD method. • The electronic properties have been investigated by the I–V and C–V techniques. • The onset voltages, characteristic frequency and built-in potential are investigated. • The electronic structures can be tuned through the annealing treatments. - Abstract: The electronic properties of heterostructures are very important to its applications in the field of optoelectronic devices. Understanding and control of electronic properties are very necessary. CdS/Si nanoheterostructure array have been fabricated through growing CdS nanocrystals on the silicon nanoporous pillar array using a chemical bath deposition method. The electronic properties of CdS nanoheterostructure array have been investigated by the current–voltage, complex impedance spectroscopy and capacitance–voltage techniques. The onset voltages, characteristic frequency and built-in potential are gradually increased with increasing the annealing temperature. It is indicated that the electronic structures of CdS/Si nanoheterostructure array can be tuned through the annealing treatments.

  9. Defects annihilation behavior of neutron-irradiated SiC ceramics densified by liquid-phase-assisted method after post-irradiation annealing

    Directory of Open Access Journals (Sweden)

    Mohd Idzat Idris

    2016-12-01

    Full Text Available Numerous studies on the recovery behavior of neutron-irradiated high-purity SiC have shown that most of the defects present in it are annihilated by post-irradiation annealing, if the neutron fluence is less than 1×1026 n/m2 (>0.1MeV and the irradiation is performed at temperatures lower than 973K. However, the recovery behavior of SiC fabricated by the nanoinfiltrated and transient eutectic phase (NITE process is not well understood. In this study, the effects of secondary phases on the irradiation-related swelling and recovery behavior of monolithic NITE-SiC after post-irradiation annealing were studied. The NITE-SiC specimens were irradiated in the BR2 reactor at fluences of up to 2.0–2.5×1024 n/m2 (E>0.1MeV at 333–363K. This resulted in the specimens swelling up ∼1.3%, which is 0.1% higher than the increase seen in concurrently irradiated high-purity SiC. The recovery behaviors of the specimens after post-irradiation thermal annealing were examined using a precision dilatometer; the specimens were heated at temperatures of up to 1673K using a step-heating method. The recovery curves were analyzed using a first-order model, and the rate constants for each annealing step were obtained to determine the activation energy for volume recovery. The NITE-A specimen (containing 12 wt% sintering additives recovered completely after annealing at ∼1573K; however, it shrank because of the volatilization of the oxide phases at 1673K. The NITE-B specimen (containing 18wt% sintering additives did not recover fully, since the secondary phase (YAG was crystallized during the annealing process. The recovery mechanism of NITE-A SiC was based on the recombination of the C and Si Frenkel pairs, which were very closely sited or only slightly separated at temperatures lower than 1223K, as well as the recombination of the slightly separated C Frenkel pairs and the migration of C and Si interstitials at temperatures of 1223–1573K. That is to say, the

  10. Effects of recoil-implanted oxygen on depth profiles of defects and annealing processes in P{sup +}-implanted Si studied using monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kitano, Tomohisa; Watanabe, Masahito; Kawano, Takao; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Mikado, Tomohisa

    1996-04-01

    Effects of oxygen atoms recoiled from SiO{sub 2} films on depth profiles of defects and annealing processes in P{sup +}-implanted Si were studied using monoenergetic positron beams. For an epitaxial Si specimen, the depth profile of defects was found to be shifted toward the surface by recoil implantation of oxygen atoms. This was attributed to the formation of vacancy-oxygen complexes and a resultant decrease in the diffusion length of vacancy-type defects. The recoiled oxygen atoms stabilized amorphous regions introduced by P{sup +}-implantation, and the annealing of these regions was observed after rapid thermal annealing (RTA) at 700degC. For a Czochralski-grown Si specimen fabricated by through-oxide implantation, the recoiled oxygen atoms introduced interstitial-type defects upon RTA below the SiO{sub 2}/Si interface, and such defects were dissociated by annealing at 1000degC. (author)

  11. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  12. The effects of phase transformation on the structure and mechanical properties of TiSiCN nanocomposite coatings deposited by PECVD method

    Science.gov (United States)

    Abedi, Mohammad; Abdollah-zadeh, Amir; Bestetti, Massimiliano; Vicenzo, Antonello; Serafini, Andrea; Movassagh-Alanagh, Farid

    2018-06-01

    In the present study, the effects of phase transformations on the structure and mechanical properties of TiSiCN coatings were investigated. TiSiCN nanocomposite coatings were deposited on AISI H13 hot-work tool steel by a pulsed direct current plasma-enhanced chemical vapor deposition process at 350 or 500 °C, using TiCl4 and SiCl4 as the precursors of Ti and Si, respectively, in a CH4/N2/H2/Ar plasma as the source of carbon and nitrogen and reducing environment. Some samples deposited at 350 °C were subsequently annealed at 500 °C under Ar atmosphere. Super hard self-lubricant TiSiCN coatings, having nanocomposite structure consisting of TiCN nanocrystals and amorphous carbon particles embedded in an amorphous SiCNx matrix, formed through spinodal decomposition in the specimens deposited or annealed at 500 °C. In addition, it was revealed that either uncomplete or relatively coarse phase segregation of titanium compounds was achieved during deposition at 350 °C and 500 °C, respectively. On the contrary, by deposition at 350 °C followed by annealing at 500 °C, a finer structure was obtained with a sensible improvement of the mechanical properties of coatings. Accordingly, the main finding of this work is that significant enhancement in key properties of TiSiCN coatings, such as hardness, adhesion and friction coefficient, can be obtained by deposition at low temperature and subsequent annealing at higher temperature, thanks to the formation of a fine grained nanocomposite structure.

  13. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  14. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  15. Effect of high temperature annealing on the grain size of CVD-grown SiC and experimental PBMR TRISO coated particles

    CSIR Research Space (South Africa)

    Mokoduwe, SM

    2010-10-01

    Full Text Available in the PBMR fuel SiC layer. square samples were cut from the original sample received from ORNL and prepared for grain size Prague, Czech Republic, October 18 – 2000 °C. These no significant ion of how the 8] also ge is also of tal THODS -Si... for grain size determination Fig. 5: Influence of high temperature annealing on the CVD ORNL polycrystalline 3 C-SiC. Fig. 6: Influence of high temperature annealing on the polycrystalline 3 C-SiC layer of PBMR TRISO CP batches D and E...

  16. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  17. In-situ cyclic pulse annealing of InN on AlN/Si during IR-lamp-heated MBE growth

    Science.gov (United States)

    Suzuki, Akira; Bungi, Yu; Araki, Tsutomu; Nanishi, Yasushi; Mori, Yasuaki; Yamamoto, Hiroaki; Harima, Hiroshi

    2009-05-01

    To improve crystal quality of InN, an in-situ cyclic rapid pulse annealing during growth was carried out using infrared-lamp-heated molecular beam epitaxy. A cycle of 4 min growth of InN at 400 °C and 3 s pulse annealing at a higher temperature was repeated 15 times on AlN on Si substrate. Annealing temperatures were 550, 590, 620, and 660 °C. The back of Si was directly heated by lamp irradiation through a quartz rod. A total InN film thickness was about 200 nm. With increasing annealing temperature up to 620 °C, crystal grain size by scanning electron microscope showed a tendency to increase, while widths of X-ray diffraction rocking curve of (0 0 0 2) reflection and E 2 (high) mode peak of Raman scattering spectra decreased. A peak of In (1 0 1) appeared in X-ray diffraction by annealing higher than 590 °C, and In droplets were found on the surface by annealing at 660 °C.

  18. Thermal phase separation of ZrSiO4 thin films and frequency- dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Yilmaz, E.

    2018-05-01

    In this work, the thermal phase separation and annealing optimization of ZrSiO4 thin films have been carried out. Following annealing optimization, the frequency-dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors were investigated in detail. The chemical evolution of the films under various annealing temperatures was determined by Fourier transform infrared spectroscopy (FTIR) measurements. The phase separation was determined by x-ray diffraction (XRD) measurements. The electrical parameters were determined via the capacitance–voltage (C–V), conductance–voltage (G/ω) and leakage-current–voltage (Ig–Vg ). The results demonstrate that zirconium silicate formations are present at 1000 °C annealing with the SiO2 interfacial layer. The film was in amorphous form after annealing at 250 °C. The tetragonal phases of ZrO2 were obtained after annealing at 500 °C. When the temperature approaches 750 °C, transitions from the tetragonal phase to the monoclinic phase were observed. The obtained XRD peaks after 1000 °C annealing matched the crystalline peaks of ZrSiO4. This means that the crystalline zirconium dioxide in the structure has been converted into a crystalline silicate phase. The interface states increased to 5.71 × 1010 and the number of border traps decreased to 7.18 × 1010 cm‑2 with the increasing temperature. These results indicate that an excellent ZrSiO4/Si interface has been fabricated. The order of the leakage current varied from 10‑9 Acm‑2 to 10‑6 Acm‑2. The MOS capacitor fabricated with the films annealed at 1000 °C shows better behavior in terms of its structural, chemical and electrical properties. Hence, detailed frequency-dependent electrical characteristics were performed for the ZrSiO4 thin film annealed at 1000 °C. Very slight capacitance variations were observed under the frequency variations. This shows that the density of frequency-dependent charges is very low at the ZrSiO4/Si interface. The

  19. Numerical analysis on effect of annealing mc-Si ingot grown by DS process for PV application

    Science.gov (United States)

    Aravindan, G.; Srinivasan, M.; Aravinth, K.; Ramasamy, P.

    2017-10-01

    Silicon solar cells play a crucial role in Photo voltaic (PV) application. We have numerically investigated thermal stress and normal stress components (Sigma 11, Sigma 22, Sigma 33 and sigma 12) by using finite volume method. The maximum thermal stress has low value at the centre region for 900 K and 700 K annealing temperatures comparing all the cases. The maximum thermal stress at peripheral region is low for 700 K annealing compared to 900 K annealing. The annealing effect of mc-Si ingot normal stress components is discussed. At 700 K annealing temperature the normal stress in 11 and 33 direction has lower maximum and at the 900 K annealing temperature the normal stress in 22 and 12 direction has lower maximum.

  20. Structure of MnSi on SiC(0001)

    Science.gov (United States)

    Meynell, S. A.; Spitzig, A.; Edwards, B.; Robertson, M. D.; Kalliecharan, D.; Kreplak, L.; Monchesky, T. L.

    2016-11-01

    We report on the growth and magnetoresistance of MnSi films grown on SiC(0001) by molecular beam epitaxy. The growth resulted in a textured MnSi(111) film with a predominantly [1 1 ¯0 ] MnSi (111 )∥[11 2 ¯0 ] SiC(0001) epitaxial relationship, as demonstrated by transmission electron microscopy, reflection high energy electron diffraction, and atomic force microscopy. The 500 ∘C temperature required to crystallize the film leads to a dewetting of the MnSi layer. Although the sign of the lattice mismatch suggested the films would be under compressive stress, the films acquire an in-plane tensile strain likely driven by the difference in thermal expansion coefficients between the film and substrate during annealing. As a result, the magnetoresistive response demonstrates that the films possess a hard-axis out-of-plane magnetocrystalline anisotropy.

  1. Optical properties of p–i–n structures based on amorphous hydrogenated silicon with silicon nanocrystals formed via nanosecond laser annealing

    Energy Technology Data Exchange (ETDEWEB)

    Krivyakin, G. K.; Volodin, V. A., E-mail: volodin@isp.nsc.ru; Kochubei, S. A.; Kamaev, G. N. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Purkrt, A.; Remes, Z. [Institute of Physics ASCR (Czech Republic); Fajgar, R. [Institute of Chemical Process Fundamentals of the ASCR (Czech Republic); Stuchliková, T. H.; Stuchlik, J. [Institute of Physics ASCR (Czech Republic)

    2016-07-15

    Silicon nanocrystals are formed in the i layers of p–i–n structures based on a-Si:H using pulsed laser annealing. An excimer XeCl laser with a wavelength of 308 nm and a pulse duration of 15 ns is used. The laser fluence is varied from 100 (below the melting threshold) to 250 mJ/cm{sup 2} (above the threshold). The nanocrystal sizes are estimated by analyzing Raman spectra using the phonon confinement model. The average is from 2.5 to 3.5 nm, depending on the laser-annealing parameters. Current–voltage measurements show that the fabricated p–i–n structures possess diode characteristics. An electroluminescence signal in the infrared (IR) range is detected for the p–i–n structures with Si nanocrystals; the peak position (0.9–1 eV) varies with the laser-annealing parameters. Radiative transitions are presumably related to the nanocrystal–amorphous-matrix interface states. The proposed approach can be used to produce light-emitting diodes on non-refractory substrates.

  2. Effects of rapid thermal annealing on structural, chemical, and electrical characteristics of atomic-layer deposited lanthanum doped zirconium dioxide thin film on 4H-SiC substrate

    International Nuclear Information System (INIS)

    Lim, Way Foong; Quah, Hock Jin; Lu, Qifeng; Mu, Yifei; Ismail, Wan Azli Wan; Rahim, Bazura Abdul; Esa, Siti Rahmah; Kee, Yeh Yee; Zhao, Ce Zhou

    2016-01-01

    Graphical abstract: - Highlights: • Studies of RTA temperatures on La doped ZrO2 atomic layer deposited on 4HSiC. • Oxygen vacancies improved insulating and catalytic properties of La doped ZrO2. • 700 °C annealed sample showed the highest EB, k value, and sensitivity on O2. • La doped ZrO2 was proposed as a potential metal reactive oxide on 4H-SiC. - Abstract: Effects of rapid thermal annealing at different temperatures (700–900 °C) on structural, chemical, and electrical characteristics of lanthanum (La) doped zirconium oxide (ZrO_2) atomic layer deposited on 4H-SiC substrates have been investigated. Chemical composition depth profiling analysis using X-ray photoelectron spectroscopy (XPS) and cross-sectional studies using high resolution transmission electron microscopy equipped with energy dispersive X-ray spectroscopy line scan analysis were insufficient to justify the presence of La in the investigated samples. The minute amount of La present in the bulk oxide was confirmed by chemical depth profiles of time-of-flight secondary ion mass spectrometry. The presence of La in the ZrO_2 lattice led to the formation of oxygen vacancies, which was revealed through binding energy shift for XPS O 1s core level spectra of Zr−O. The highest amount of oxygen vacancies in the sample annealed at 700 °C has yielded the acquisition of the highest electric breakdown field (∼ 6.3 MV/cm) and dielectric constant value (k = 23) as well as the highest current–time (I–t) sensor response towards oxygen gas. The attainment of both the insulating and catalytic properties in the La doped ZrO_2 signified the potential of the doped ZrO_2 as a metal reactive oxide on 4H-SiC substrate.

  3. Change of structure and some mechanical properties during processing of AlMn(Fe,Si) alloys

    International Nuclear Information System (INIS)

    Kovacs-Csetenyi, E.; Griger, A.; Turmezey, T.; Suchanek, V.

    1990-01-01

    The aim of this work was to study the change of structure and some mechanical properties during processing of AlMn(Fe,Si) alloys. An emphasis was given to the effect of Fe and Si on the properties measured in deformed and annealed states, because of its technological importance

  4. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  5. Resistive switching behavior of SiOx layers with Si nanoparticles

    International Nuclear Information System (INIS)

    Nesheva, D; Pantchev, B; Manolov, E; Dzhurkov, V; Nedev, N; Valdez, B; Nedev, R

    2017-01-01

    First results on resistive switching in SiO x film containing crystalline silicon nanoparticles are reported. SiO x layers ( x = 1.15) with thickness of 50 nm were deposited on n-Si crystalline substrates and annealed for 60 min at 1000 o C to grow crystalline nanoparticles. Part of the samples were annealed in an inert atmosphere, while the rest were subjected to a two-step (O 2 +N 2 /N 2 ) annealing process. Current-voltage (I-V) characteristics were by applying positive or negative voltage to the top contact. For both types of samples the I-V characteristics were asymmetric with lower currents measured at negative voltage, especially in the case of two-step annealed samples. In most of the N 2 annealed structures switching behavior high-low/low-high resistance state was observed in both polarities at voltages with amplitudes in the range (2 - 4) V. Uncontrolled switching low/high resistance was also seen, more frequently at positive voltages. In contrast, the two-step annealed samples showed stable behavior. The transition high-low resistance state was achieved by negative voltages in the (-2, -5) V range leading to an increase of the current by more than three orders of magnitude. The structures were reset to the high resistive state, by positive voltage in the range (3 - 4) V. Uncontrolled switching was not observed in the two-step annealed samples for both polarities and they showed higher reliability regarding the number of switching cycles. (paper)

  6. Radiation effects on the behavior of carbon and oxygen impurities and the role of Ge in Czochralski grown Si upon annealing

    International Nuclear Information System (INIS)

    Londos, C. A.; Andrianakis, A.; Emtsev, V.; Ohyama, H.

    2009-01-01

    The annealing behavior of the oxygen and carbon impurities in Czochralski grown silicon (Cz-Si) was investigated in electron- and neutron-irradiated materials. The irradiated samples were subjected to isochronal anneals of up to ∼1000 deg. C, and the evolution of oxygen and carbon concentrations was monitored by means of infrared spectroscopy from the amplitudes of the 1106 and 605 cm -1 bands of the two impurities correspondingly. It was found that the electron irradiation does not affect the temperature of annealing of oxygen, although in the neutron-irradiated samples the oxygen band begins to decay in the spectra at a lower temperature than that in the nonirradiated samples. This behavior could be determined by supersaturation of vacancies mainly liberated from disordered regions in the latter material. This assists the oxygen aggregation process. Regarding carbon evolution, it was found that in the irradiated samples the annealing out of the 605 cm -1 band occurs at a lower temperature than that of the nonirradiated samples. Prior to the onset of decay of the 605 cm -1 band an inverse annealing stage was observed in the irradiated samples, indicating partial restoration of substitutional carbon. The general behavior was discussed with respect to the supersaturation of intrinsic defects, mainly self-interstitials. As a result, large C N (Si I ) M complexes are formed. There are two processes running in parallel: the recovery of substitutional carbon from carbon-related defects and C N (Si I ) M complexes and the transformation of C N (Si I ) M complexes to SiC-based precipitates. Noticeably, in electron-irradiated Ge-doped Si the inverse annealing stage of substitutional carbon is suppressed. Furthermore, our results showed that the Ge doping of Cz-Si of up to 2x10 20 cm -3 does not practically affect the temperature at which oxygen and carbon are completely lost in irradiated Cz-Si:Ge.

  7. Formation and vibrational structure of Si nano-clusters in ZnO matrix

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Serrano, J. [Universidad Autonoma del Estado de Hidalgo, Hidalgo (Mexico); Pal, U. [Universidad Autonoma de Puebla, Puebla (Mexico); Koshizaki, N.; Sasaki, T. [National Institute of Materials and Chemical Research, Ibaraki (Japan)

    2001-02-01

    We have studied the formation and vibrational structure of Si nano-clusters in ZnO matrix prepared by radio-frequency (r.f.) co-sputtering, and characterized by Transmission Electron Microscopy (TEM), X-ray Photoelectron Spectroscopy (XPS) and Infrared (IR) spectroscopy techniques. The composite films of Si/ZnO were grown o quartz substrates by co-sputtering of Si and ZnO targets. TEM images show a homogeneous distribution of clusters in the matrix with average size varied from 3.7 nm to 34 nm depending on the temperature of annealing. IR absorption measurements revealed the bands correspond to the modes of vibrations of Si{sub 3} in its triangular geometrical structure. By analysing the IR absorption and XPS spectra we found that the nano-clusters consist of a Si{sub 3} core and a SiO{sub x} cap layer. With the increase of annealing temperature, the vibrational states of Si changed from the triplet {sup 3}B1(C2{sub v}) and {sup 3}A'{sub 2}(D{sub 3h}) states to its singlet ground state {sup 1}A{sub 1}(C2{sub v}) and the oxidation state of Si in SiO{sub x} increased. The evolution of the local atomic structure of the Si nano-clusters with the variation of Si content in the film and with the variation of the temperature of annealing are discussed. [Spanish] Se estudia la formacion y estructura vibracional de nano-cumulos de Si en matriz de ZnO preparados por la tecnica de radio-frecuencia (r.f.) co-sputtering, y caracterizados por Microscopia Electronica de Transmision (TEM), Espectroscopia Fotoelectronica de rayos X (XPS) y Espectroscopia de Infrarrojo (IR). Las peliculas compositas de Si/ZnO fueron crecidas sobre sustratos de cuarzo mediante el co-sputtering de blancos de Si y ZnO. Las imagenes de TEM mostraron una distribucion homogenea de cumulos en la matriz con un tamano promedio de 3.7 nm a 34 nm dependiendo de la temperatura de tratamiento. Las mediciones de IR relevaron las bandas correspondientes a los modos de vibracion de Si{sub 3} en su estructura

  8. Effect of annealing treatment on soft magnetic properties of Fe-6.5 wt% Si wide ribbons

    International Nuclear Information System (INIS)

    Roy, R.K.; Panda, A.K.; Ghosh, M.; Mitra, A.; Ghosh, R.N.

    2009-01-01

    The 25 mm wide ribbons of Fe-6.5 wt% Si alloy have been developed by melt spinning technique, showing sufficient ductility and white silver appearance. Two magnetic transitions take place at 676 and 760 deg. C due to the formation of B2 ordered phase and A2 disordered paramagnetic phase, respectively. The saturation magnetization of the ribbon is 17.5 kG under the applied field of 12 kG. The as-cast ribbons consist of disordered A2 structure with a low volume of B2 phases while the annealed microstructure comprises a dispersion of B2 domains in the disordered A2 matrix. The alloy shows the enhancement of the soft magnetic properties with a reduction in coercivity from 150 A/m in the as-cast state to 45 A/m in the annealed condition at 850 deg. C.

  9. Annealing effect and photovoltaic properties of nano-ZnS/textured p-Si heterojunction

    OpenAIRE

    Ji, Liang-Wen; Hsiao, Yu-Jen; Tang, I-Tseng; Meen, Teen-Hang; Liu, Chien-Hung; Tsai, Jenn-Kai; Wu, Tien-Chuan; Wu, Yue-Sian

    2013-01-01

    The preparation and characterization of heterojunction solar cell with ZnS nanocrystals synthesized by chemical bath deposition method were studied in this work. The ZnS nanocrystals were characterized by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM). Lower reflectance spectra were found as the annealing temperature of ZnS film increased on the textured p-Si substrate. It was found that the power conversion efficiency (PCE) of the AZO/ZnS/textured p-Si h...

  10. Synthesis and characterization of amorphous SiO{sub 2} nanowires via pulsed laser deposition accompanied by N{sub 2} annealing

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui; Guan, Leilei; Xu, Zhuoqi; Zhao, Yu; Sun, Jian; Wu, Jiada; Xu, Ning, E-mail: ningxu@fudan.edu.cn

    2016-12-15

    Highlights: • The SiO{sub 2} nanowires were synthesized by PLD accompanied by N{sub 2} annealing. • The as-grown SiO{sub 2} nanowires were analyzed by HRTEM, SAED and EDS. • The grown SiO{sub 2} nanowire films are transparent in the range of 350–800 nm. • The SiO{sub 2} nanowire films can emit stable ultraviolet emission. - Abstract: Amorphous SiO{sub 2} nanowires are successfully fabricated on fused silica substrates covered by nickel/carbon catalyst bilayers via a method of pulsed laser deposition accompanied by annealing in ambient N{sub 2}. The field emission scanning electron microscopy images show that the optimum annealing temperature for the growth of SiO{sub 2} nanowires is about 1200 °C and the grown SiO{sub 2} nanowires become denser, longer and more uniform with the increment of annealing duration. The results of transmission electron microscopy and high-resolution transmission electron microscopy show that the grown nanowires are amorphous and have dark spheres on their tops. The analyses of energy dispersive X-ray spectroscopy reveal that the nanowires are composed of SiO{sub 2} and the dark spheres on their tops contain little nickel. It is inferred that nickel, carbon and CO are the key elements to promote the SiO{sub 2} nanowire growth in the solid-liquid-solid mode. Transmission spectra demonstrate that the as-grown nanowire thin films can have about 94% average transmittance in the range of 350–800 nm, meanwhile the photoluminescence spectra of the as-grown SiO{sub 2} nanowire samples show stable ultraviolet emission centered at about 363 nm with a shoulder at about 393 nm.

  11. Formation, stability and crystal structure of the {sigma} phase in Mo-Re-Si alloys

    Energy Technology Data Exchange (ETDEWEB)

    Bei, H., E-mail: beih@ornl.gov [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Yang, Y., E-mail: ying.yang@computherm.com [CompuTherm LLC, Madison, WI 53719 (United States); Viswanathan, G.B. [Air Force Research Laboratory, Wright-Patterson AFB, OH 45433 (United States); Rawn, C.J.; George, E.P. [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States)] [University of Tennessee, Department of Materials Science and Engineering, Knoxville, TN 37996 (United States); Tiley, J. [Air Force Research Laboratory, Wright-Patterson AFB, OH 45433 (United States); Chang, Y.A. [CompuTherm LLC, Madison, WI 53719 (United States)] [University of Wisconsin-Madison, Madison, WI 53705 (United States)

    2010-10-15

    The formation, stability and crystal structure of the {sigma} phase in Mo-Re-Si alloys were investigated. Guided by thermodynamic calculations, six critically selected alloys were arc melted and annealed at 1600 deg. C for 150 h. Their as-cast and annealed microstructures, including phase fractions and distributions, the compositions of the constituent phases and the crystal structure of the {sigma} phase were analyzed by thermodynamic modeling coupled with experimental characterization by scanning electron microscopy, electron probe microanalysis, X-ray diffraction and transmission electron microscopy. Two key findings resulted from this work. One is the large homogeneity range of the {sigma} phase region, extending from binary Mo-Re to ternary Mo-Re-Si. The other is the formation of a {sigma} phase in Mo-rich alloys either through the peritectic reaction of liquid + Mo{sub ss} {yields} {sigma} or primary solidification. These findings are important in understanding the effects of Re on the microstructure and providing guidance on the design of Mo-Re-Si alloys.

  12. Effect of Annealing Heat Treatment to Characteristics of AlDC8 (Al-Si-Cu) Alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Kyung Man; Lee, Sung-Yul; Lee, Myeong Hoon; Jeong, Jae-Hyun [Korea Maritime and Ocean University, Busan (Korea, Republic of); Baek, Tae-Sil [Pohang College, Pohang (Korea, Republic of)

    2015-12-15

    ALDC8 (Al-Si-Cu) alloy has been often corroded with pattern of intergranular corrosion in corrosive environments. Thus, in order to improve its corrosion resistance, the effect of annealing heat treatment to corrosion resistance and hardness was investigated with parameters of heating temperatures such as 100 ℃, 200 ℃, 300 ℃, 400 ℃ and 500 ℃ for 1hr. The hardness was varied with annealing temperature and slightly decreased with annealing heat treatment. However, the relation between annealing temperature and hardness agreed not well each other. Corrosion potential was shifted to noble direction and corrosion current density was also decreased with increasing annealing temperature. Moreover, both AC impedance at 10 mHz and polarization resistance on the cyclic voltammogram curve were also increased with increasing annealing temperature. Furthermore, intergranular corrosion was somewhat observed in non heat treatment as well as annealing temperatures at 100 ℃, 200 ℃ and 300 ℃, while, intergranular corrosion was not nearly observed at annealing temperature of 400 ℃, 500 ℃. Consequently, it is considered that the annealing heat treatment of ALDC8 alloy may be an available method not only to inhibit its intergranular corrosion but also to improve its corrosion resistance.

  13. Ion-beam synthesis and photoluminescence of SiC nanocrystals assisted by MeV-heavy-ion-beam annealing

    International Nuclear Information System (INIS)

    Khamsuwan, J.; Intarasiri, S.; Kirkby, K.; Chu, P.K.; Singkarat, S.; Yu, L.D.

    2012-01-01

    This work explored a novel way to synthesize silicon carbide (SiC) nanocrystals for photoluminescence. Carbon ions at 90 keV were implanted in single crystalline silicon wafers at elevated temperature, followed by irradiation using xenon ion beams at an energy of 4 MeV with two low fluences of 5 × 10 13 and 1 × 10 14 ions/cm 2 at elevated temperatures for annealing. X-ray diffraction, Raman scattering, infrared spectroscopy and transmission electron microscopy were used to characterize the formation of nanocrystalline SiC. Photoluminescence was measured from the samples. The results demonstrated that MeV-heavy-ion-beam annealing could indeed induce crystallization of SiC nanocrystals and enhance emission of photoluminescence with violet bands dominance due to the quantum confinement effect.

  14. Investigation of SiO{sub 2} film growth on 4H-SiC by direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3} and H{sub 2}O vapor at varied process durations

    Energy Technology Data Exchange (ETDEWEB)

    Poobalan, Banu [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Moon, Jeong Hyun; Kim, Sang-Cheol; Joo, Sung-Jae; Bahng, Wook; Kang, In Ho; Kim, Nam-Kyun [Power Semiconductor Research Centre, Korea Electrotechnology Research Institute, PO Box 20, Changwon, Gyungnam 641120 (Korea, Republic of); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2014-11-03

    This study has revealed that HNO{sub 3} and H{sub 2}O vapors can be utilized as direct thermal oxidation or postoxidation annealing agents at a temperature above 1000 °C; as they play a major role in simultaneous oxidation/nitridation/hydrogenation processes at the bulk oxide and SiO{sub 2}/SiC interface. The varied process durations of the above-mentioned techniques contribute to the development of thicker gate oxides for high power device applications with improved electrical properties, lower interface-state density and higher breakdown voltage as compared to oxides grown through a more conventional wet (H{sub 2}O vapor only) oxidation technique. The study highlights the effects of hydrogen and nitrogen species on the passivation of structural defects at the bulk oxide and the SiO{sub 2}/SiC interface, which are revealed through the use of Time-of-Flight Secondary Ion Mass Spectroscopy and X-ray Photoelectron Spectroscopy. The physical properties of the substrate after oxide removal show that the surface roughness decreases as the process durations increase with longer hours of H{sub 2}O and HNO{sub 3} vapor exposures on the samples, which is mainly due to the significant reduction of carbon content at the SiO{sub 2}/SiC interface. - Highlights: • Direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3}/H{sub 2}O vapor • SiO{sub 2} film growth in H{sub 2}O/HNO{sub 3}vapor at varied process durations • Thicker SiO{sub 2} film growth via annealing than direct growth in HNO{sub 3}/H{sub 2}O vapor • Nitrogen and hydrogen as passivation elements in SiO{sub 2}/SiC interface and SiO{sub 2} bulk • Significant reduction of carbon and Si-dangling bonds at the SiC/SiO{sub 2} interface.

  15. Effect of high temperature annealing on the microstructure of SCS-6 SiC fibers

    Science.gov (United States)

    Ning, X. J.; Pirouz, P.; Bhatt, R. T.

    1992-01-01

    The effect of annealing the SCS-6 SiC fiber for one hour at 2000 C in an argon atmosphere is reported. The SiC grains in the fiber coarsen appreciably and the intergranular carbon films segregate to the grain junctions. It would appear that grain growth in the outer part of the fiber is primarily responsible for the loss in fiber strength and improvement in fiber creep resistance.

  16. Texture evolution in Fe-3% Si steel treated under unconventional annealing conditions

    International Nuclear Information System (INIS)

    Stoyka, Vladimir; Kovac, Frantisek; Stupakov, Oleksandr; Petryshynets, Ivan

    2010-01-01

    The present work investigates texture evolution stages in grain-oriented steel heat-treated using unconventional conditions. The Fe-3%Si steel taken after final cold rolling reduction from an industrial line was subjected to a laboratory isothermal annealing at different temperatures. The annealing temperatures were varied in a range of 850-1150 deg. C. During the annealing each specimen was heated at 10 deg. C/s and kept at the stated temperature for 5 min. Development of microstructure and texture in the annealed specimens were followed by the DC measurements of magnetic properties. The grain oriented steel, taken from the same industrial line after final box annealing was also analyzed and compared with the laboratory annealed specimens. It was shown that there is an optimal temperature region that, with combination of a fast heating rate, led to the best conditions of a drastically reduced development time of the {110} crystallographic texture in the cold rolled grain-oriented steel. Materials heat treated below the optimum temperature region account for a primary recrystallization, while applying heat above this region leads to a secondary recrystallization without abnormal grain growth. Moreover, in the optimum temperature range, there was a particular temperature leading to the most optimal microstructure and texture. The magnetic properties, measured after the optimal heat treatment, were close to that measured on specimens taken after the final box annealing. The electron back scattered diffraction measurement technique revealed that sharpness of the {110} crystallographic texture, developed at the optimum temperature is comparable to the steel taken after the industrial final box annealing. This fact is evidence that there is a temperature where the abnormal grain growth proceeds optimally.

  17. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  18. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  19. Heat stability evaluations of Co/SiO2 multilayers

    International Nuclear Information System (INIS)

    Ishino, Masahiko; Koike, Masato; Kanehira, Mika; Satou, Futami; Terauchi, Masami; Sano, Kazuo

    2008-01-01

    The heat stability of Co/SiO 2 multilayers was evaluated. Co/SiO 2 multilayer samples were deposited on Si substrate by means of an ion beam sputtering method, and annealed at temperatures from 100degC to 600degC in a vacuum furnace. For the structural and optical evaluations, small angle x-ray diffraction (XRD) measurements, soft x-ray reflectivity measurements, and transmission electron microscopy (TEM) observations were carried out. As the results, the Co/SiO 2 multilayer samples annealed up to 400degC maintained the initial multilayer structures, and kept almost the same soft x-ray reflectivities as that of the as-deposited Co/SiO 2 multilayer sample. A deterioration of the multilayer structure caused by the growth of Co grains was found on the Co/SiO 2 multilayer samples annealed over 500degC, and the soft x-ray reflectivity dropped in accordance with the deterioration of the multilayer structure. (author)

  20. Unusual effects of manual grinding and subsequent annealing process observed in Gd5.09Ge2.03Si1.88 compound

    Science.gov (United States)

    Carvalho, A. M. G.; Alves, C. S.; Trevizoli, P. V.; dos Santos, A. O.; Gama, S.; Coelho, A. A.

    2018-03-01

    The Gd5.09Ge2.03Si1.88 compound, as well as other magnetocaloric materials, certainly will not be used in their un-manufactured as-cast condition in future magnetic refrigeration applications or other devices. In this work, we have studied the Gd5.09Ge2.03Si1.88 compound processed in different ways, mainly, the as-cast powder, the annealed powder, and the pressed and sintered powder. The annealed powder (1370 K/20 h) does not present the monoclinic phase and the first-order magneto-structural transition observed in the as-cast powder. The pressed and sintered powder also do not present the first-order transition. Furthermore, the compacting pressure shifts the second-order magnetic transition to lower temperatures. The behavior of cell parameters as a function of the compacting pressure indicates that T C is directly affected by parameter c change.

  1. Annealing impact on the structural and photoluminescence properties of ZnO thin films on Ag substrates

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Lai, Min; Pei, Shixin

    2014-01-01

    Graphical abstract: The Gaussian fitting indicates that the PL spectra of the ZnO thin films include four emission peaks which are centered at 380, 520, 570 and 610 nm, respectively. The ZnO thin film deposited on an Ag substrate shows a stronger green emission and a weaker UV emission than the ZnO thin film directly deposited on a Si substrate annealed at 400 °C. With the rise of annealing temperature, the visible emission intensity and wavelength are largely changed. Highlights: • ZnO thin films have been prepared on Ag substrates by sol–gel method. • The Ag substrates have a great effect on the photoluminescence of ZnO thin films. • All the films exhibit three visible emission bands including green, yellow and red. • Annealing causes a large change of the visible emission intensity and wavelength. -- Abstract: In this work, ZnO thin films were prepared by sol–gel method on Ag substrates. The structural and optical properties of the films annealed at different temperatures were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM) and photoluminescence, respectively. The results of XRD showed that all the ZnO thin films had a wurtzite phase and were preferentially oriented along the c-axis direction. The sample annealed at 400 °C exhibited better crystalline quality than the ZnO thin film directly deposited on a Si substrate annealed at the same temperature. The photoluminescence spectra showed that ZnO thin films had an ultraviolet emission band and three visible emission bands including green, yellow and red band. The sample annealed at 400 °C exhibited a stronger green emission and a weaker ultraviolet emission compared with the ZnO thin film deposited on a Si substrate annealed at the same temperature. The difference of the luminescence properties was thought to be originated from different substrates. As for the ZnO films on Ag substrates, the increase of annealing temperature led to different changes of visible emissions

  2. Dependence of annealing time on structural and morphological properties of Ca(Zr0.05Ti0.95)O3 thin films

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Orlandi, M.O.; Santos, M.R.M.C.; Varela, J.A.; Longo, E.

    2008-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were prepared by the polymeric precursor method by spin-coating process. The films were deposited on Pt(1 1 1)/Ti/SiO 2 /Si(1 0 0) substrates and annealed at 650 deg. C for 2, 4, and 6 h in oxygen atmosphere. Structure and morphology of the CZT thin films were characterized by the X-ray diffraction (XRD), Fourier-transform infrared spectroscopy (FT-IR), atomic force microscopy (AFM) and field-emission scanning electron microscopy (FEG-SEM). XRD revealed that the film is free of secondary phases and crystallizes in the orthorhombic structure. The annealing time influences the grain size, lattices parameter and in the film thickness

  3. Three-dimensional imaging for precise structural control of Si quantum dot networks for all-Si solar cells

    Science.gov (United States)

    Kourkoutis, Lena F.; Hao, Xiaojing; Huang, Shujuan; Puthen-Veettil, Binesh; Conibeer, Gavin; Green, Martin A.; Perez-Wurfl, Ivan

    2013-07-01

    All-Si tandem solar cells based on Si quantum dots (QDs) are a promising approach to future high-performance, thin film solar cells using abundant, stable and non-toxic materials. An important prerequisite to achieve a high conversion efficiency in such cells is the ability to control the geometry of the Si QD network. This includes the ability to control both, the size and arrangement of Si QDs embedded in a higher bandgap matrix. Using plasmon tomography we show the size, shape and density of Si QDs, that form in Si rich oxide (SRO)/SiO2 multilayers upon annealing, can be controlled by varying the SRO stoichiometry. Smaller, more spherical QDs of higher densities are obtained at lower Si concentrations. In richer SRO layers ellipsoidal QDs tend to form. Using electronic structure calculations within the effective mass approximation we show that ellipsoidal QDs give rise to reduced inter-QD coupling in the layer. Efficient carrier transport via mini-bands is in this case more likely across the multilayers provided the SiO2 spacer layer is thin enough to allow coupling in the vertical direction.All-Si tandem solar cells based on Si quantum dots (QDs) are a promising approach to future high-performance, thin film solar cells using abundant, stable and non-toxic materials. An important prerequisite to achieve a high conversion efficiency in such cells is the ability to control the geometry of the Si QD network. This includes the ability to control both, the size and arrangement of Si QDs embedded in a higher bandgap matrix. Using plasmon tomography we show the size, shape and density of Si QDs, that form in Si rich oxide (SRO)/SiO2 multilayers upon annealing, can be controlled by varying the SRO stoichiometry. Smaller, more spherical QDs of higher densities are obtained at lower Si concentrations. In richer SRO layers ellipsoidal QDs tend to form. Using electronic structure calculations within the effective mass approximation we show that ellipsoidal QDs give rise to

  4. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  5. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  6. Studies of structural and magnetic properties of glass-coated nanocrystalline Fe79Hf7B12Si2 microwires

    International Nuclear Information System (INIS)

    Garcia, C.; Zhukov, A.; Gonzalez, J.; Zhukova, V.; Varga, R.; Val, J.J. del; Larin, V.; Blanco, J.M.

    2006-01-01

    In the present work we deal with the fabrication of thin of Fe 79 Hf 7 B 12 Si 2 (low Si content) glass-coated microwire with a nanocrystalline structure and structural and coercivity characterization of such samples which can be considered as a new family of these nanocrystalline materials. Pieces of 10 cm of this microwire were annealed (300-600 deg. C during 1 h). The structural characteristics of the as-cast and annealed samples were determined, at room temperature, by X-ray diffraction (XRD) technique. XRD measurements allow to obtain the evolution of the grain size (15-35 nm) and relative volume fraction (5-60%) of the nanograins as a function of he annealing temperature in the annealed samples. Coercive field (H c ) of the as-cast and annealed samples has been evaluated from the hysteresis loop of the samples obtained by a conventional induction method at 100 Hz. Thermal dependence H c is quite similar to that reported in other nanocrystalline Fe-based alloys. It slightly decreases from the as-cast state (relaxation process) showing small maximum at around 700 K (pre-nucleation of nanograins) decreasing significantly between 773-873 K (exchange coupling of the nanograins)

  7. Interface reactions between Pd thin films and SiC by thermal annealing and SHI irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Njoroge, E.G., E-mail: eric.njoroge@up.ac.za [Department of Physics, University of Pretoria, Pretoria (South Africa); Theron, C.C. [Department of Physics, University of Pretoria, Pretoria (South Africa); Skuratov, V.A. [Joint Institute for Nuclear Research, Dubna (Russian Federation); Wamwangi, D. [School of Physics, University of Witwatersrand, Johannesburg (South Africa); Hlatshwayo, T.T. [Department of Physics, University of Pretoria, Pretoria (South Africa); Comrie, C.M. [MRD, iThemba LABS, P.O. Box 722, Somerset West 7129 (South Africa); Malherbe, J.B. [Department of Physics, University of Pretoria, Pretoria (South Africa)

    2016-03-15

    The solid-state reactions between Pd thin films and 6H-SiC substrates induced by thermal annealing, room temperature swift heavy ion (SHI) irradiation and high temperature SHI irradiation have been investigated by in situ and real-time Rutherford backscattering spectrometry (RBS) and Grazing incidence X-ray diffraction (GIXRD). At room temperature, no silicides were detected to have formed in the Pd/SiC samples. Two reaction growth zones were observed in the samples annealed in situ and analysed by real time RBS. The initial reaction growth region led to formation of Pd{sub 3}Si or (Pd{sub 2}Si + Pd{sub 4}Si) as the initial phase(s) to form at a temperature of about 450 °C. Thereafter, the reaction zone did not change until a temperature of 640 °C was attained where Pd{sub 2}Si was observed to form in the reaction zone. Kinetic analysis of the initial reaction indicates very fast reaction rates of about 1.55 × 10{sup 15} at cm{sup −2}/s and the Pd silicide formed grew linear with time. SHI irradiation of the Pd/SiC samples was performed by 167 MeV Xe{sup 26+} ions at room temperature at high fluences of 1.07 × 10{sup 14} and 4 × 10{sup 14} ions/cm{sup 2} and at 400 °C at lower fluences of 5 × 10{sup 13} ions/cm{sup 2}. The Pd/SiC interface was analysed by RBS and no SHI induced diffusion was observed for room temperature irradiations. The sample irradiated at 400 °C, SHI induced diffusion was observed to occur accompanied with the formation of Pd{sub 4}Si, Pd{sub 9}Si{sub 2} and Pd{sub 5}Si phases which were identified by GIXRD analysis.

  8. Low-temperature growth of aligned ZnO nanorods: effect of annealing gases on the structural and optical properties.

    Science.gov (United States)

    Umar, Ahmad; Hahn, Yoon-Bong; Al-Hajry, A; Abaker, M

    2014-06-01

    Aligned ZnO nanorods were grown on ZnO/Si substrate via simple aqueous solution process at low-temperature of - 65 degrees C by using zinc nitrate and hexamethylenetetramine (HMTA). The detailed morphological and structural properties measured by FESEM, XRD, EDS and TEM confirmed that the as-grown nanorods are vertically aligned, well-crystalline possessing wurtzite hexagonal phase and grown along the [0001] direction. The room-temperature photoluminescence spectrum of the grown nanorods exhibited a strong and broad green emission and small ultraviolet emission. The as-prepared ZnO nanorods were post-annealed in nitrogen (N2) and oxygen (O2) environments and further characterized in terms of their morphological, structural and optical properties. After annealing the nanorods exhibit well-crystallinity and wurtzite hexagonal phase. Moreover, by annealing the PL spectra show the enhancement in the UV emission and suppression in the green emission. The presented results demonstrate that simply by post-annealing process, the optical properties of ZnO nanostructures can be controlled.

  9. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  10. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  11. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  12. Preparation of atomically clean and flat Si(1 0 0) surfaces by low-energy ion sputtering and low-temperature annealing

    International Nuclear Information System (INIS)

    Kim, J.C.; Ji, J.-Y.; Kline, J.S.; Tucker, J.R.; Shen, T.-C.

    2003-01-01

    Si(1 0 0) surfaces were prepared by wet-chemical etching followed by 0.3-1.5 keV Ar ion sputtering, either at elevated or room temperature (RT). After a brief anneal under ultrahigh vacuum (UHV) conditions, the resulting surfaces were examined by scanning tunneling microscopy. We find that wet-chemical etching alone cannot produce a clean and flat Si(1 0 0) surface. However, subsequent 300 eV Ar ion sputtering at room temperature followed by a 700 deg. C anneal yields atomically clean and flat Si(1 0 0) surfaces suitable for nanoscale device fabrication

  13. Phase relation of LaFe11· 6Si1· 4 compounds annealed at different ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 35; Issue 2. Phase relation of LaFe11.6Si1.4 compounds annealed at different high-temperature and the magnetic property of LaFe11.6−CoSi1.4 compounds. Xiang Chen Yungui Chen Yongbai Tang. Volume 35 Issue 2 April 2012 pp 175-182 ...

  14. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  15. An EPR investigation of SiO{sub x} films with columnar structure

    Energy Technology Data Exchange (ETDEWEB)

    Bratus' , V., E-mail: v_bratus@isp.kiev.ua [V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine, Kyiv 03680 (Ukraine); Indutnyi, I.; Shepeliavyi, P. [V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine, Kyiv 03680 (Ukraine); Torchynska, T. [ESFM-Instituto Politécnico Nacional, México D.F. 07738 (Mexico)

    2014-11-15

    The results of electron paramagnetic resonance (EPR) study of obliquely deposited porous SiO{sub x} films before and after thermal annealing in vacuum at 950 °C are presented. The low intensity slightly asymmetrical and featureless EPR line with a g-value of 2.0044 and a linewidth of 0.77 mT has been detected in as-sputtered films and attributed to dangling bonds of silicon atoms in amorphous SiO{sub x} domains with x=0.8. Successive annealing results in decreasing this line and the appearance of an intense EPR line with g=2.0025, linewidth of 0.11 mT and a hyperfine doublet with 1.6 mT splitting. According to the parameters this spectrum has been attributed to the EX center, a hole delocalized over four non-bridging oxygen atoms grouped around a Si vacancy in SiO{sub 2}. The impact of chemical treatment before annealing and duration of anneals on the defect system is discussed.

  16. Pattern Laser Annealing by a Pulsed Laser

    Science.gov (United States)

    Komiya, Yoshio; Hoh, Koichiro; Murakami, Koichi; Takahashi, Tetsuo; Tarui, Yasuo

    1981-10-01

    Preliminary experiments with contact-type pattern laser annealing were made for local polycrystallization of a-Si, local evaporation of a-Si and local formation of Ni-Si alloy. These experiments showed that the mask patterns can be replicated as annealed regions with a resolution of a few microns on substrates. To overcome shortcomings due to the contact type pattern annealing, a projection type reduction pattern laser annealing system is proposed for resistless low temperature pattern forming processes.

  17. Effect of Mechanical Stresses and Annealing on the Magnetic Structure and the Magnetic Impedance of Amorphous CoFeSiBCr Microwires

    Science.gov (United States)

    Nematov, M. G.; Salem, M. M.; Azim, U.; Akhmat, M.; Morchenko, A. T.; Yudanov, N. A.; Panina, L. V.

    2018-02-01

    The structural and magnetic properties of amorphous ferromagnetic microwires can undergo significant measurements under the action of external mechanical stresses and heat treatment. The study of transformations occurring in this case is important for designing various sensors of mechanical stresses, loading, and temperature and also for inducing in the wires a certain type of magnetic anisotropy that plays a significant role in the realization of various effects in them. In this work, the influence of external stresses and annealing on the processes of the magnetization and the magnetic impedance of Co71Fe5B11Si10Cr3 microwires having a low positive magnetostriction ( 10-8) in amorphous state has been studied. The influence of external stresses leads to a sharp change in the character of the magnetization reversal curve, which was due to the change in the sign of the magnetostriction and the type of magnetic anisotropy. The amplitude of higher harmonics and the value of the magnetic impedance, respectively, are sensitive to mechanical stresses. Elastic stresses in the wires with a partial crystallization do not lead to a marked change in the magnetic properties; however, annealing can lead to a substantial increase in the axial magnetic anisotropy of the wires existing in the stressed state. The experimental results are analyzed in the framework of a magnetostriction model of induced magnetic anisotropy.

  18. Structural evolution of Ge-rich Si1−xGex films deposited by jet-ICPCVD

    Directory of Open Access Journals (Sweden)

    Yu Wang

    2015-11-01

    Full Text Available Amorphous Ge-rich Si1−xGex films with local Ge-clustering were deposited by dual-source jet-type inductively coupled plasma chemical-vapor deposition (jet-ICPCVD. The structural evolution of the deposited films annealed at various temperatures (Ta is investigated. Experimental results indicate that the crystallization occurs to form Ge and Si clusters as Ta = 500 °C. With raising Ta up to 900 °C, Ge clusters percolate together and Si diffuses and redistributes to form a Ge/SiGe core/shell structure, and some Ge atoms partially diffuse to the surface as a result of segregation. The present work will be helpful in understanding the structural evolution process of a hybrid SiGe films and beneficial for further optimizing the microstructure and properties.

  19. Annealing effects on the microwave linewidth broadening of FeCuNbSiB ferromagnetic films

    Energy Technology Data Exchange (ETDEWEB)

    Alves, M. J. P.; Gonzalez-Chavez, D. E.; Sommer, R. L. [Centro Brasileiro de Pesquisas Físicas, Rua Dr. Xavier Sigaud 150, Urca, 22290-180 Rio de Janeiro, RJ (Brazil); Bohn, F. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, 59078-900 Natal, RN (Brazil)

    2015-03-28

    We systematically investigate the annealing effects on the microwave linewidth broadening of FeCuNbSiB ferromagnetic films with thickness of 100 nm. We correlate the non-uniform residual stress obtained from grazing incidence x-ray diffraction measurements with the ferromagnetic resonance (FMR) linewidth due to effective field inhomogeneities measured from broadband ferromagnetic resonance absorption measurements. We also estimate the annealing temperature effect on the Gilbert and two-magnon scattering contributions to the total ferromagnetic resonance FMR linewidth. We show that the effective field inhomogeneities constitute the main contribution to the microwave linewidth, while this contribution is related to the non-uniform residual stress in the films which is reduced by thermal annealing.

  20. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

    Directory of Open Access Journals (Sweden)

    Feng Sun

    2018-03-01

    Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

  1. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  2. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  3. Annealing temperature and environment effects on ZnO nanocrystals embedded in SiO2: a photoluminescence and TEM study.

    Science.gov (United States)

    Pita, Kantisara; Baudin, Pierre; Vu, Quang Vinh; Aad, Roy; Couteau, Christophe; Lérondel, Gilles

    2013-12-06

    We report on efficient ZnO nanocrystal (ZnO-NC) emission in the near-UV region. We show that luminescence from ZnO nanocrystals embedded in a SiO2 matrix can vary significantly as a function of the annealing temperature from 450°C to 700°C. We manage to correlate the emission of the ZnO nanocrystals embedded in SiO2 thin films with transmission electron microscopy images in order to optimize the fabrication process. Emission can be explained using two main contributions, near-band-edge emission (UV range) and defect-related emissions (visible). Both contributions over 500°C are found to be size dependent in intensity due to a decrease of the absorption cross section. For the smallest-size nanocrystals, UV emission can only be accounted for using a blueshifted UV contribution as compared to the ZnO band gap. In order to further optimize the emission properties, we have studied different annealing atmospheres under oxygen and under argon gas. We conclude that a softer annealing temperature at 450°C but with longer annealing time under oxygen is the most preferable scenario in order to improve near-UV emission of the ZnO nanocrystals embedded in an SiO2 matrix.

  4. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  5. Effects of annealing on the microstructure and magnetic property of the mechanically alloyed FeSiBAlNiM (M=Co, Cu, Ag) amorphous high entropy alloys

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaoxia; Zhou, Xuan; Yu, Shuaishuai; Wei, Congcong; Xu, Jing; Wang, Yan, E-mail: mse_wangy@ujn.edu.cn

    2017-05-15

    The effects of annealing treatment on the microstructure, thermal stability, and magnetic properties of the mechanical alloyed FeSiBAlNiM (M=Co, Cu, Ag) amorphous high entropy alloys (HEAs) have been investigated in this project. The simple crystallization products in FeSiBAlNi amorphous HEAs with Co and Ag addition reveal the high phase stability during heating process. At high annealing treatment, the crystallized HEAs possess the good semi-hard magnetic property. It can conclude that crystallization products containing proper FeSi-rich and FeB-rich phases are beneficial to improve the magnetic property. Annealing near the exothermic peak temperature presents the best enhancing effect on the semi-hard magnetic property of FeSiBAlNiCo. It performs both large saturated magnetization and remanence ratio of 13.0 emu/g and near 45%, which exhibit 465% and 105% enhancement compared with as-milled state, respectively. - Highlights: • Co, Cu, Ag additions affect crystallization behavior of FeSiBAlNi amorphous HEAs. • Crystallization products in FeSiBAlNi Co/Ag reveal high phase stability. • Proper FeSi-rich and FeB-rich phases are beneficial to improve magnetic property. • Annealing treatment improves semi-hard magnetic property compared to as-milled state. • Annealing near exothermic peak temperature shows best enhancing effect on magnetism.

  6. The changes of ADI structure during high temperature annealing

    OpenAIRE

    A. Krzyńska; M. Kaczorowski

    2010-01-01

    The results of structure investigations of ADI during it was annealing at elevated temperature are presented. Ductile iron austempered at temperature 325oC was then isothermally annealed 360 minutes at temperature 400, 450, 500 and 550oC. The structure investigations showed that annealing at these temperatures caused substantial structure changes and thus essential hardness decrease, which is most useful property of ADI from point of view its practical application. Degradation advance of the ...

  7. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  8. THE EFFECT OF PRESSURE, BIAS VOLTAGE AND ANNEALING TEMPERATURE ON N₂ AND N₂+SiH₄ DOPED WC/C DC MAGNETRON SPUTTERED LAYERS

    Directory of Open Access Journals (Sweden)

    Peter Hornak

    2017-12-01

    Full Text Available Tungsten carbide (WC/C layers are often researched due to their outstanding mechanical and tribological properties. Here, optimized indented hardness (HIT, indentation modulus (EIT and coefficient of friction (COF values were measured to study the effect of pressure and bias voltage on WC/C layers, deposited on Si by DC magnetron spluttering. Maximal values of HIT=37.2±4.8 GPa, EIT=447±28 GPa and COF=0.64±0.09 were obtained. Additionally, the effect of temperature on optimized layers deposited with and without N₂ and N₂+SiH₄ annealed at 200 °C, 500 °C and 800 °C, were also investigated. The values of HIT, EIT and COF and, observed morphology and structural composition of these contaminated and non-contaminated WC/C layers were evaluated. It was found that layer degradation occurred at different rates depending on the temperature and gas mixture used during the annealing and deposition process, respectively.

  9. Selective Oxidation of a 0.1C-6Mn-2Si Third Generation Advanced High-Strength Steel During Dew-Point Controlled Annealing

    Science.gov (United States)

    Pourmajidian, Maedeh; McDermid, Joseph R.

    2018-03-01

    The present study investigates the selective oxidation of a 0.1C-6Mn-2Si medium-Mn advanced high-strength steel during austenization annealing heat treatments as a function of process atmosphere oxygen partial pressure and annealing time. It was determined that the surface oxide growth kinetics followed a parabolic rate law with the minimum rate belonging to the lowest oxygen partial pressure atmosphere at a dew point of 223 K (- 50 °C). The chemistry of the surface and subsurface oxides was studied using STEM + EELS on the sample cross sections, and it was found that the surface oxides formed under the 223 K (- 50 °C) dew-point atmosphere consisted of a layered configuration of SiO2, MnSiO3, and MnO, while in the case of the higher pO2 process atmospheres, only MnO was detected at the surface. Consistent with the Wagner calculations, it was shown that the transition to internal oxidation for Mn occurred under the 243 K (- 30 °C) and 278 K (+ 5 °C) dew-point atmospheres. However, the predictions of the external to internal oxidation for Si using the Wagner model did not correlate well with the experimental findings nor did the predictions of the Mataigne et al. model for multi-element alloys. Investigations of the internal oxide network at the grain boundaries revealed a multilayer oxide structure composed of amorphous SiO2 and crystalline MnSiO3, respectively, at the oxide core and outer shell. A mechanism for the formation of the oxide morphologies observed, based on kinetic and thermodynamic factors, was proposed. It is expected that only the fine and nodule-like MnO oxides formed on the surface of the samples annealed under the 278 K (+ 5 °C) dew-point process atmosphere for 60 and 120 seconds are sufficiently thin and of the desired dispersed morphology to promote reactive wetting by the molten galvanizing bath.

  10. Three-dimensional imaging for precise structural control of Si quantum dot networks for all-Si solar cells.

    Science.gov (United States)

    Kourkoutis, Lena F; Hao, Xiaojing; Huang, Shujuan; Puthen-Veettil, Binesh; Conibeer, Gavin; Green, Martin A; Perez-Wurfl, Ivan

    2013-08-21

    All-Si tandem solar cells based on Si quantum dots (QDs) are a promising approach to future high-performance, thin film solar cells using abundant, stable and non-toxic materials. An important prerequisite to achieve a high conversion efficiency in such cells is the ability to control the geometry of the Si QD network. This includes the ability to control both, the size and arrangement of Si QDs embedded in a higher bandgap matrix. Using plasmon tomography we show the size, shape and density of Si QDs, that form in Si rich oxide (SRO)/SiO2 multilayers upon annealing, can be controlled by varying the SRO stoichiometry. Smaller, more spherical QDs of higher densities are obtained at lower Si concentrations. In richer SRO layers ellipsoidal QDs tend to form. Using electronic structure calculations within the effective mass approximation we show that ellipsoidal QDs give rise to reduced inter-QD coupling in the layer. Efficient carrier transport via mini-bands is in this case more likely across the multilayers provided the SiO2 spacer layer is thin enough to allow coupling in the vertical direction.

  11. Effect of Annealing Temperature on the Water Contact Angle of PVD Hard Coatings.

    Science.gov (United States)

    Yang, Yu-Sen; Cho, Ting-Pin

    2013-08-07

    Various PVD (physical vapor deposition) hard coatings including nitrides and metal-doped diamond-like carbons (Me-DLC) were applied in plastic injection and die-casting molds to improve wear resistance and reduce sticking. In this study, nitrides hcp-AlN (hexagonal close-packed AlN), Cr₂N, (CrAl)₂N) and Me-DLC (Si-DLC and Cr-DLC) coatings were prepared using a closed field unbalanced magnetron reactive sputtering system. The coatings were annealed in air for 2 h at various temperatures, after which the anti-sticking properties were assessed using water contact angle (WCA) measurements. The as-deposited hcp-AlN, Cr₂N and (CrAl)₂N coatings exhibit hydrophobic behavior and exhibit respective WCAs of 119°, 106° and 101°. The as-deposited Si-DLC and Cr-DLC coatings exhibit hydrophilic behavior and exhibit respective WCAs of 74° and 88°. The annealed Cr₂N and (CrAl)₂N coatings exhibit hydrophobic behavior with higher WCAs, while the annealed hcp-AlN, Si-DLC and Cr-DLC coatings are hydrophilic. The increased WCA of the annealed Cr₂N and (CrAl)₂N coatings is related to their crystal structure and increased roughness. The decreased WCA of the annealed hcp-AlN, Si-DLC and Cr-DLC coatings is related to their crystal structures and has little correlation with roughness.

  12. Structural, optical and electrical properties of silicon nanocrystals embedded in SixC1−x/SiC multilayer systems for photovoltaic applications

    International Nuclear Information System (INIS)

    López-Vidrier, J.; Hernández, S.; Samà, J.; Canino, M.; Allegrezza, M.; Bellettato, M.; Shukla, R.; Schnabel, M.; Löper, P.; López-Conesa, L.; Estradé, S.; Peiró, F.; Janz, S.; Garrido, B.

    2013-01-01

    Highlights: ► We study the structural, optical and electrical properties of Si x C 1−x /SiC multilayers with different Si excess. ► Multilayer structure is destroyed after annealing at 1100 °C. ► Energy filtered TEM confirmed the Si NC formation. ► Sample thickness values from optical simulations are in agreement with TEM observations. ► The crystallization degree of the NCs was evaluated by Raman scattering and R and T techniques. ► The system conductivity depends on the NC size. ► The presence of a defective oxycarbide layer on top did not allow for obtaining useful electrical information. -- Abstract: In this work we present a structural, optical and electrical characterization of Si x C 1−x /SiC multilayer systems with different silicon content. After the deposition process, an annealing treatment was carried out in order to induce the silicon nanocrystals formation. By means of energy-filtered transmission electron microscopy (EFTEM) we observed the structural morphology of the multilayers and the presence of crystallized silicon nanoprecipitates for samples annealed up to 1100 °C. We discuss the suitability of optical techniques such as Raman scattering and reflectance and transmittance (R and T) for the evaluation of the crystalline fraction of our samples at different silicon excess ranges. In addition, the combination of R and T measurements with simulation has proved to be a useful instrument to confirm the structural properties observed by EFTEM. Finally, we explore the origin of the extremely high current density revealed by electrical measurements, probably due to the presence of an undesired defective SiC y O z ternary compound layer, already supported by the structural and optical results. Nevertheless, the variation of the electrical measurements with the silicon amount indicates a small but significant contribution from the multilayers

  13. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  14. Searching for stable Si(n)C(n) clusters: combination of stochastic potential surface search and pseudopotential plane-wave Car-Parinello simulated annealing simulations.

    Science.gov (United States)

    Duan, Xiaofeng F; Burggraf, Larry W; Huang, Lingyu

    2013-07-22

    To find low energy Si(n)C(n) structures out of hundreds to thousands of isomers we have developed a general method to search for stable isomeric structures that combines Stochastic Potential Surface Search and Pseudopotential Plane-Wave Density Functional Theory Car-Parinello Molecular Dynamics simulated annealing (PSPW-CPMD-SA). We enhanced the Sunders stochastic search method to generate random cluster structures used as seed structures for PSPW-CPMD-SA simulations. This method ensures that each SA simulation samples a different potential surface region to find the regional minimum structure. By iterations of this automated, parallel process on a high performance computer we located hundreds to more than a thousand stable isomers for each Si(n)C(n) cluster. Among these, five to 10 of the lowest energy isomers were further optimized using B3LYP/cc-pVTZ method. We applied this method to Si(n)C(n) (n = 4-12) clusters and found the lowest energy structures, most not previously reported. By analyzing the bonding patterns of low energy structures of each Si(n)C(n) cluster, we observed that carbon segregations tend to form condensed conjugated rings while Si connects to unsaturated bonds at the periphery of the carbon segregation as single atoms or clusters when n is small and when n is large a silicon network spans over the carbon segregation region.

  15. Ion implantation damage annealing in 4H-SiC monitored by scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Suchodolskis, A.; Hallen, A.; Linnarsson, M.K.; Osterman, J.; Karlsson, U.O.

    2006-01-01

    To obtain a better understanding of the damage annealing process and dopant defect incorporation and activation we have implanted epitaxially grown 4H-SiC layers with high doses of Al + ions. Cross-sections of the samples are investigated by scanning spreading resistance microscopy (SSRM) using a commercial atomic force microscopy (AFM). The defects caused by the implanted ions compensate for the doping and decrease the charge carrier mobility. This causes the resistivity to increase in the as-implanted regions. The calculated profile of implanted ions is in good agreement with the measured ones and shows a skewed Gaussian shape. Implanted samples are annealed up to 400 deg. C. Despite these low annealing temperatures we observe a clear improvement of the sample conductivity in the as-implanted region

  16. Enhancing electron transport in Si:P delta-doped devices by rapid thermal anneal

    International Nuclear Information System (INIS)

    Goh, K. E. J.; Augarten, Y.; Oberbeck, L.; Simmons, M. Y.

    2008-01-01

    We address the use of rapid thermal anneal (RTA) to enhance electron mobility and phase coherent transport in Si:P δ-doped devices encapsulated by low temperature Si molecular beam epitaxy while minimizing dopant diffusion. RTA temperatures of 500-700 deg. C were applied to δ-doped layers encapsulated at 250 deg. C. From 4.2 K magnetotransport measurements, we find that the improved crystal quality after RTA increases the mobility/mean free path by ∼40% and the phase coherence length by ∼25%. Our results suggest that the initial capping layer has near optimal crystal quality and transport improvement achieved by a RTA is limited

  17. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  18. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang, E-mail: zanghang@xjtu.edu.cn [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Liu, Wenbo [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J. [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Li, Tao; He, Chaohui; Yun, Di [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Zhiguang [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-15

    Highlights: • He and Kr cavities are formed in ion-implanted and 1600 °C annealed 3C-SiC. • A higher vacancy concentration leads to formation of cavities with a smaller size and higher density. • Presence of He in irradiated 3C-SiC can significantly promote cavity growth. • Small voids are formed in Kr ion penetrated 3C-SiC during thermal annealing at 1600 °C. • Local Kr migration and trapping at cavities in SiC are observed, but long-range Kr diffusion does not occur at 1600 °C. - Abstract: Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750 °C with 120 keV He{sup 2+} and 4 MeV Kr{sup 15+} ions to 10{sup 17} and 4 × 10{sup 16} cm{sup −2}, respectively. The Kr{sup 15+} ions penetrated the entire depth region of the He{sup 2+} ion implantation. Three areas of He{sup 2+}, Kr{sup 15+} and He{sup 2+} + Kr{sup 15+} ion implanted SiC were created through masked overlapping irradiation. The sample was subsequently annealed at 1600 °C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive X-ray spectroscopy. Compared to the He{sup 2+} ion only implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promotes cavity growth; much smaller voids are formed in the Kr{sup 15+} ion only irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occurs, but long-range Kr diffusion in SiC is not observed up to 1600 °C.

  19. Microstructure and magnetic properties of FePt:Ag nanocomposite films on SiO2/Si(1 0 0)

    International Nuclear Information System (INIS)

    Wang Hao; Yang, F.J.; Wang, H.B.; Cao, X.; Xue, S.X.; Wang, J.A.; Gao, Y.; Huang, Z.B.; Yang, C.P.; Chiah, M.F.; Cheung, W.Y.; Wong, S.P.; Li, Q.; Li, Z.Y.

    2006-01-01

    FePt:Ag nanocomposite films were prepared by pulsed filtered vacuum arc deposition system and subsequent rapid thermal annealing on SiO 2 /Si(1 0 0) substrates. The microstructure and magnetic properties were investigated. A strong dependence of coercivity and ordering of the face-central tetragonal structure on both Ag concentration and annealing temperature was observed. With Ag concentration of 22% in atomic ratio, the coercivity got to 6.0 kOe with a grain size of 6.7 nm when annealing temperature was 400 deg. C

  20. Ni3Si(Al)/a-SiOx core shell nanoparticles: characterization, shell formation, and stability

    Science.gov (United States)

    Pigozzi, G.; Mukherji, D.; Gilles, R.; Barbier, B.; Kostorz, G.

    2006-08-01

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni3Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni3Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiOx). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  1. Formation of the distributed NiSiGe nanocrystals nonvolatile memory formed by rapidly annealing in N2 and O2 ambient

    International Nuclear Information System (INIS)

    Hu, Chih-Wei; Chang, Ting-Chang; Tu, Chun-Hao; Chiang, Cheng-Neng; Lin, Chao-Cheng; Chen, Min-Chen; Chang, Chun-Yen; Sze, Simon M.; Tseng, Tseung-Yuen

    2010-01-01

    In this work, electrical characteristics of the Ge-incorporated Nickel silicide (NiSiGe) nanocrystals memory device formed by the rapidly thermal annealing in N 2 and O 2 ambient have been studied. The trapping layer was deposited by co-sputtering the NiSi 2 and Ge, simultaneously. Transmission electron microscope results indicate that the NiSiGe nanocrystals were formed obviously in both the samples. The memory devices show obvious charge-storage ability under capacitance-voltage measurement. However, it is found that the NiSiGe nanocrystals device formed by annealing in N 2 ambient has smaller memory window and better retention characteristics than in O 2 ambient. Then, related material analyses were used to confirm that the oxidized Ge elements affect the charge-storage sites and the electrical performance of the NCs memory.

  2. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  3. Annealing Induced Softening in Deformed AI-4043 Alloy

    International Nuclear Information System (INIS)

    Saad, G.; Fawzy, A.; Soliman, H.N.; Mohammed, Gh.; Fayek, S.A.

    2011-01-01

    The present paper is devoted to study the effect of annealing temperature for different annealing periods of time on the structure and consequently on the tensile properties of Al-4043 alloy. The obtained results showed that the microstructure of AI-4043 alloy is characterized by the presence of spherically shaped Si-particles, which were found to be uniformly distributed within the AI-matrix. Stress-strain characterizations of AI-4043 samples annealed at different temperatures (T a = 573, 673 and 773 K) for different periods of time (t a = 0.5, 1,2.5,5 and 10 h), showed that the tensile parameters; yield stress ε y 0.2 and fracture stress ε f were decreased with increasing Ta and/or ta while the total strain ε T was increased. This was interpreted in terms of growth of Si-particles with increasing T a and/or t a . Attention has been also paid to the role of the minor elements Fe, Cu and Ti on the structure and tensile response behavior of the alloy under investigation

  4. Effect of Elevated Temperature Annealing on Nafion/SiO2 Composite Membranes for the All-Vanadium Redox Flow Battery

    Directory of Open Access Journals (Sweden)

    Sixiu Zeng

    2018-04-01

    Full Text Available Conducting Nafion/SiO2 composite membranes were successfully prepared using a simple electrostatic self-assembly method, followed by annealing at elevated temperatures of 240, 270, and 300 °C. Membrane performance was then investigated in vanadium redox flow batteries (VRB. These annealed composite membranes demonstrated lower vanadium permeability and a better selectivity coefficient than pure Nafion membranes. The annealing temperature of 270 °C created the highest proton conductivity in the Nafion/SiO2 composite membranes. The microstructures of these membranes were analyzed using transmission electron microscopy, small-angle X-ray scattering, and positron annihilation lifetime spectroscopy. This study revealed that exposure to high temperatures resulted in an increase in the free volumes of the composite membranes, resulting in improved mechanical and chemical behavior, with the single cell system containing composite membranes performing better than systems containing pure Nafion membranes.

  5. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  6. Boron-enhanced diffusion in excimer laser annealed Si

    International Nuclear Information System (INIS)

    Monakhov, E.V.; Svensson, B.G.; Linnarsson, M.K.; La Magna, A.; Privitera, V.; Fortunato, G.; Mariucci, L.

    2004-01-01

    The effect of excimer laser annealing (ELA) and rapid thermal annealing (RTA) on B redistribution in B-implanted Si has been studied by secondary ion mass spectrometry (SIMS) and spreading resistance probe (SRP). B has been implanted with an energy of 1 keV and a dose of 10 16 cm -2 forming a distribution with a width of 20-30 nm and a peak concentration of ∼5 x 10 21 cm -3 . It has been found that ELA with 10 pulses of the energy density of 850 mJ/cm 2 results in a uniform B distribution over the ELA-molten region with an abrupt profile edge. SRP measurements demonstrate good activation of the implanted B after ELA, with the concentration of the activated fraction (∼10 21 cm -3 ) exceeding the solid solubility level. RTA (30 s at 1100 deg. C) of the as-implanted and ELA-treated samples leads to a diffusion of B with diffusivities exceeding the equilibrium one and the enhancement is similar for both of the samples. It is also found that RTA decreases the activated B in the ELA-treated sample to the solid solubility limit (2 x 10 20 cm -3 ). The similarity of the B diffusivity for the as-implanted and ELA-treated samples suggests that the enhancement of the B diffusivity is due to the so-called boron-enhanced diffusion (BED). Possible mechanisms of BED are discussed

  7. Low temperature route synthesis of SiC–Al2O3 hetero-structural nanofibers

    International Nuclear Information System (INIS)

    Dai, Xiao; Wang, Hao; Cao, Fengfeng; Yi, Qinghua; Cong, Shan; Wang, Yun; Song, Pingyuan; Zhai, Pengfei; Zou, Guifu; Dong, Chao

    2014-01-01

    SiC–Al 2 O 3 hetero-structural nanofibers have been synthesized by the chemical solution approach at 200 ° C. The diameters of nanofibers are in the range of 60–100 nm while the lengths are from tens of micrometers to hundreds of micrometers. The microstructural analysis shows that the fibers possess a like-epitaxial relationship between (104) of hexagonal Al 2 O 3 and (111) of cubic SiC. Additionally, the optical investigation of the nanofibers suggests there are some defects in the low annealing temperature synthesized SiC–Al 2 O 3 nanofibers. (paper)

  8. Effect of Annealing Temperature on the Water Contact Angle of PVD Hard Coatings

    Science.gov (United States)

    Yang, Yu-Sen; Cho, Ting-Pin

    2013-01-01

    Various PVD (physical vapor deposition) hard coatings including nitrides and metal-doped diamond-like carbons (Me-DLC) were applied in plastic injection and die-casting molds to improve wear resistance and reduce sticking. In this study, nitrides hcp-AlN (hexagonal close-packed AlN), Cr2N, (CrAl)2N) and Me-DLC (Si-DLC and Cr-DLC) coatings were prepared using a closed field unbalanced magnetron reactive sputtering system. The coatings were annealed in air for 2 h at various temperatures, after which the anti-sticking properties were assessed using water contact angle (WCA) measurements. The as-deposited hcp-AlN, Cr2N and (CrAl)2N coatings exhibit hydrophobic behavior and exhibit respective WCAs of 119°, 106° and 101°. The as-deposited Si-DLC and Cr-DLC coatings exhibit hydrophilic behavior and exhibit respective WCAs of 74° and 88°. The annealed Cr2N and (CrAl)2N coatings exhibit hydrophobic behavior with higher WCAs, while the annealed hcp-AlN, Si-DLC and Cr-DLC coatings are hydrophilic. The increased WCA of the annealed Cr2N and (CrAl)2N coatings is related to their crystal structure and increased roughness. The decreased WCA of the annealed hcp-AlN, Si-DLC and Cr-DLC coatings is related to their crystal structures and has little correlation with roughness. PMID:28811440

  9. Positron annihilation at the Si/SiO2 interface

    International Nuclear Information System (INIS)

    Leung, T.C.; Weinberg, Z.A.; Asoka-Kumar, P.; Nielsen, B.; Rubloff, G.W.; Lynn, K.G.

    1992-01-01

    Variable-energy positron annihilation depth-profiling has been applied to the study of the Si/SiO 2 interface in Al-gate metal-oxide-semiconductor (MOS) structures. For both n- and p-type silicon under conditions of negative gate bias, the positron annihilation S-factor characteristic of the interface (S int ) is substantially modified. Temperature and annealing behavior, combined with known MOS physics, suggest strongly that S int depends directly on holes at interface states or traps at the Si/SiO 2 interface

  10. Analysis of electronic structure of amorphous InGaZnO/SiO2 interface by angle-resolved X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ueoka, Y.; Ishikawa, Y.; Maejima, N.; Matsui, F.; Matsui, H.; Yamazaki, H.; Urakawa, S.; Horita, M.; Daimon, H.; Uraoka, Y.

    2013-01-01

    The electronic structures of amorphous indium gallium zinc oxide (a-IGZO) on a SiO 2 layers before and after annealing were observed by constant final state X-ray photoelectron spectroscopy (CFS-XPS) and X-ray adsorption near-edge structure spectroscopy (XANES). From the results of angle-resolved CFS-XPS, the change in the electronic state was clearly observed in the a-IGZO bulk rather than in the a-IGZO/SiO 2 interface. This suggests that the electronic structures of the a-IGZO bulk strongly affected the thin-film transistor characteristics. The results of XANES indicated an increase in the number of tail states upon atmospheric annealing (AT). We consider that the increase in the number of tail states decreased the channel mobility of AT samples

  11. Ni(3)Si(Al)/a-SiO(x) core-shell nanoparticles: characterization, shell formation, and stability.

    Science.gov (United States)

    Pigozzi, G; Mukherji, D; Gilles, R; Barbier, B; Kostorz, G

    2006-08-28

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni(3)Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni(3)Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiO(x)). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  12. Ground state structures and properties of Si3Hn (n= 1–6) clusters

    Indian Academy of Sciences (India)

    The ground state structures and properties of Si3H (1 ≤ ≤ 6) clusters have been calculated using Car–Parrinello molecular dynamics with simulated annealing and steepest descent optimization methods. We have studied cohesive energy per particle and first excited electronic level gap of the clusters as a function of ...

  13. Effect of high temperature annealing on the thermoelectric properties of GaP doped SiGe

    Science.gov (United States)

    Vandersande, Jan W.; Wood, Charles; Draper, Susan

    1987-01-01

    Silicon-germanium alloys doped with GaP are used for thermoelectric energy conversion in the temperature range 300-1000 C. The conversion efficiency depends on Z = S-squared/rho lambda, a material's parameter (the figure of merit), where S is the Seebeck coefficient, rho is the electrical resistivity and lambda is the thermal conductivity. The annealing of several samples in the temperature range of 1100-1300 C resulted in the power factor P (= S-squared/rho) increasing with increased annealing temperature. This increase in P was due to a decrease in rho which was not completely offset by a drop in S-squared suggesting that other changes besides that in the carrier concentration took place. SEM and EDX analysis of the samples indicated the formation of a Ga-P-Ge rich phase as a result of the annealing. It is speculated that this phase is associated with the improved properties. Several reasons which could account for the improvement in the power factor of annealed GaP doped SiGe are given.

  14. Fabrication of Si surface pattern by Ar beam irradiation and annealing method

    International Nuclear Information System (INIS)

    Zhang, J.; Momota, S.; Maeda, K.; Terauchi, H.; Furuta, M.; Kawaharamura, T.; Nitta, N.; Wang, D.

    2012-01-01

    The fabrication process of crater structures on Si crystal has been studied by an irradiation of Ar beam and a thermal annealing at 600 °C. The fabricated surface was measured by field emission scanning electron microscope and atomic force microscope. The results have shown the controllability of specifications of crater formation such as density, diameter and depth by changing two irradiation parameters, fluence and energy of Ar ions. By changing the fluence over a range of 1 ∼ 10 × 10 16 /cm 2 , we could control a density of crater 0 ∼ 39 counts/100μm 2 . By changing the energy over a range of 90 ∼ 270 keV, we could control a diameter and a depth of crater in 0.8 ∼ 4.1μm and 99 ∼ 229nm, respectively. The present result is consistent with the previously proposed model that the crater structure would be arising from an exfoliated surface layer of silicon. The present result has indicated the possibility of the crater production phenomena as a hopeful method to fabricate the surface pattern on a micro-nano meter scale.

  15. Structural relaxation dynamics and annealing effects of sodium silicate glass.

    Science.gov (United States)

    Naji, Mohamed; Piazza, Francesco; Guimbretière, Guillaume; Canizarès, Aurélien; Vaills, Yann

    2013-05-09

    Here we report high-precision measurements of structural relaxation dynamics in the glass transition range at the intermediate and short length scale for a strong sodium silicate glass during long annealing times. We evidence for the first time the heterogeneous dynamics at the intermediate range order by probing the acoustic longitudinal frequency in the GHz region by Brillouin light scattering spectroscopy. Or, from in-situ Raman measurements, we show that relaxation is indeed homogeneous at the interatomic length scale. Our results show that the dynamics at the intermediate range order contains two distinct relaxation time scales, a fast and a slow component, differing by about a 10-fold factor below Tg and approaching to one another past the glass transition. The slow relaxation time agrees with the shear relaxation time, proving that Si-O bond breaking constitutes the primary control of structural relaxation at the intermediate range order.

  16. The Role of Annealing Temperature on Structural and Magnetic Properties of NdFeB Thin Films

    Directory of Open Access Journals (Sweden)

    A. Khanjani

    2016-06-01

    Full Text Available In the present research NdFeB thin films coupled with buffer and capping layer of W were formed on Si/SiO2 substrate by means of RF magnetron sputtering. The system was annealed at vaccum at different temperatures of 450, 500, 550,. 600 and 650 °C Phase analysis was carried out by XRD and it was found that NdFeB was formed without the formation of any kind of secondary phase. The cross sectional and grain size of the thin films were measured by scanning electron microscopy. Morphological studies were performed by atomic force microscopy. Magnetic properties of thin films including coercivity, saturation of magnetization and hysteresis area were evcaluated by vibrating sample magnetometer. It was found that by annealing at 400 °C the amorphous layer was formed.The highest intensity of peaks was formed at 550 °C and with an increase in temperature the intensity was declined. The grain size was increased by temperature and had an impact on the coercivity. With an increase of temperature up to 600 °C, perpendicular coercivity was increased and then by further increase of temperatute, coercivity was reduced. Based on the obtained data the temperature of 600 °C was selected as the optimum annealing temperature for reaching enhanced structural and magnetic feature.

  17. Formation of silicon nanocrystals in multilayer nanoperiodic a-SiO{sub x}/insulator structures from the results of synchrotron investigations

    Energy Technology Data Exchange (ETDEWEB)

    Turishchev, S. Yu., E-mail: tsu@phys.vsu.ru; Terekhov, V. A.; Koyuda, D. A. [Voronezh State University (Russian Federation); Ershov, A. V.; Mashin, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Parinova, E. V.; Nesterov, D. N. [Voronezh State University (Russian Federation); Grachev, D. A.; Karabanova, I. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Domashevskaya, E. P. [Voronezh State University (Russian Federation)

    2017-03-15

    The problem of the efficiency of the controllable formation of arrays of silicon nanoparticles is studied on the basis of detailed investigations of the electronic structure of multilayer nanoperiodic a-SiO{sub x}/SiO{sub 2}, a-SiO{sub x}/Al{sub 2}O{sub 3}, and a-SiO{sub x}/ZrO{sub 2} compounds. Using synchrotron radiation and the X-ray absorption near edge structure (XANES) spectroscopy technique, a modification is revealed for the investigated structures under the effect of high-temperature annealing at the highest temperature of 1100°C; this modification is attributed to the formation of silicon nanocrystals in the layers of photoluminescent multilayer structures.

  18. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  19. Effect of Thermal Budget on the Electrical Characterization of Atomic Layer Deposited HfSiO/TiN Gate Stack MOSCAP Structure.

    Directory of Open Access Journals (Sweden)

    Z N Khan

    Full Text Available Metal Oxide Semiconductor (MOS capacitors (MOSCAP have been instrumental in making CMOS nano-electronics realized for back-to-back technology nodes. High-k gate stacks including the desirable metal gate processing and its integration into CMOS technology remain an active research area projecting the solution to address the requirements of technology roadmaps. Screening, selection and deposition of high-k gate dielectrics, post-deposition thermal processing, choice of metal gate structure and its post-metal deposition annealing are important parameters to optimize the process and possibly address the energy efficiency of CMOS electronics at nano scales. Atomic layer deposition technique is used throughout this work because of its known deposition kinetics resulting in excellent electrical properties and conformal structure of the device. The dynamics of annealing greatly influence the electrical properties of the gate stack and consequently the reliability of the process as well as manufacturable device. Again, the choice of the annealing technique (migration of thermal flux into the layer, time-temperature cycle and sequence are key parameters influencing the device's output characteristics. This work presents a careful selection of annealing process parameters to provide sufficient thermal budget to Si MOSCAP with atomic layer deposited HfSiO high-k gate dielectric and TiN gate metal. The post-process annealing temperatures in the range of 600°C -1000°C with rapid dwell time provide a better trade-off between the desirable performance of Capacitance-Voltage hysteresis and the leakage current. The defect dynamics is thought to be responsible for the evolution of electrical characteristics in this Si MOSCAP structure specifically designed to tune the trade-off at low frequency for device application.

  20. Recovery behavior of high purity cubic SiC polycrystals by post-irradiation annealing up to 1673 K after low temperature neutron irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Idris, Mohd Idzat, E-mail: idzat.i.aa@m.titech.ac.jp [Department of Nuclear Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1, Ookayama, Meguro-ku, Tokyo 152-8550 Japan (Japan); The National University of Malaysia, School of Applied Physics, Faculty of Science and Technology, 43600 Bangi Selangor (Malaysia); Yamazaki, Saishun; Yoshida, Katsumi; Yano, Toyohiko [Research Laboratory for Nuclear Reactors, Tokyo Institute of Technology, 2-12-1, Ookayama, Meguro-ku, Tokyo 152-8550 Japan (Japan)

    2015-10-15

    Two kinds of high purity cubic (β) SiC polycrystals, PureBeta-SiC and CVD-SiC, were irradiated in the BR2 reactor (Belgium) up to a fluence of 2.0–2.5 × 10{sup 24} (E > 0.1 MeV) at 333–363 K. Changes in macroscopic lengths were examined by post-irradiation thermal annealing using a precision dilatometer up to 1673 K with a step-heating method. The specimen was held at each temperature step for 6 h and the change in length of the specimen was recorded during each isothermal annealing step from 373 K to 1673 K with 50 K increments. The recovery curves were analyzed with the first order model, and rate constants at each annealing step were obtained. Recovery of defects, induced by neutron irradiation in high purity β-SiC, has four stages of different activation energies. At 373–573 K, the activation energy of PureBeta-SiC and CVD-SiC was in the range of 0.17–0.24 eV and 0.12–0.14 eV; 0.002–0.04 eV and 0.006–0.04 eV at 723–923 K; 0.20–0.27 eV and 0.26–0.31 eV at 923–1223 K; and 1.37–1.38 eV and 1.26–1.29 eV at 1323–1523 K, respectively. Below ∼1223 K the recombination occurred possibly for closely positioned C and Si Frenkel pairs, and no long range migration is deemed essential. Nearly three-fourths of recovery, induced by neutron irradiation, occur by this mechanism. In addition, at 1323–1523 K, recombination of slightly separated C Frenkel pairs and more long-range migration of Si interstitials may have occurred for PureBeta-SiC and CVD-SiC specimens. Migration of both vacancies may be restricted up to ∼1523 K. Comparing to hexagonal α-SiC, high purity β-SiC recovered more quickly in the lower annealing temperature range of less than 873 K, in particular less than 573 K. - Highlights: • Two kinds of high purity cubic (β) SiC polycrystals were irradiated. • Macroscopic lengths were examined by post-irradiation thermal annealing. • The recovery curves were analyzed with first order model.

  1. Effect of annealing on parameters of synthetic opal

    International Nuclear Information System (INIS)

    Gajiev, G M; Kurdyukov, D A; Travnikov, V V

    2006-01-01

    We report on the effect of high temperature annealing on the reflection spectra of synthetic opals. The analysis of conditions for simultaneous diffraction on the (111) planes parallel and inclined to the sample surface has shown that both annealed and unannealed opals are compressed along the growth [111] axis and the shape of the SiO 2 balls forming the opals' close packed structure can be described as spheroidal. The structure parameters were evaluated from the analysis of the angular dependences of the peak positions in the Bragg reflection spectra of unfilled and glycerol-filled samples. The major effect of annealing is due to the sintering (interpenetration) of the structural elements of opals. The maximum temperature of 1050 deg. C leads to a 10-fold increase in the degree of spheroid sintering. As a result, the interspheroid spacing decreases by over 10%, while the filling factor increases from 0.75 to 0.96 together with the effective dielectric constant of the opal as a whole (from 1.74 to 2.08). Sintering takes place not only between spheroids, but also inside spheroids between the α-SiO 2 nanoparticles constituting them. This results in a noticeable (by ∼7%) increase in the dielectric constant of opal spheroids

  2. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  3. Effects of thermal annealing temperature and duration on hydrothermally grown ZnO nanorod arrays

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Shin, C.M.; Heo, J.H.; Leem, J.Y. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of)], E-mail: hhryu@inje.ac.kr; Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Shin, B.C.; Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1, Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-03-15

    In this study, the effects of thermal annealing temperature and duration on ZnO nanorod arrays fabricated by hydrothermal method were investigated. The annealed ZnO/Si(1 1 1) substrate was used for ZnO nanorod array growth. The effects of annealing treatment on the structural and optical properties were investigated by scanning electron microscopy, X-ray diffraction, and room-temperature photoluminescence measurements. With the annealing temperature of 750 {sup o}C and the annealing duration of 10 min, both the structural and optical properties of the ZnO nanorod arrays improved significantly, as indicated in the X-ray diffraction and photoluminescence measurement.

  4. FINEMET type alloy without Si: Structural and magnetic properties

    International Nuclear Information System (INIS)

    Muraca, D.; Cremaschi, V.; Moya, J.; Sirkin, H.

    2008-01-01

    Magnetic and structural properties of a Finemet type alloy (Fe 73.5 Ge 15.5 Nb 3 B 7 Cu 1 ) without Si and high Ge content were studied. Amorphous material was obtained by the melt spinning technique and was heat treated at different temperatures for 1 h under high vacuum to induce the nanocrystallization of the sample. The softest magnetic properties were obtained between 673 and 873 K. The role of Ge on the ferromagnetic paramagnetic transition of the as-quenched alloys and its influence on the crystallization process were studied using a calorimetric technique. Moessbauer spectroscopy was employed in the nanocrystallized alloy annealed at 823 K to obtain the composition of the nanocrystals and the amorphous phase fraction. Using this data and magnetic measurements of the as-quenched alloy, the magnetic contribution of nanocrystals to the alloy annealed at 823 K was estimated via a linear model

  5. Transformation of photoluminescence and Raman scattering spectra of Si-rich Al{sub 2}O{sub 3} films at thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Vergara Hernandez, E. [UPIITA-Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM-Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Jedrzejewski, J.; Balberg, I. [Racah Institute of Physics, Hebrew University, 91904 Jerusalem (Israel)

    2014-11-15

    The effect of thermal annealing on optical properties of Al{sub 2}O{sub 3} films with the different Si contents was investigated using the photoluminescence and Raman scattering methods. Si-rich Al{sub 2}O{sub 3} films were prepared by RF magnetron co-sputtering of Si and Al{sub 2}O{sub 3} targets on long quartz glass substrates. Photoluminescence (PL) spectra of as grown Si-rich Al{sub 2}O{sub 3} films are characterized by four PL bands with the peak positions at 2.90, 2.70, 2.30 and 1.45 eV. The small intensity Raman peaks related to the scattering in the amorphous Si phase has been detected in as grown films as well. Thermal annealing at 1150 °C for 90 min stimulates the formation of Si nanocrystals (NCs) in the film area with the Si content exceeded 50%. The Raman peak related to the scattering on optic phonons in Si NCs has been detected for this area. After thermal annealing the PL intensity of all mentioned PL bands decreases in the film area with smaller Si content (≤50%) and increases in the film area with higher Si content (≥50%). Simultaneously the new PL band with the peak position at 1.65 eV appears in the film area with higher Si content (≥50%). The new PL band (1.65 eV) is attributed to the exciton recombination inside of small size Si NCs (2.5–2.7 nm). In bigger size Si NCs (3.5–5.0 nm) the PL band at 1.65 eV has been not detected due to the impact, apparently, of elastic strain appeared at the Si/Al{sub 2}O{sub 3} interface. Temperature dependences of PL spectra for the Si-rich Al{sub 2}O{sub 3} films have been studied in the range of 10–300 K with the aim to reveal the mechanism of recombination transitions for the mentioned above PL bands 2.90, 2.70, 2.30 and 1.45 eV in as grown films. The thermal activation of PL intensity and permanent PL peak positions in the temperature range 10–300 K permit to assign these PL bands to defect related emission in Al{sub 2}O{sub 3} matrix.

  6. Self-Passivation by Fluorine Plasma Treatment and Low-Temperature Annealing in SiGe Nano wires for Biochemical Sensors

    International Nuclear Information System (INIS)

    Chang, K.; Chen, C.; Kuo, P.; Chen, Y.; Chang, T.; Lai, C.; Whang, A. J.; Lai, Y.; Chen, H.; Hsieh, I.

    2014-01-01

    Nano wires are widely used as highly sensitive sensors for electrical detection of biological and chemical species. Modifying the band structure of strained-Si metal-oxide-semiconductor field-effect transistors by applying the in-plane tensile strain reportedly improves electron and hole mobility. The oxidation-induced Ge condensation increases the Ge fraction in a SiGe-on-insulator (SGOI) and substantially increases hole mobility. However, oxidation increases the number of surface states, resulting in hole mobility degradation. In this work, 3-aminopropyltrimethoxysilane (APTMS) was used as a biochemical reagent. The hydroxyl molecule on the oxide surface was replaced by the methoxy groups of the APTMS molecule. We proposed a surface plasma treatment to improve the electrical properties of SiGe nano wires. Fluorine plasma treatment can result in enhanced rates of thermal oxidation and speed up the formation of a self-passivation oxide layer. Like a capping oxide layer, the self-passivation oxide layer reduces the rate of follow-up oxidation. Pre oxidation treatment also improved the sensitivity of SiGe nano wires because the Si-F binding was held at a more stable interface state compared to bare nano wire on the SiGe surface. Additionally, the sensitivity can be further improved by either the N 2 plasma posttreatment or the low-temperature post annealing due to the suppression of out diffusion of Ge and F atoms from the SiGe nano wire surface.

  7. Comparative analysis of oxide phase formation and its effects on electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor structures

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jaeyel [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Sehun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Jungsub; Yang, Changjae; Kim, Sujin; Seok, Chulkyun [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Park, Jinsub [Department of Electronic Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 443-270 (Korea, Republic of); Energy Semiconductor Research Center, Advanced Institutes of Convergence Technology, Seoul National University, Suwon 443-270 (Korea, Republic of)

    2012-06-01

    We report on the changes in the interfacial phases between SiO{sub 2} and InSb caused by various deposition temperatures and heat treatments. X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy were used to evaluate the relative amount of each phase present at the interface. The effect of interfacial phases on the electrical properties of SiO{sub 2}/InSb metal-oxide-semiconductor (MOS) structures was investigated by capacitance-voltage (C-V) measurements. The amount of both In and Sb oxides increased with the deposition temperature. The amount of interfacial In oxide was larger for all samples, regardless of the deposition and annealing temperatures and times. In particular, the annealed samples contained less than half the amount of Sb oxide compared with the as-deposited samples, indicating a strong interfacial reaction between Sb oxide and the InSb substrate during annealing. The interface trap density sharply increased for deposition temperatures above 240 Degree-Sign C. The C-V measurements and Raman spectroscopy indicated that elemental Sb accumulation due to the interfacial reaction of Sb oxide with InSb substrate was responsible for the increased interfacial trap densities in these SiO{sub 2}/InSb MOS structures. - Highlights: Black-Right-Pointing-Pointer We report the quantitative analysis of interfacial oxides at the SiO{sub 2}/InSb interface. Black-Right-Pointing-Pointer Interfacial oxides were measured quantitatively by X-ray Photoelectron Spectroscopy. Black-Right-Pointing-Pointer As-grown and annealed samples showed different compositions of oxide phases. Black-Right-Pointing-Pointer Considerable reduction of antimony oxide phases was observed during annealing. Black-Right-Pointing-Pointer Interface trap densities at the SiO{sub 2}/InSb interface were calculated.

  8. The effect of different annealing temperatures on the structure and luminescence properties of Y{sub 2}O{sub 3}:Bi{sup 3+} thin films fabricated by spin coating

    Energy Technology Data Exchange (ETDEWEB)

    Yousif, A.; Jafer, R.M.; Som, S. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein, ZA 9300 (South Africa); Department of Physics, Faculty of Education, University of Khartoum, P.O. Box 321, 11115 Omdurman (Sudan); Duvenhage, M.M.; Coetsee, E. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein, ZA 9300 (South Africa); Swart, H.C., E-mail: swarthc@ufs.ac.za [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein, ZA 9300 (South Africa)

    2016-03-01

    Graphical abstract: - Highlights: • Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} thin films were successfully fabricated by the spin coating method. • The Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} thin films were converted into Y{sub 2}Si{sub 2}O{sub 7}:Bi films after annealing. • The conversion affected the PL properties of the Bi{sup +} ion in the newly formed host. • A blue shift in emission colour was observed. - Abstract: This paper reports on the structural, morphology and optical properties of Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} micro-and nanophosphors synthesized via the spin coating method. The influence of different annealing temperatures (900–1200 °C) on the morphology, crystal structure and the photoluminescence (PL) properties of the synthesized films were studied in detail. The crystal structure of the films was investigated with X-ray diffraction. The presence of the three major diffraction peaks with Miller indexes (2 1 1), (2 2 2) and (4 0 0) indicated that the Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} thin films were well-crystallized at 900 °C, 1000 °C, 1100 °C and 1200 °C. Additionally, extra diffraction peaks were observed for the sample that was annealed at 1200 °C. Those extra peaks were due to the formation of the Y{sub 2}Si{sub 2}O{sub 7} phase owing to the annealing induced changes in the crystal structure and chemical composition of the Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} thin film. This may also be attributed to inter diffusion of atomic species between the Si substrate and the Y{sub 2−x}O{sub 3}:Bi{sub x=0.005} thin film at the high annealing temperature. Due to structure-sensitive properties of the Bi{sup 3+} ions, a blue shift of the centre PL emission band from 495 nm to 410 nm was clearly observed and explained in detail. The time-of-flight secondary ion mass spectroscopy results show the Si diffusion from the Si substrate, whereas, the scanning electron microscopy and the atomic force microscopy were used for the morphology

  9. Effects of bias voltage and annealing on the structure and mechanical properties of WC0.75N0.25 thin films

    International Nuclear Information System (INIS)

    Su, Y.D.; Hu, C.Q.; Wen, M.; Wang, C.; Liu, D.S.; Zheng, W.T.

    2009-01-01

    We investigated the effects of both bias voltage and annealing on the structure and mechanical properties of WC 0.75 N 0.25 thin films, deposited on Si (1 0 0) substrates by a direct current reactive magnetron sputtering system, in which the negative substrate bias voltage (V b ) was varied from floating (-1.6 V) to -200 V, and the deposited films were annealed at 800 deg. C for 2 h. The X-ray photoelectron spectroscopy and selected area electron diffraction analyses, along with the density-functional theory (DFT) calculations on the electronic structure, showed that WC 0.75 N 0.25 films were a single-phase of carbonitrides. After annealing, a significant decrease in hardness for the films was observed, being a result of point-defect annihilation as V b was in the range of floating to -120 V. However, when V b was in the range of -160 to -200 V, the hardness increased from ∼37 GPa for the as-deposited film to a maximum of ∼43 GPa for the annealed one. This increase in hardness after annealing might be attributed to age-hardening.

  10. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  11. The Effect of Thermal Annealing on the Optical Properties of a-SiC:H Films Produced by DC Sputtering Methods: I

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-04-01

    Full Text Available Silicon Target Case. The effects of thermal annealing treatment on the optical properties of amorphous silicon carbon films deposited by silicon target in an argon, methane and hydrogen gas mixture have been studied using ultra violet-visible (uv-vis spectroscopy. Both n and α, and consequently the real and imaginary parts of the dielectric constant, show a considerable variation with subsequent annealing up to annealing temperature 500 °C, with the most rapid changes occurring for temperature 300 °C. The films tend denser as the annealing temperature increased up to 500°C. The optical gap improved slightly upon annealing, where as the disorder of the amorphous network reduced. The annealing treatment produces reorganization of the amorphous network since thermal annealing results in dissociation of hydrogenated bonds (Si-H and C-H.

  12. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing.

    Science.gov (United States)

    Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li

    2016-04-27

    The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4-0.7 eV to 0.2-0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature.

  13. Chemical and electrical characterisation of the segregation of Al from a CuAl alloy (90%:10% wt) with thermal anneal

    Energy Technology Data Exchange (ETDEWEB)

    Byrne, C., E-mail: conor.byrne2@mail.dcu.ie [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); Brady, A.; Walsh, L.; McCoy, A.P.; Bogan, J. [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); McGlynn, E. [School of Physical Sciences, National Centre for Plasma Science and Technology, Dublin City University, Dublin 9 (Ireland); Rajani, K.V. [School of Electronic Engineering, Dublin City University, Dublin 9 (Ireland); Hughes, G. [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); School of Physical Sciences, National Centre for Plasma Science and Technology, Dublin City University, Dublin 9 (Ireland)

    2016-01-29

    A copper–aluminium (CuAl) alloy (90%:10% wt) has been investigated in relation to segregation of the alloying element Al, from the alloy bulk during vacuum anneal treatments. X-ray photoelectron spectroscopy (XPS) measurements were used to track the surface enrichment of Al segregating from the alloy bulk during in situ ultra-high vacuum anneals. Secondary ion mass spectroscopy (SIMS) indicates a build-up of Al at the surface of the annealed alloy relative to the bulk composition. Metal oxide semiconductor (MOS) CuAl/SiO{sub 2}/Si structures show a shift in flatband voltage upon thermal anneal consistent with the segregation of the Al to the alloy/SiO{sub 2} interface. Electrical four point probe measurements indicate that the segregation of Al from the alloy bulk following thermal annealing results in a decrease in film resistivity. X-ray diffraction data shows evidence for significant changes in crystal structure upon annealing, providing further evidence for expulsion of Al from the alloy bulk. - Highlights: • CuAl alloy (90%:Al 10% wt) deposited and vacuum annealed • XPS and SIMS data show segregation of Al from the alloy bulk. • Chemical changes seen indicate the reduction of Cu oxide and growth of Al Oxide. • Electrical measurements indicate a chemical change at the metal/SiO{sub 2} interface. • All data consistent with Cu diffusion barrier layer formed.

  14. Structural and magnetic properties of cobalt nanostructures on SiO{sub 2}/Si(1 1 1) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bounour-Bouzamouche, W. [LSPM (CNRS-UPR 3407), Université Paris 13, PRES Sorbonne-Paris-Cité, 99 avenue Jean-Baptiste Clément, 93430 Villetaneuse (France); LEREC, Université d’Annaba, BP12 – 23000 (Algeria); Chérif, S.M., E-mail: cherif@univ-paris13.fr [LSPM (CNRS-UPR 3407), Université Paris 13, PRES Sorbonne-Paris-Cité, 99 avenue Jean-Baptiste Clément, 93430 Villetaneuse (France); Farhat, S.; Roussigné, Y.; Tallaire, A.; Gicquel, A. [LSPM (CNRS-UPR 3407), Université Paris 13, PRES Sorbonne-Paris-Cité, 99 avenue Jean-Baptiste Clément, 93430 Villetaneuse (France); Lungu, C.P. [NILPR, 409, Magurele, JudIlfov, 077125 Bucharest (Romania); Guerioune, M. [LEREC, Université d’Annaba, BP12 – 23000 (Algeria)

    2014-11-30

    Highlights: • Heat and plasma treatments of ultrathin cobalt films deposited on SiO{sub 2}/Si(1 1 1) create highly auto-organized structures. • Direct correlation between the film thickness and the size of the nanoparticles formed after thermal annealing. • Modification of the surface morphology strongly influences the magnetic response of the investigated films. • Formation of Co islands in triangular shapes is found to play a key role in the enhancement of the coercive field. - Abstract: 2D architectures of cobalt onto silicon (1 1 1) surfaces were elaborated by patterning of magnetic cobalt in the nanometer scale. A continuous cobalt layer of 1, 3 and 10 nm thickness, respectively, was first deposited by means of thermoionic vacuum arc technique and then, thermally annealed in vacuum at temperatures ranging from 450 to 800 °C. Surface structure was analyzed by atomic force and field emission-scanning electron microscopies. Above 750 °C, regular triangular shape cobalt nanostructures are formed with pattern dimensions varying between 10 and 200 nm. Good control of shape and packing density could be achieved by adjusting the initial thickness and the thermal and hydrogen plasma treatments. Magnetic properties were investigated using vibrating sample magnetometer technique. The evolution of the coercive field versus packing density and dimensions of the nanostructures was studied and compared to micromagnetic calculations. The observed nanostructures have been modeled by a series of shapes tending to a fractal curve.

  15. Resistance Switching Memory Characteristics of Si/CaF2/CdF2 Quantum-Well Structures Grown on Metal (CoSi2) Layer

    Science.gov (United States)

    Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro

    2013-04-01

    A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.

  16. Annealing of hydrogen-induced defects in RF-plasma-treated Si wafers: ex situ and in situ transmission electron microscopy studies

    International Nuclear Information System (INIS)

    Ghica, C; Nistor, L C; Vizireanu, S; Dinescu, G

    2011-01-01

    The smart-cut(TM) process is based on inducing and processing structural defects below the free surface of semiconductor wafers. The necessary defects are currently induced by implantation of light elements such as hydrogen or helium. An alternative softer way to induce shallow subsurface defects is by RF-plasma hydrogenation. To facilitate the smart-cut process, the wafers containing the induced defects need to be subjected to an appropriate thermal treatment. In our experiments, (0 0 1) Si wafers are submitted to 200 and 50 W hydrogen RF-plasma and are subsequently annealed. The samples are studied by transmission electron microscopy (TEM), before and after annealing. The plasma-introduced defects are {1 1 1} and {1 0 0} planar-like defects and nanocavities, all of them involving hydrogen. Many nanocavities are aligned into strings almost parallel to the wafer surface. The annealing is performed either by furnace thermal treatment at 550 deg. C, or by in situ heating in the electron microscope at 450, 650 and 800 deg. C during the TEM observations. The TEM microstructural studies indicate a partial healing of the planar defects and a size increase of the nanometric cavities by a coalescence process of the small neighbouring nanocavities. By annealing, the lined up nanometric voids forming chains in the as-hydrogenated sample coalesced into well-defined cracks, mostly parallel to the wafer surface.

  17. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  18. Study of the La-related dipole in TiN/LaO{sub x}/HfSiON/SiON/Si gate stacks using hard X-ray photoelectron spectroscopy and backside medium energy ion scattering

    Energy Technology Data Exchange (ETDEWEB)

    Boujamaa, R. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); CEA-LETI, MINATEC Campus, F38054 Grenoble (France); Laboratoire des Matériaux et du Génie Physique, CNRS, Grenoble INP, 3 parvis L. Néel, BP 257, 38016 Grenoble (France); Martinez, E.; Pierre, F.; Renault, O. [CEA-LETI, MINATEC Campus, F38054 Grenoble (France); Detlefs, B.; Zegenhagen, J. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, F-38000 Grenoble (France); Baudot, S. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Gros-Jean, M., E-mail: Mickael.Gros-Jean@st.com [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Bertin, F. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Dubourdieu, C., E-mail: Catherine.Dubourdieu@ec-lyon.fr [Institut des Nanotechnologies de Lyon, CNRS, Ecole Centrale de Lyon, 36 avenue Guy de Collongue, 69134 Ecully (France)

    2015-04-30

    Highlights: • Precise La depth distribution in gate stacks before and after annealing by MEIS. • Analysis by HAXPES of the buried high K/SiO{sub 2} interface without removing TiN gate. • Formation of La-silicate at the HfSiON/SiON interface. • Internal electrical field induced at the HfSiON/SiON interface by the La diffusion. • Increase of electric field strength with initial LaO{sub x} thickness. - Abstract: In this paper, we report the effect of high temperature annealing on the chemical and electronic structure of technologically relevant TiN/LaO{sub x}/HfSiON/SiON/Si gate stacks. Using medium energy ion scattering from the backside of the samples, a non-destructive compositional depth profile of La has been obtained, revealing the lanthanum diffusion in the SiON interface layer upon annealing. To complement this analysis, hard X-ray photoelectron spectroscopy with synchrotron radiation has been performed to investigate the chemical and electronic structure of the gate stacks. The results show clear changes in the Hf and Ti core level energy positions with respect to Si bulk, with changes in the thickness of the LaO{sub x} capping layer. We infer that La diffusion generates an internal electrical field at the La-silicate interface between HfSiON and SiON, and that its strength increases with the increase of LaO{sub x} thickness. These findings support the band alignment model based on a La-induced interfacial dipole.

  19. Evolution of E-centers during the annealing of Sb-doped Si0.8Ge0.2

    DEFF Research Database (Denmark)

    Kilpeläinen, S.; Tuomisto, F.; Slotte, J.

    2011-01-01

    Evolution of the chemical surroundings of vacancy complexes in Sb-doped ([Sb] = 2 × 1018 and 2 × 1019 cm−3) Si0.8Ge0.2 was studied with positron annihilation spectroscopy in Doppler broadening mode. The study was performed by annealing the samples both isochronally and isothermally. Defect...... evolution was observed at the temperature range 450–650 K. Both treatments were shown to induce changes in the chemical surroundings of the E-centers via introduction of Ge near the defects. Moreover, Sb was found to hinder these changes by stabilizing the E-centers and thus preventing them from finding Ge....... The stable state reached after the anneals was found to differ from that measured from an as-grown sample. This difference was deemed to be the result of Ge gathering in small clusters during the annealing thus breaking the initially random Ge distribution....

  20. Violet-blue photoluminescence from Si nanoparticles with zinc-blende structure synthesized by laser ablation in liquids

    Directory of Open Access Journals (Sweden)

    P. Liu

    2013-02-01

    Full Text Available Violet-blue luminescence from Si nanostructures has been widely investigated, because of its potential use in optoelectronic and bioimaging devices. However, the emission mechanism in multiform Si nanomaterials remains unclear. In this contribution, Si nanocrystals (NCs with zincblende structure and visible violet-blue emission are prepared by electric field assisted laser ablation in liquids. While subsequent annealing of the Si NCs weakens their blue emission dramatically. We investigate the origin of the violet-blue emission by monitoring crystal structure transitions and photoluminescence during different treatments of the Si NCs. The results indicate that the violet-blue emission cannot simply be ascribed to quantum confinement effects or the presence of general surface states on the Si NCs. Instead, we propose that excitons are formed within the Si NCs by direct transitions at Γ or X points, which can be induced during the formation of the zincblende structure, and are a most possible origin of the violet-blue luminescence. Furthermore, defects in the metastable Si NCs are also expected to play an important role in violet-blue emission. This study not only gives clear and general insight into the physical origins of violet-blue emission from Si NCs, it also provides useful information for designing optoelectronic devices based on Si NCs.

  1. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal-oxide-semiconductor field effect transistor channels

    Science.gov (United States)

    Grasby, T. J.; Parry, C. P.; Phillips, P. J.; McGregor, B. M.; Morris, , R. J. H.; Braithwaite, G.; Whall, T. E.; Parker, E. H. C.; Hammond, R.; Knights, A. P.; Coleman, P. G.

    1999-03-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V-1 s-1 for a sheet density of 6.2×1011 cm-2. The low temperature growth yields highly planar interfaces, which are maintained after anneal as evidenced from transmission electron microscopy. This and secondary ion mass spectroscopy measurements demonstrate that the metastably strained alloy layer can endure the in situ anneal procedure necessary for enhanced electrical properties. Further studies have shown that the layers can also withstand a 120 min thermal oxidation at 800 °C, commensurate with metal-oxide-semiconductor device fabrication.

  2. Effects of annealing temperature on shape transformation and optical properties of germanium quantum dots

    Science.gov (United States)

    Alireza, Samavati; Othaman, Z.; K. Ghoshal, S.; K. Mustafa, M.

    2015-02-01

    The influences of thermal annealing on the structural and optical features of radio frequency (rf) magnetron sputtered self-assembled Ge quantum dots (QDs) on Si (100) are investigated. Preferentially oriented structures of Ge along the (220) and (111) directions together with peak shift and reduced strain (4.9% to 2.7%) due to post-annealing at 650 °C are discerned from x-ray differaction (XRD) measurement. Atomic force microscopy (AFM) images for both pre-annealed and post-annealed (650 °C) samples reveal pyramidal-shaped QDs (density ˜ 0.26× 1011 cm-2) and dome-shape morphologies with relatively high density ˜ 0.92 × 1011 cm-2, respectively. This shape transformation is attributed to the mechanism of inter-diffusion of Si in Ge interfacial intermixing and strain non-uniformity. The annealing temperature assisted QDs structural evolution is explained using the theory of nucleation and growth kinetics where free energy minimization plays a pivotal role. The observed red-shift ˜ 0.05 eV in addition to the narrowing of the photoluminescence peaks results from thermal annealing, and is related to the effect of quantum confinement. Furthermore, the appearance of a blue-violet emission peak is ascribed to the recombination of the localized electrons in the Ge-QDs/SiO2 or GeOx and holes in the ground state of Ge dots. Raman spectra of both samples exhibit an intense Ge-Ge optical phonon mode which shifts towards higher frequency compared with those of the bulk counterpart. An experimental Raman profile is fitted to the models of phonon confinement and size distribution combined with phonon confinement to estimate the mean dot sizes. A correlation between thermal annealing and modifications of the structural and optical behavior of Ge QDs is established. Tunable growth of Ge QDs with superior properties suitable for optoelectronic applications is demonstrated. Project supported by Ibnu Sina Institute for Fundamental Science Study, Universiti Teknologi Malaysia

  3. Effects of annealing temperature on shape transformation and optical properties of germanium quantum dots

    International Nuclear Information System (INIS)

    Samavati, Alireza; Othaman, Z.; Ghoshal, S. K.; Mustafa, M. K.

    2015-01-01

    The influences of thermal annealing on the structural and optical features of radio frequency (rf) magnetron sputtered self-assembled Ge quantum dots (QDs) on Si (100) are investigated. Preferentially oriented structures of Ge along the (220) and (111) directions together with peak shift and reduced strain (4.9% to 2.7%) due to post-annealing at 650 °C are discerned from x-ray differaction (XRD) measurement. Atomic force microscopy (AFM) images for both pre-annealed and post-annealed (650 °C) samples reveal pyramidal-shaped QDs (density ∼ 0.26× 10 11 cm −2 ) and dome-shape morphologies with relatively high density ∼ 0.92 × 10 11 cm −2 , respectively. This shape transformation is attributed to the mechanism of inter-diffusion of Si in Ge interfacial intermixing and strain non-uniformity. The annealing temperature assisted QDs structural evolution is explained using the theory of nucleation and growth kinetics where free energy minimization plays a pivotal role. The observed red-shift ∼ 0.05 eV in addition to the narrowing of the photoluminescence peaks results from thermal annealing, and is related to the effect of quantum confinement. Furthermore, the appearance of a blue-violet emission peak is ascribed to the recombination of the localized electrons in the Ge-QDs/SiO 2 or GeO x and holes in the ground state of Ge dots. Raman spectra of both samples exhibit an intense Ge–Ge optical phonon mode which shifts towards higher frequency compared with those of the bulk counterpart. An experimental Raman profile is fitted to the models of phonon confinement and size distribution combined with phonon confinement to estimate the mean dot sizes. A correlation between thermal annealing and modifications of the structural and optical behavior of Ge QDs is established. Tunable growth of Ge QDs with superior properties suitable for optoelectronic applications is demonstrated. (paper)

  4. Structural study of conventional and bulk metallic glasses during annealing

    International Nuclear Information System (INIS)

    Pineda, E.; Hidalgo, I.; Bruna, P.; Pradell, T.; Labrador, A.; Crespo, D.

    2009-01-01

    Metallic glasses with conventional glass-forming ability (Al-Fe-Nd, Fe-Zr-B, Fe-B-Nb compositions) and bulk metallic glasses (Ca-Mg-Cu compositions) were studied by synchrotron X-ray diffraction during annealing throughout glass transition and crystallization temperatures. The analysis of the first diffraction peak position during the annealing process allowed us to follow the free volume change during relaxation and glass transition. The structure factor and the radial distribution function of the glasses were obtained from the X-ray measurements. The structural changes occurred during annealing are analyzed and discussed.

  5. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  6. Crystallization behavior and domain structure in textured Pb(Zr0.52Ti0.48)O3 thin films by different annealing processes

    International Nuclear Information System (INIS)

    Huang, W.; Jiang, S.W.; Li, Y.R.; Zhu, J.; Zhang, Y.; Wei, X.H.; Zeng, H.Z.

    2006-01-01

    Amorphous Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films were prepared on the Pt/Ti/SiO 2 /Si substrates by radio-frequency magnetron sputtering at room temperature. After rapid thermal annealing (RTA) and conventional furnace annealing (CFA) at different temperatures, the films were transformed into polycrystalline PZT thin films with (111) and (100) orientation, respectively. The phase formation and ferroelectric domains correlated with different orientation were systematically investigated by X-ray diffraction and piezoresponse force microscopy. The results showed that the perovskite PZT crystal with [111] orientation hetero-nucleated preferentially on top of the PtPb intermetallic phase at the PZT/Pt interface during RTA process. It is of interest to find that the domain self-organized into a structure with rounded shape at the early stage of crystallization. While the nucleation of the films treated by CFA dominantly homo-nucleated, thus the (100) orientation grains with minimum surface energy were easy to grow. The texture effects on ferroelectric properties of PZT films were also discussed in relation to the domain structure

  7. Effect of Systematic Control of Pd Thickness and Annealing Temperature on the Fabrication and Evolution of Palladium Nanostructures on Si (111) via the Solid State Dewetting.

    Science.gov (United States)

    Kunwar, Sundar; Pandey, Puran; Sui, Mao; Zhang, Quanzhen; Li, Ming-Yu; Lee, Jihoon

    2017-12-01

    Si-based optoelectronic devices embedded with metallic nanoparticles (NPs) have demonstrated the NP shape, size, spacing, and crystallinity dependent on light absorption and emission induced by the localized surface plasmon resonance. In this work, we demonstrate various sizes and configurations of palladium (Pd) nanostructures on Si (111) by the systematic thermal annealing with the variation of Pd thickness and annealing temperature. The evolution of Pd nanostructures are systematically controlled by the dewetting of thin film by means of the surface diffusion in conjunction with the surface and interface energy minimization and Volmer-Weber growth model. Depending on the control of deposition amount ranging between 0.5 and 100 nm at various annealing temperatures, four distinctive regimes of Pd nanostructures are demonstrated: (i) small pits and grain formation, (ii) nucleation and growth of NPs, (iii) lateral evolution of NPs, and (iv) merged nanostructures. In addition, by the control of annealing between 300 and 800 °C, the Pd nanostructures show the evolution of small pits and grains, isolated NPs, and finally, Pd NP-assisted nanohole formation along with the Si decomposition and Pd-Si inter-diffusion. The Raman analysis showed the discrepancies on phonon modes of Si (111) such that the decreased peak intensity with left shift after the fabrication of Pd nanostructures. Furthermore, the UV-VIS-NIR reflectance spectra revealed the existence of surface morphology dependent on absorption, scattering, and reflectance properties.

  8. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  9. Atomic and electronic structures of a-SiC:H from tight-binding molecular dynamics

    CERN Document Server

    Ivashchenko, V I; Shevchenko, V I; Ivashchenko, L A; Rusakov, G V

    2003-01-01

    The atomic and electronic properties of amorphous unhydrogenated (a-SiC) and hydrogenated (a-SiC:H) silicon carbides are studied using an sp sup 3 s sup * tight-binding force model with molecular dynamics simulations. The parameters of a repulsive pairwise potential are determined from ab initio pseudopotential calculations. Both carbides are generated from dilute vapours condensed from high temperature, with post-annealing at low temperature for a-SiC:H. A plausible model for the inter-atomic correlations and electronic states in a-SiC:H is suggested. According to this model, the formation of the amorphous network is weakly sensitive to the presence of hydrogen. Hydrogen passivates effectively only the weak bonds of threefold-coordinated atoms. Chemical ordering is very much affected by the cooling rate and the structure of the high-temperature vapour. The as-computed characteristics are in rather good agreement with the results for a-SiC and a-Si:H from ab initio calculations.

  10. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  11. Improved AMOLED with aligned poly-Si thin-film transistors by laser annealing and chemical solution treatments

    International Nuclear Information System (INIS)

    Wu, G.M.; Chen, C.N.; Feng, W.S.; Lu, H.C.

    2009-01-01

    Low-temperature polycrystalline silicon (LTPS) thin-film transistors (TFT) were prepared for the active-matrix organic light-emitting displays (AMOLED). The excimer laser annealing (ELA) recrystallization technique was employed with a chemical solution treatment process to improve the TFT characteristic uniformity and the AMOLED display image quality. The characteristics of the poly-Si array thin films were influenced by XeCl ELA optic module design, TFT device channel direction, and laser irradiation overlap ratio. The ELA system module provided aligned poly-Si grain size of 0.3 μm by the homogenization lens design. The chemical solution treatment process included a dilute HF solution (DHF), ozone (O 3 ) water, and buffer oxide etching solution (BOE). The PMOS TFT showed better field effect mobility of 87.6 cm 2 /V s, and the threshold voltage was -1.35 V. The off current (I off ) was 1.25x10 -11 A, and the on/off current ratio was 6.27x10 6 . In addition, the image quality of the AMOLED display was highly improved using the 2T1C structure design without any compensation circuit.

  12. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  13. Strong white and blue photoluminescence from silicon nanocrystals in SiNx grown by remote PECVD using SiCl4/NH3

    International Nuclear Information System (INIS)

    Benami, A; Santana, G; Ortiz, A; Ponce, A; Romeu, D; Aguilar-Hernandez, J; Contreras-Puente, G; Alonso, J C

    2007-01-01

    Strong white and blue photoluminescence (PL) from as-grown silicon nanocrystals (nc-Si) in SiN x films prepared by remote plasma enhanced chemical vapour deposition using SiCl 4 /NH 3 mixtures is reported. The colour and intensity of the PL could be controlled by adjusting the NH 3 flow rate. Samples with white emission were annealed at 1000 deg. C, obtaining a strong improvement of the PL intensity with a blue colour. The PL can be attributed to quantum confinement effect in nc-Si embedded in SiN x matrix, which is improved when a better passivation of nc-Si surface with chlorine and nitrogen atoms is obtained. The size, density and structure of the nc-Si in the as-grown and annealed films were confirmed and measured by high-resolution transmission electron microscopy

  14. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  15. Laser-controlled stress of Si nanocrystals in a free-standing Si /SiO2 superlattice

    Science.gov (United States)

    Khriachtchev, Leonid; Räsänen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar+ laser radiation melts Si nanocrystals in a free-standing Si /SiO2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means.

  16. Laser-controlled stress of Si nanocrystals in a free-standing Si/SiO2 superlattice

    International Nuclear Information System (INIS)

    Khriachtchev, Leonid; Raesaenen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar + laser radiation melts Si nanocrystals in a free-standing Si/SiO 2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3 GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means

  17. Doping of 6H-SiC pn structures by proton irradiation

    International Nuclear Information System (INIS)

    Strel'chuk, Anatoly M.; Lebedev, Alexandre A.; Kozlovski, Vitali V.; Savkina, Natali S.; Davydov, Denis V.; Solov'ev, Viktor V.; Rastegaeva, Marina G.

    1999-01-01

    The influence of proton irradiation on current-voltage characteristics, N d - N a values and parameters of deep centres in 6H-SiC pn structures grown by sublimation epitaxy has been studied. The irradiation was carried out with 8 MeV protons in the range of doses from 10 14 to 10 16 cm -2 . Irradiation with a dose of 3.6x10 14 cm -2 leaves the voltage drop at high forward currents (10 A/cm 2 ) practically unchanged. For higher irradiation dose of 1.8x10 15 cm -2 , the forward voltage drop and the degree of compensation in the samples increased ; partial annealing of the radiation defects and partial recovery of the electrical parameters occurred after annealing at T∼400-800 K. Irradiation with a dose of 5.4x10 15 cm -2 resulted in very high resistance in forward biased pn structures which remained high even after heating to 500 deg. C. It is suggested that proton irradiation causes decreasing of the lifetime and formation of an i- or an additional p-layer

  18. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  19. Hybrid Integrated Si/SiN Platforms for Wideband Optical Processing

    Science.gov (United States)

    2017-05-08

    annealing process, makes the process prone to dopant redistribution, that hinderers the SiN deposition after full Si device fabrication. To resolve...with 220 nm of crystalline Si. In parallel, a Si die goes through a wet oxidation process to grow 5 μm of thermal oxide. In the next step, 400 nm of... annealing methods. As a figure of merit in hydrophilic bonding, we monitored the surface roughness and bonding strength of a thin oxide layer to

  20. Mössbauer spectroscopy study of the disordering process of Fe{sub 70}Si{sub 30} alloy

    Energy Technology Data Exchange (ETDEWEB)

    Legarra, E., E-mail: estibaliz.legarra@ehu.es [Dpto. Electricidad y Electronica, Universidad del Pais Vasco (UPV/EHU), CP. 644, 48080 Bilbao (Spain); Apiñaniz, E. [Dpto. Fisica Aplicada I, Universidad del Pais Vasco, Nieves Cano 12, 01006 Vitoria (Spain); Plazaola, F. [Dpto. Electricidad y Electronica, Universidad del Pais Vasco (UPV/EHU), CP. 644, 48080 Bilbao (Spain)

    2014-12-05

    Highlights: • Ball milled Fe{sub 70}Si{sub 30} alloy has three different structure (D0{sub 3}, D8{sub 8} and B20). • The amount of D8{sub 8} and B20 structures varies the Si content of the D0{sub 3} structure. • The disordering process has three different stages. • The behavior of the magnetization with milling time can be explained in terms of the behavior of the different structures. - Abstract: In this work we study systematically the influence of mechanical attrition on the magnetic and structural properties of annealed Fe{sub 70}Si{sub 30} alloy by means of Mössbauer spectroscopy, X-ray diffraction measurements and magnetic measurements. In order to obtain different stages of disorder the annealed (ordered) alloys were deformed by ball milling during different number of hours. The annealed alloy presents two different structures (D0{sub 3} and D8{sub 8}) and the deformation of the annealed sample with ball milling induces the appearance of B20 structure. The variation of the amount of D8{sub 8} and B20 structures makes the Si content of the D0{sub 3} structure vary, which affects the magnetic properties. The disordering process has three different stages, characterized with different magnetic behaviors.

  1. Structure and soft magnetic properties of Fe-Si-B-P-Cu nanocrystalline alloys with minor Mn addition

    Directory of Open Access Journals (Sweden)

    Xingjie Jia

    2018-05-01

    Full Text Available Addition of minor Mn effectively improves the amorphous-forming ability and thermal stability of the Fe85Si2B8P4Cu1 alloy. With increasing the Mn content from 0 to 3 at.%, the critical thickness for amorphous formation and onset temperature of the primary crystallization increase from 14 μm and 659 K to 27 μm and 668 K, respectively. The fine nanocrystalline structure with α-Fe grains in size (D of < 20 nm was obtained for the annealed amorphous alloys, which show excellent soft magnetic properties. The alloying of Mn reduces the coercivity (Hc by decreasing the D value and widens the optimum annealing temperature range for obtaining low Hc, although the saturation magnetic flux density (Bs is decreased slightly. The Fe83Mn2Si2B8P4Cu1 nanocrystalline alloy possesses fine structure with a D of ∼17.5 nm, and exhibits a high Bs of ∼1.75 T and a low Hc of ∼5.9 A/m. The mechanism related to the alloying effects on the structure and magnetic properties was discussed in term of the crystallization activation energy.

  2. Structure and soft magnetic properties of Fe-Si-B-P-Cu nanocrystalline alloys with minor Mn addition

    Science.gov (United States)

    Jia, Xingjie; Li, Yanhui; Wu, Licheng; Zhang, Wei

    2018-05-01

    Addition of minor Mn effectively improves the amorphous-forming ability and thermal stability of the Fe85Si2B8P4Cu1 alloy. With increasing the Mn content from 0 to 3 at.%, the critical thickness for amorphous formation and onset temperature of the primary crystallization increase from 14 μm and 659 K to 27 μm and 668 K, respectively. The fine nanocrystalline structure with α-Fe grains in size (D) of < 20 nm was obtained for the annealed amorphous alloys, which show excellent soft magnetic properties. The alloying of Mn reduces the coercivity (Hc) by decreasing the D value and widens the optimum annealing temperature range for obtaining low Hc, although the saturation magnetic flux density (Bs) is decreased slightly. The Fe83Mn2Si2B8P4Cu1 nanocrystalline alloy possesses fine structure with a D of ˜17.5 nm, and exhibits a high Bs of ˜1.75 T and a low Hc of ˜5.9 A/m. The mechanism related to the alloying effects on the structure and magnetic properties was discussed in term of the crystallization activation energy.

  3. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  4. Effect of high-temperature annealing on the microstructure and thermoelectric properties of GaP doped SiGe. M.S. Thesis

    Science.gov (United States)

    Draper, Susan L.

    1987-01-01

    Annealing of GaP doped SiGe will significantly alter the thermoelectric properties of the material resulting in increased performance as measured by the figure of merit Z and the power factor P. The microstructures and corresponding thermoelectric properties after annealing in the 1100 to 1300 C temperature range have been examined to correlate performance improvement with annealing history. The figure of merit and power factor were both improved by homogenizing the material and limiting the amount of cross-doping. Annealing at 1215 C for 100 hr resulted in the best combination of thermoelectric properties with a resultant figure of merit exceeding 1x10 to the -3 deg C to the -1 and a power factor of 44 microW/cm/deg C sq for the temperature range of interest for space power: 400 to 1000 C.

  5. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal–oxide–semiconductor field effect transistor channels

    OpenAIRE

    Grasby, T. J.; Parry, C. P.; Phillips, P. J. (Peter J.); McGregor, Barry M.; Morris, R. J. H. (Richard J. H.); Braithwaite, Glyn; Whall, Terry E.; Parker, Evan H. C.; Hammond, Richard; Knights, Andrew P.; Coleman, P. G.

    1999-01-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V ...

  6. Effect of Cl2 plasma treatment and annealing on vanadium based metal contacts to Si-doped Al0.75Ga0.25N

    Science.gov (United States)

    Lapeyrade, Mickael; Alamé, Sabine; Glaab, Johannes; Mogilatenko, Anna; Unger, Ralph-Stephan; Kuhn, Christian; Wernicke, Tim; Vogt, Patrick; Knauer, Arne; Zeimer, Ute; Einfeldt, Sven; Weyers, Markus; Kneissl, Michael

    2017-09-01

    In order to understand the electrical properties of V/Al/Ni/Au metal contacts to Si-doped Al0.75Ga0.25N layers, X-ray photoelectron spectroscopy analysis was performed on differently treated AlGaN:Si surfaces before metal deposition, and transmission electron microscopy was used to study the semiconductor-metal interface after contact annealing at 900 °C. Cl2 plasma etching of AlGaN increases the aluminum/nitrogen ratio at the surface, and Al oxide or oxynitride is always formed by any surface treatment applied after etching. After contact annealing, a complex interface structure including amorphous AlOx and different metal phases such as Al-Au-Ni, V-Al, and V2N were found. The electrical properties of the contacts were determined by thermionic emission and/or thermionic field emission in the low voltage regime. Nearly ohmic contacts on AlGaN surfaces exposed to a Cl2 plasma were only obtained by annealing the sample at a temperature of 815 °C under N2/NH3 prior to metallization. By this treatment, the oxygen contamination on the surface could be minimized, resulting in a larger semiconductor area to be in direct contact with metal phases such as Al-rich Al-Au-Ni or V-Al and leading to a contact resistivity of 2.5 × 10-2 Ω cm2. This treatment can be used to significantly reduce the operating voltage of current deep ultraviolet light emitting diodes which will increase their wall plug efficiency and lower the thermal stress during their operation.

  7. Influence of annealing temperature on erbium ion electroluminescence in Si : (Er,O) diodes with (111) substrate orientation

    CERN Document Server

    Sobolev, N A; Nikolaev, Y A

    2001-01-01

    A study has been made of the influence of temperature of the second annealing that promotes formation of optically and electrically active centers o the erbium ion electroluminescence at lambda approx = 1.54 mu m wavelength in (111) Si : (Er,O) diodes. Doping has been performed by implantation of erbium and oxygen ions at 2.0, 1.6 MeV and 0.28, 0.22 MeV energies and 3 x 10 sup 1 sup 4 cm sup - sup 2 and 3 x 10 sup 1 sup 5 cm sup - sup 2 doses, respectively. The room temperature electroluminescence intensity under the breakdown regime increases with increasing annealing temperature from 700 to 950 deg C. After annealing in the range of 975-1100 deg C, erbium electroluminescence under the breakdown regime is not observed due to appearance of microplasmas. The injection electroluminescence intensity at 80 K decreases with increasing temperature from 700 to 1100 deg C

  8. Amorphous FeCoSiB for exchange bias coupled and decoupled magnetoelectric multilayer systems: Real-structure and magnetic properties

    International Nuclear Information System (INIS)

    Hrkac, V.; Strobel, J.; Kienle, L.; Lage, E.; Köppel, G.; McCord, J.; Quandt, E.; Meyners, D.

    2014-01-01

    The effect of field annealing for exchanged biased multilayer films is studied with respect to the resultant structural and magnetic film properties. The presented multilayer stacks comprise repeating sequences of Ta/Cu/(1 1 1) textured antiferromagnetic Mn 70 Ir 30 /amorphous ferromagnetic Fe 70.2 Co 7.8 Si 12 B 10 . Within the ferromagnetic layers crystalline filaments are observed. An additional Ta layer between the antiferromagnet and ferromagnet is used in order to investigate and separate the influence of the common Mn 70 Ir 30 /Fe 70.2 Co 7.8 Si 12 B 10 interface on the occurring filaments and structural changes. In situ and ex situ transmission electron microscopy is used for a comprehensive structure characterization of multilayer stacks for selected temperature stages. Up to 250 °C, the multilayers are structurally unaltered and preserve the as-deposited condition. A deliberate increase to 350 °C exhibits different crystallization processes for the films, depending on the presence of crystal nuclei within the amorphous ferromagnetic layer. The influence of volume-to-surface ratio of the multilayer stacks to the crystallization process is emphasized by the comparison of in situ and ex situ investigations as the respective specimen thickness is changed. Complementary magnetic studies reveal a defined exchange bias obtained at the first annealing step and a decrease of total anisotropy field with partial crystallization after the subsequent annealing at 350 °C.

  9. Annealing of silicon epitaxial n+-p-structures irradiated with fast electrons

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Turin, P.M.; Gurinovich, V.A.; Zhdanovich, N.E.

    2010-01-01

    Static (forward voltage drop and barrier capacitance) and dynamic (minority charge carriers lifetime in p-base) parameters changes of n + -p-structures irradiated with electrons (6 MeV) have been investigated. It is established that the forward voltage drop and the barrier capacitance of n + -p-junction recover during annealing at about 623 K, but the minority charge carriers lifetime recovery occurs at annealing temperatures above 773 K. The recovery of a forward voltage drop and barrier capacitance is related with annealing of radiation complexes of divacancy-oxygen (V 2 O) and boron-carbon (B i C s ). The recovery of minority charge carriers lifetime in structures is related mainly with annealing of radiation complex of carbonoxygen (C i O i ). (authors)

  10. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  11. Forming of nanocrystal silicon films by implantation of high dose of H+ in layers of silicon on isolator and following fast thermal annealing

    International Nuclear Information System (INIS)

    Tyschenko, I.E.; Popov, V.P.; Talochkin, A.B.; Gutakovskij, A.K.; Zhuravlev, K.S.

    2004-01-01

    Formation of nanocrystalline silicon films during rapid thermal annealing of the high-dose H + ion implanted silicon-on-insulator structures was studied. It was found, that Si nanocrystals had formed alter annealings at 300-400 deg C, their formation being strongly limited by the hydrogen content in silicon and also by the annealing time. It was supposed that the nucleation of crystalline phase occurred inside the silicon islands between micropores. It is conditioned by ordering Si-Si bonds as hydrogen atoms are leaving their sites in silicon network. No coalescence of micropores takes place during the rapid thermal annealing at the temperatures up to ∼ 900 deg C. Green-orange photoluminescence was observed on synthesized films at room temperature [ru

  12. Experiments and Modeling of Si-Ge Interdiffusion with Partial Strain Relaxation in Epitaxial SiGe Heterostructures

    KAUST Repository

    Dong, Y.

    2014-07-26

    Si-Ge interdiffusion and strain relaxation were studied in a metastable SiGe epitaxial structure. With Ge concentration profiling and ex-situ strain analysis, it was shown that during thermal anneals, both Si-Ge interdiffusion and strain relaxation occurred. Furthermore, the time evolutions of both strain relaxation and interdiffusion were characterized. It showed that during the ramp-up stage of thermal anneals at higher temperatures (800°C and 840°C), the degree of relaxation, R, reached a “plateau”, while interdiffusion was negligible. With the approximation that the R value is constant after the ramp-up stage, a quantitative interdiffusivity model was built to account for both the effect of strain relaxation and the impact of the relaxation induced dislocations, which gave good agreement with the experiment data.

  13. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    Science.gov (United States)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  14. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  15. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Science.gov (United States)

    Novaković, M.; Zhang, K.; Popović, M.; Bibić, N.; Hofsäss, H.; Lieb, K. P.

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 × 10 16 cm -2. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 °C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δ σ2/ Φ = 3.0(4) nm 4, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 °C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2Si → CoSi → CoSi 2.

  16. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    International Nuclear Information System (INIS)

    Novakovic, M.; Zhang, K.; Popovic, M.; Bibic, N.; Hofsaess, H.; Lieb, K.P.

    2011-01-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 x 10 16 cm -2 . We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 o C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δσ 2 /Φ = 3.0(4) nm 4 , is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 o C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2 Si → CoSi → CoSi 2 .

  17. Correlations between atomic structure and giant magnetoresistance ratio in Co2(Fe,Mn)Si spin valves

    International Nuclear Information System (INIS)

    Lari, L; Sizeland, J; Gilks, D; Uddin, G M; Nedelkoski, Z; Hasnip, P J; Lazarov, V K; Yoshida, K; Galindo, P L; Sato, J; Oogane, M; Ando, Y; Hirohata, A

    2014-01-01

    We show that the magnetoresistance of Co 2 Fe x Mn 1−x Si-based spin valves, over 70% at low temperature, is directly related to the structural ordering in the electrodes and at the electrodes/spacer (Co 2 Fe x Mn 1−x Si/Ag) interfaces. Aberration-corrected atomic resolution Z-contrast scanning transmission electron microscopy of device structures reveals that annealing at 350 °C and 500 °C creates partial B2/L2 1 and fully L2 1 ordering of electrodes, respectively. Interface structural studies show that the Ag/Co 2 Fe x Mn 1−x Si interface is more ordered compared to the Co 2 Fe x Mn 1−x Si/Ag interface. The release of interface strain is mediated by misfit dislocations that localize the strain around the dislocation cores, and the effect of this strain is assessed by first principles electronic structure calculations. This study suggests that by improving the atomic ordering and strain at the interfaces, further enhancement of the magnetoresistance of CFMS-based current-perpendicular-to-plane spin valves is possible. (fast track communication)

  18. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  19. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  20. On the annealing-induced enhancement of the interface properties of NiO:Cu/wet-SiOx/n-Si tunnelling junction solar cells

    Science.gov (United States)

    Yang, Xueliang; Liu, Wei; Chen, Jingwei; Sun, Yun

    2018-04-01

    Using metal oxides to form a carrier-selective interface on crystalline silicon (c-Si) has recently generated considerable interest for use with c-Si photovoltaics because of the potential to reduce cost. n-type oxides, such as MoO3, V2O5, and WO3, have been widely studied. In this work, a p-type oxide, Cu-doped NiO (NiO:Cu), is explored as a transparent hole-selective contact to n-Si. An ultrathin SiOx layer, fabricated by a wet-chemical method (wet-SiOx), is introduced at the NiO:Cu/n-Si interface to achieve a tunnelling junction solar cell. Interestingly, it was observed that the interface quality of the NiO:Cu/wet-SiOx/n-Si heterojunction was dramatically enhanced by post-deposition annealing (PDA) at a temperature of 200 °C. Our device exhibits an improved power conversion efficiency of 10.8%, which is the highest efficiency among NiO/Si heterojunction photo-electric devices to date. It is demonstrated that the 200 °C PDA treatment enhances the built-in field by a reduction in the interface density of states (Dit) but does not influence the work function of the NiO:Cu thin layer. This stable work function after the PDA treatment is in conflict with the changed built-in field according to the Schottky model. Thus, the Bardeen model is introduced for this physical insight: the enhancement of the built-in field originates from the unpinning of the Fermi levels of NiO:Cu and n-Si by the interface state reduction.

  1. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  2. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO{sub 2}/SiO{sub 2}:Ag thin films

    Energy Technology Data Exchange (ETDEWEB)

    Güner, S., E-mail: sguner@fatih.edu.tr [Department of Physics, Fatih University, 34500 Büyükçekmece, İstanbul (Turkey); Budak, S. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL 35810 (United States); Gibson, B. [Department of Physics, UAH, Huntsville, AL 35899 (United States); Ila, D. [Department of Chemistry and Physics, Fayetteville St. University, Fayetteville, NC 28301 (United States)

    2014-08-15

    Highlights: • Fabrication of films through the Reactive Electron Beam deposition technique. • Perfect and reproducible Ag nanoclustered host matrix. • Potential technological applicability in thermoelectric devices. - Abstract: We have deposited five periodic SiO{sub 2}/SiO{sub 2} + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO{sub 2}:Ag layers were 2.7–5 nm and SiO{sub 2} buffer layers were 1–15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO{sub 2} were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO{sub 2} was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 10{sup 14} and 1 × 10{sup 16} ions/cm{sup 2} values. Optical absorption spectra were recorded in the range of 200–900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  3. The Effect of Thermal Annealing on the Optical Properties of a-SiC:H Films Produced by DC Sputtering Methods: I. Graphite Target Case.

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-04-01

    Full Text Available A study of the annealing effect on optical properties and disorder of hydrogenated amorphous silicon carbon (a-SiC:H films was undertaken. The films were prepared by sputtering technique using graphite target and silicon wafer in argon and hydrogen gas mixture, and then characterized by uv-vis (ultra violet-visible spectroscopy before and after annealing. Index of refraction n and absorption coefficient α of films have been determined from measurements of transmittance. The optical gap show small variation with annealing temperature, increasing with increasing annealing temperature up to 500 °C. An increase of annealing temperature leads to reduced film density and the amorphous network disorder. The experimental results are discussed in terms of deposition condition and compared to other experimental results.

  4. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  5. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  6. Study of hydrogen interaction with SiO2/Si(100) system using positrons

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.; Leung, T.C.; Nielsen, B.; Wu, X.Y.

    1991-01-01

    We describe positron annihilation studies of SiO 2 /Si(100) structures having 100-nm-thick oxide grown by plasma enhanced chemical vapor deposition. A normalized shape parameter is used to characterize the positron annihilation spectra. Activation and passivation of interface states by atomic hydrogen are demonstrated by repeated vacuum anneal and atomic hydrogen exposure. Hydrogen activation energy is derived for one of the samples as 2.02±0.07 eV

  7. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C.

    Science.gov (United States)

    Storozhevykh, Mikhail S; Arapkina, Larisa V; Yuryev, Vladimir A

    2015-12-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn.

  8. Ternary systems Sr-{Ni,Cu}-Si: Phase equilibria and crystal structure of ternary phases

    International Nuclear Information System (INIS)

    Nasir, Navida; Melnychenko-Koblyuk, Nataliya; Grytsiv, Andriy; Rogl, Peter; Giester, Gerald; Wosik, Jaroslaw; Nauer, Gerhard E.

    2010-01-01

    Phase relations were established in the Sr-poor part of the ternary systems Sr-Ni-Si (900 deg. C) and Sr-Cu-Si (800 deg. C) by light optical microscopy, electron probe microanalysis and X-ray diffraction on as cast and annealed alloys. Two new ternary compounds SrNiSi 3 (BaNiSn 3 -type) and SrNi 9-x Si 4+x (own-type) were found in the Sr-Ni-Si system along with previously reported Sr(Ni x Si 1-x ) 2 (AlB 2 -type). The crystal structure of SrNi 9-x Si 4+x (own-type, x=2.7, a=0.78998(3), c=1.1337(2) nm; space group P4/nbm) was determined from X-ray single crystal counter to be a low symmetry derivative of the cubic, parent NaZn 13 -type. At higher Si-content X-ray Rietveld refinements reveal the formation of a vacant site (□) corresponding to a formula SrNi 5.5 Si 6.5 □ 1.0 . Phase equilibria in the Sr-Cu-Si system are characterized by the compounds SrCu 2-x Si 2+x (ThCr 2 Si 2 -type), Sr(Cu x Si 1-x ) 2 (AlB 2 -type), SrCu 9-x Si 4+x (0≤x≤1.0; CeNi 8.5 Si 4.5 -type) and SrCu 13-x Si x (4≤x≤1.8; NaZn 13 -type). The latter two structure types appear within a continuous solid solution. Neither a type-I nor a type-IX clathrate compound was encountered in the Sr-{Cu,Ni}-Si systems. Structural details are furthermore given for about 14 new ternary compounds from related alloy systems with Ba. - Graphical abstract: The crystal structure of SrNi 9-x Si 4+x (own-type, x=2.7, a=0.78998(3), c=1.1337(2) nm; space group P4/nbm) was determined from X-ray single crystal counter to be a low symmetry derivative of the cubic, parent NaZn 13 -type and is related to CeNi 8.5 Si 4.5 -type.

  9. A Study on N{sub 2}O Direct Oxidation Process with Re-oxidation Annealing for the Improvement of Interface Properties in 4H-SiC MOS Capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Doohyung; Park, Kunsik; Yoo, Seongwook; Kim, Sanggi; Lee, Jinhwan; Kim, Kwangsoo [Electronics and Telecommunications Research Institute (ETRI), Daejeon (Korea, Republic of)

    2017-08-15

    The effect of N{sub 2}O direct oxidation processes with re-oxidation on SiC/SiO{sub 2} interface characteristics has been investigated. With different oxidation and post oxidation annealing (POA) processes, the flat-band voltage, effective dielectric charge density, and interface trap density are obtained from the capacitance-voltage curves. For the proposed N{sub 2}O direct oxidation processes with re-oxidation, oxides were grown in N{sub 2}O ambient, diluted in high-purity N{sub 2} to 10% concentration, for 5 h at 1230 ℃. After the growth, some samples were annealed additionally at 1200 ℃ in O{sub 2} or H{sub 2}O for 20 min. N{sub 2}O direct oxidation with re-oxidation processes was confirmed that SiC/SiO{sub 2} interface properties and dielectric stability have better performance than with other conventional oxidation processes. This oxidation technique is expected to improve gate dielectric stability for application to SiC MOS devices; in particular, it can be used to obtain high-quality SiC/SiO{sub 2} interface properties.

  10. Electric properties of La2O3/SiO2/4H-SiC MOS capacitors with different annealing temperatures

    Directory of Open Access Journals (Sweden)

    Yucheng Wang

    2015-08-01

    Full Text Available In this work, we describe a rapid thermal annealing (RTA process for the La2O3/SiO2/4H-SiC interface and investigate its effect on the material’s electrical properties. Our results indicate that the trap charge density and interface state density (Dit are reduced as the RTA temperature increases due to the termination of residual carbon and dangling bonds. We demonstrate that the sample obtained after RTA at 500 °C has the highest breakdown electric field (Efb (7 MV/cm due to a decrease in the trap charge density and an improvement in the interfacial properties. However, when the RTA temperature reaches 600 °C or higher, a lower Efb value (1.2 MV/cm is obtained due to leakage routes generated by the crystallization of La2O3. Based on our results, we conclude that the ideal choice for the RTA temperature is 500 °C.

  11. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  12. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  13. Device Performance and Reliability Improvements of AlGaN/GaN/Si MOSFET Using Defect-Free Gate Recess and Laser Annealing

    Science.gov (United States)

    2015-02-15

    of AlGaN/GaN/Si MOSFET Using Defect-Free Gate Recess and Laser Annealing 5a. CONTRACT NUMBER FA2386-11-1-4077 5b. GRANT NUMBER Grant AOARD...MOSFET Using Defect-Free Gate Recess and Laser Annealing ”. Under the USAF-Taiwan research program, the partner institution was National Chiao Tung...CHAPTER 1: In Situ Atomic Layer Deposition Half Cycle Study of Al2O3 Growth on AlGaN/GaN - Initial and wet chemical treated AlGaN surfaces were

  14. Control of O-H bonds at a-IGZO/SiO2 interface by long time thermal annealing for highly stable oxide TFT

    Directory of Open Access Journals (Sweden)

    Jae Kwon Jeon

    2017-12-01

    Full Text Available We report two-step annealing, high temperature and sequent low temperature, for amorphous indium-gallium-zinc-oxide (a-IGZO thin-film transistor (TFT to improve its stability and device performance. The annealing is carried out at 300 oC in N2 ambient for 1 h (1st step annealing and then at 250 oC in vacuum for 10 h (2nd step annealing. It is found that the threshold voltage (VTH changes from 0.4 V to -2.0 V by the 1st step annealing and to +0.6 V by 2nd step annealing. The mobility changes from 18 cm2V-1s-1 to 25 cm2V-1s-1 by 1st step and decreases to 20 cm2V-1s-1 by 2nd step annealing. The VTH shift by positive bias temperature stress (PBTS is 3.7 V for the as-prepared TFT, and 1.7 V for the 1st step annealed TFT, and 1.3 V for the 2nd step annealed TFT. The XPS (X-ray photoelectron spectroscopy depth analysis indicates that the reduction in O-H bonds at the top interface (SiO2/a-IGZO by 2nd step annealing appears, which is related to the positive VTH shift and smaller VTH shift by PBTS.

  15. Control of O-H bonds at a-IGZO/SiO2 interface by long time thermal annealing for highly stable oxide TFT

    Science.gov (United States)

    Jeon, Jae Kwon; Um, Jae Gwang; Lee, Suhui; Jang, Jin

    2017-12-01

    We report two-step annealing, high temperature and sequent low temperature, for amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) to improve its stability and device performance. The annealing is carried out at 300 oC in N2 ambient for 1 h (1st step annealing) and then at 250 oC in vacuum for 10 h (2nd step annealing). It is found that the threshold voltage (VTH) changes from 0.4 V to -2.0 V by the 1st step annealing and to +0.6 V by 2nd step annealing. The mobility changes from 18 cm2V-1s-1 to 25 cm2V-1s-1 by 1st step and decreases to 20 cm2V-1s-1 by 2nd step annealing. The VTH shift by positive bias temperature stress (PBTS) is 3.7 V for the as-prepared TFT, and 1.7 V for the 1st step annealed TFT, and 1.3 V for the 2nd step annealed TFT. The XPS (X-ray photoelectron spectroscopy) depth analysis indicates that the reduction in O-H bonds at the top interface (SiO2/a-IGZO) by 2nd step annealing appears, which is related to the positive VTH shift and smaller VTH shift by PBTS.

  16. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  17. Electrical and structural characterization of Nb-Si thin alloy film

    International Nuclear Information System (INIS)

    Nava, F.; Psaras, P.A.; Takai, H.; Tu, K.N.; Valeri, S.; Bisi, O.

    1986-01-01

    The structural and electrical properties of a Nb-Si thin alloy film as a function of temperature have been studied by Auger electron spectrometry, Rutherford backscattering spectroscopy, transmission electron microscopies, and in situ electrical resistivity and Hall coefficient measurements. The NbSi/sub 2.8/ films were deposited by double electron-gun coevaporation onto oxidized silicon. For electrical measurements samples of a van der Pauw pattern were made through metallic masks. In the as-deposited state the coevaporated alloy film was amorphous. Upon annealing a precipitous drop in resistivity near 270 0 C has been determined to be the amorphous to crystalline phase transformation. The kinetics of the transformation has been determined by isothermal heat treatment over the temperature range of 224 0 to 252 0 C. An apparent activation energy of 1.90 eV has been measured. The nucleation and growth kinetics in the crystallization process show a change in the power of time dependence from 5.5 to 2.4. The microstructures of films at various states of annealing have been correlated to the resistivity change. The crystalline NbSi 2 shows an anomalous metallic behavior. The resistivity (rho) versus temperature curve has a large negative deviation from linearity (dfl) and it approaches a saturation value (rho/sub sat/) as temperature increases. The resistivity data are fitted by two empirical expressions put forth to explain the resistivity behavior in A15 superconductors at low and high temperatures. One is based on the idea that ideal resistivity must approach some limiting value in the regime where the mean free path becomes comparable to the interatomic spacing and the other is based on a selective electron--phonon assisted scattering

  18. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Energy Technology Data Exchange (ETDEWEB)

    Novakovic, M. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Zhang, K. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Popovic, M.; Bibic, N. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Hofsaess, H. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Lieb, K.P., E-mail: plieb@gwdg.d [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany)

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe{sup +} ions at fluences of up to 3 x 10{sup 16} cm{sup -2}. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 {sup o}C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, {Delta}{sigma}{sup 2}/{Phi} = 3.0(4) nm{sup 4}, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 {sup o}C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co{sub 2}Si {yields} CoSi {yields} CoSi{sub 2}.

  19. Influence of the crystallization process on the luminescence of multilayers of SiGe nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Avella, M.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.; Ortiz, M.I.; Ballesteros, C.

    2008-01-01

    Multilayers of SiGe nanocrystals embedded in an oxide matrix have been fabricated by low-pressure chemical vapor deposition of SiGe and SiO 2 onto Si wafers (in a single run at 390 deg. C and 50 mTorr, using GeH 4 , Si 2 H 6 and O 2 ) followed by a rapid thermal annealing treatment to crystallize the SiGe nanoparticles. The main emission band is located at 400 nm in both cathodoluminescence and photoluminescence experiments at 80 K and also at room temperature. The annealing conditions (temperatures ranging from 700 to 1000 deg. C and for times of 30 and 60 s) have been investigated in samples with different diameter of the nanoparticles (from ∼3 to ≥5 nm) and oxide interlayer thickness (15 and 35 nm) in order to establish a correlation between the crystallization of the nanoparticles, the degradation of their composition by Ge diffusion and the intensity of the luminescence emission band. Structures with small nanoparticles (3-4.5 nm) separated by thick oxide barriers (∼35 nm) annealed at 900 deg. C for 60 s yield the maximum intensity of the luminescence. An additional treatment at 450 deg. C in forming gas for dangling-bond passivation increases the intensity of the luminescence band by 25-30%

  20. Annealing of Al implanted 4H silicon carbide

    International Nuclear Information System (INIS)

    Hallen, A; Suchodolskis, A; Oesterman, J; Abtin, L; Linnarsson, M

    2006-01-01

    Al ions were implanted with multiple energies up to 250 keV at elevated temperatures in n-type 4H SiC epitaxial layers to reach a surface concentration of 1x10 20 cm -3 . These samples were then annealed at temperatures between 1500 and 1950 deg. C. A similar 4H SiC epitaxial sample was implanted by MeV Al ions to lower doses and annealed only at 200 and 400 deg. C. After annealing, cross-sections of the samples were characterized by scanning spreading resistance microscopy (SSRM). The results show that the resistivity of high-dose Al implanted samples has not reached a saturated value, even after annealing at the highest temperature. For the MeV Al implanted sample, the activation of Al has not yet started, but a substantial annealing of the implantation induced damage can be seen from the SSRM depth profiles

  1. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmSi/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  2. Effect of oxygen on the processes of ion beam synthesis of buried SiC layers in silicon

    International Nuclear Information System (INIS)

    Artamonov, V.V.; Valakh, M.Ya.; Klyuj, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of Si-structures with buried silicon carbide (SiC) layers created by high dose carbon implantation into Cz-Si or Fz-Si wafers followed by high-temperature annealing were studied by Raman and infrared spectroscopy. Effect of additional oxygen implantation on the peculiarities of SiC layer formation was also studied. It was shown that under the same implantation and post-implantation annealing conditions the buried SiC layers are more effectively formed in Cz-Si or in Si subjected to additional oxygen implantation. Thus, oxygen in silicon promotes the SiC layer formation due to SiO x precipitate creation and accommodation of the crystal volume in the region where SiC phase is formed

  3. SERS activity of Ag decorated nanodiamond and nano-β-SiC, diamond-like-carbon and thermally annealed diamond thin film surfaces.

    Science.gov (United States)

    Kuntumalla, Mohan Kumar; Srikanth, Vadali Venkata Satya Siva; Ravulapalli, Satyavathi; Gangadharini, Upender; Ojha, Harish; Desai, Narayana Rao; Bansal, Chandrahas

    2015-09-07

    In the recent past surface enhanced Raman scattering (SERS) based bio-sensing has gained prominence owing to the simplicity and efficiency of the SERS technique. Dedicated and continuous research efforts have been made to develop SERS substrates that are not only stable, durable and reproducible but also facilitate real-time bio-sensing. In this context diamond, β-SiC and diamond-like-carbon (DLC) and other related thin films have been promoted as excellent candidates for bio-technological applications including real time bio-sensing. In this work, SERS activities of nanodiamond, nano-β-SiC, DLC, thermally annealed diamond thin film surfaces were examined. DLC and thermally annealed diamond thin films were found to show SERS activity without any metal nanostructures on their surfaces. The observed SERS activities of the considered surfaces are explained in terms of the electromagnetic enhancement mechanism and charge transfer resonance process.

  4. A Study of Thin Film Resistors Prepared Using Ni-Cr-Si-Al-Ta High Entropy Alloy

    Directory of Open Access Journals (Sweden)

    Ruei-Cheng Lin

    2015-01-01

    Full Text Available Ni-Cr-Si-Al-Ta resistive thin films were prepared on glass and Al2O3 substrates by DC magnetron cosputtering from targets of Ni0.35-Cr0.25-Si0.2-Al0.2 casting alloy and Ta metal. Electrical properties and microstructures of Ni-Cr-Si-Al-Ta films under different sputtering powers and annealing temperatures were investigated. The phase evolution, microstructure, and composition of Ni-Cr-Si-Al-Ta films were characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, and Auger electron spectroscopy (AES. When the annealing temperature was set to 300°C, the Ni-Cr-Si-Al-Ta films with an amorphous structure were observed. When the annealing temperature was at 500°C, the Ni-Cr-Si-Al-Ta films crystallized into Al0.9Ni4.22, Cr2Ta, and Ta5Si3 phases. The Ni-Cr-Si-Al-Ta films deposited at 100 W and annealed at 300°C which exhibited the higher resistivity 2215 μΩ-cm with −10 ppm/°C of temperature coefficient of resistance (TCR.

  5. Effect of annealing temperature on the electrical transport properties of CaRuO3-δ thin films directly deposited on the Si substrate

    International Nuclear Information System (INIS)

    Paik, Hanjong; Kim, Youngha; No, Kwangsoo; Cann, David P.; Yoon, DongJoo; Kim, ByungIl; Kim, Yangsoo

    2007-01-01

    We investigate the effect of annealing temperature on the preferentially (110)-oriented CaRuO 3-δ (CRO) thin films directly prepared on Si(100) substrate by rf magnetron sputtering. Crystalline quality and electrical transport properties of the CRO thin films were modified by post-annealing treatment. It was obvious that 700 C post-annealing brought about excellent metallic characteristics with the elevation of carrier concentration and mobility. From this result, we suggested that enhanced (110) orientation, and the ratio of chemical composition Ru 4+ /Ca 2+ ion were responsible for the transport properties of CRO thin film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Facile Synthesis of Calcium Borate Nanoparticles and the Annealing Effect on Their Structure and Size

    Directory of Open Access Journals (Sweden)

    Manizheh Navasery

    2012-11-01

    Full Text Available Calcium borate nanoparticles have been synthesized by a thermal treatment method via facile co-precipitation. Differences of annealing temperature and annealing time and their effects on crystal structure, particle size, size distribution and thermal stability of nanoparticles were investigated. The formation of calcium borate compound was characterized by X-ray diffraction (XRD and Fourier Transform Infrared spectroscopy (FTIR, Transmission electron microscopy (TEM, and Thermogravimetry (TGA. The XRD patterns revealed that the co-precipitated samples annealed at 700 °C for 3 h annealing time formed an amorphous structure and the transformation into a crystalline structure only occurred after 5 h annealing time. It was found that the samples annealed at 900 °C are mostly metaborate (CaB2O4 nanoparticles and tetraborate (CaB4O7 nanoparticles only observed at 970 °C, which was confirmed by FTIR. The TEM images indicated that with increasing the annealing time and temperature, the average particle size increases. TGA analysis confirmed the thermal stability of the annealed samples at higher temperatures.

  7. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  8. Phase formation kinetics, hardness and magnetocaloric effect of sub-rapidly solidified LaFe11.6Si1.4 plates during isothermal annealing

    Science.gov (United States)

    Dai, Yuting; Xu, Zhishuai; Luo, Zhiping; Han, Ke; Zhai, Qijie; Zheng, Hongxing

    2018-05-01

    High-temperature phase transition behavior and intrinsic brittleness of NaZn13-type τ1 phase in La-Fe-Si magnetocaloric materials are two key problems from the viewpoint of materials production and practical applications. In the present work, the Johnson-Mehl-Avrami-Kolmogorov (JMAK) equation was introduced to quantitatively characterize the formation kinetics of τ1 phase in sub-rapidly solidified LaFe11.6Si1.4 plates during the isothermal annealing process. Avrami index was estimated to be 0.43 (∼0.5), which suggests that the formation of τ1 phase is in a diffusion-controlled one-dimensional growth mode. Meanwhile, it is found that the Vickers hardness as a function of annealing time for sub-rapidly solidified plates also agrees well with the JMAK equation. The Vickers hardness of τ1 phase was estimated to be about 754. Under a magnetic field change of 30 kOe, the maximum magnetic entropy change was about 22.31 J/(kg·K) for plates annealed at 1323 K for 48 h, and the effective magnetic refrigeration capacity reached 191 J/kg.

  9. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  10. Study of annealing effect on the growth of ZnO nanorods on ZnO seed layers

    Science.gov (United States)

    Sannakashappanavar, Basavaraj S.; Pattanashetti, Nandini A.; Byrareddy, C. R.; Yadav, Aniruddh Bahadur

    2018-04-01

    A zinc oxide (ZnO) seed layer was deposited on the SiO2/Si substrate by RF sputtering. To study the effect of annealing, the seed layers were classified into annealed and unannealed thin films. Annealing of the seed layers was carried at 450°C. Surface morphology of the seed layers were studied by Atomic force microscopy. ZnO nanorods were then grown on both the types of seed layer by hydrothermal method. The morphology and the structural properties of the nanorods were characterized by X-ray diffraction and Scanning electron microscopy. The effect of seed layer annealing on the growth and orientation of the ZnO nanorods were clearly examined on comparing with the nanorods grown on unannealed seed layer. The nanorods grown on annealed seed layers were found to be well aligned and oriented. Further, the I-V characteristic study was carried out on these aligned nanorods. The results supports positively for the future work to further enhance the properties of developed nanorods for their wide applications in electronic and optoelectronic devices.

  11. Magnetoimpedance of stress and/or field annealed Fe73.5Cu1Nb3Si15.5B7 amorphous and nanocrystalline ribbon

    International Nuclear Information System (INIS)

    Miguel, C.; Zhukov, A.P.; Gonzalez, J.

    2003-01-01

    Magnetoimpedance (MI) response of as-cast and annealed Fe 73.5 Cu 1 Nb 3 Si 15.5 B 7 amorphous alloy ribbon has been investigated. The thermal treatments were performed by current annealing technique (density 45 A/mm 2 during 1-120 min) under the action of a tensile stress of 500 MPa and/or an axial magnetic field of 750 A/m. For short annealing time (less than 5 min), the three kinds of treatment induced an uniaxial magnetic anisotropy in the amorphous state with a maximum of MI of 15%, while for long annealing the nanocrystallization process occurs with larger MI effect of around 22%. This MI behaviour is explained taking into account the role of the induced magnetic anisotropy and the microstructural changes owing to the thermal treatments

  12. Electrical properties of Si/Si1-xGex/Si inverted modulation doped structures

    International Nuclear Information System (INIS)

    Sadeghzadeh, M.A.

    1998-12-01

    This thesis is a report of experimental investigations of growth strategy and electrical properties of Si/Si 1-x Ge x /Si inverted Modulation Doped (MD) structures grown by solid source Molecular Beam Epitaxy (MBE). If the grown Si layer is B-doped at some distance (as spacer) before or after the alloy layer, this remote doping induces the formation of a quasi Two Dimensional Hole Gas (2-DHG) near to the inverted (SiGe on Si) or normal (Si on SiGe) heterointerfaces of the Si/Si 1-x Ge x /Si quantum well, respectively. The latter arrangement is the well known 'normal' MD structure but the former one is the so-called 'inverted' MD structure which is of great interest for Field Effect Transistor (FET) applications. A reproducible growth strategy was employed by the use of a thick (400nm) Si cap for inverted MD structures with Ge composition in the range of 16-23%. Boron segregation and cap surface charges are significant in these inverted structures with small ( 20nm) spacer layers, respectively. It was demonstrated by secondary ion mass spectroscopy (SIMS) that boron segregation, which causes a reduction in the effective spacer dimension, can be suppressed by growth interruption after boron doping. The enhancement in hole sheet density with increasing Si cap layer thickness, is attributed to a reduction in the influence of positive surface charges in these structures. Top-gated devices were fabricated using these structures and the hole sheet density could be varied by applying a voltage to the metal-semiconductor gate, and the maximum Hall mobility of 5550 cm 2 V -1 s -1 with 4.2x10 11 cm -2 was measured (at 1.6K) in these structures. Comparison of measured Hall mobility (at 4.2K) as a function of hole sheet density in normal and inverted MD structures implies that both 2-DHG confined at normal and/or inverted structures are subjected to very similar interface charge, roughness, and alloy scattering potentials. Low temperatures magnetotransport measurements (down to

  13. Water absorption in thermally grown oxides on SiC and Si: Bulk oxide and interface properties

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Xu, Can; Feldman, Leonard C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Yakshinskiy, Boris; Wielunski, Leszek; Gustafsson, Torgny [Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Bloch, Joseph [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); NRCN, Beer-Sheva 84190 (Israel); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2014-11-10

    We combine nuclear reaction analysis and electrical measurements to study the effect of water exposure (D{sub 2}O) on the n-type 4H-SiC carbon face (0001{sup ¯}) MOS system and to compare to standard silicon based structures. We find that: (1) The bulk of the oxides on Si and SiC behave essentially the same with respect to deuterium accumulation; (2) there is a significant difference in accumulation of deuterium at the semiconductor/dielectric interface, the SiC C-face structure absorbs an order of magnitude more D than pure Si; (3) standard interface passivation schemes such as NO annealing greatly reduce the interfacial D accumulation; and (4) the effective interfacial charge after D{sub 2}O exposure is proportional to the total D amount at the interface.

  14. Ultrathin SiO{sub 2} layer formed by the nitric acid oxidation of Si (NAOS) method to improve the thermal-SiO{sub 2}/Si interface for crystalline Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Taketoshi; Nakajima, Hiroki; Irishika, Daichi; Nonaka, Takaaki; Imamura, Kentaro; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • The density of interface states at the SiO{sub 2}/Si interface is decreased by NAOS. • The minority carrier lifetime is increased by the NAOS treatment. • Great interfacial properties of the NAOS layer are kept after thermal oxidation. - Abstract: A combination of the nitric acid oxidation of Si (NAOS) method and post-thermal oxidation is found to efficiently passivate the SiO{sub 2}/n-Si(100) interface. Thermal oxidation at 925 °C and annealing at 450 °C in pure hydrogen atmosphere increases the minority carrier lifetime by three orders of magnitude, and it is attributed to elimination of Si dangling bond interface states. Fabrication of an ultrathin, i.e., 1.1 nm, NAOS SiO{sub 2} layer before thermal oxidation and H{sub 2} annealing further increases the minority carrier lifetime by 30% from 8.6 to 11.1 ms, and decreased the interface state density by 10% from 6.9 × 10{sup 9} to 6.3 × 10{sup 9}eV{sup −1} cm{sup −2}. After thermal oxidation at 800 °C, the SiO{sub 2} layer on the NAOS-SiO{sub 2}/Si(100) structure is 2.26 nm thick, i.e., 0.24 nm thicker than that on the Si(100) surface, while after thermal oxidation at 925 °C, it is 4.2 nm thick, i.e., 0.4 nm thinner than that on Si(100). The chemical stability results from the higher atomic density of a NAOS SiO{sub 2} layer than that of a thermal oxide layer as reported in Ref. [28] (Asuha et al., 2002). Higher minority carrier lifetime in the presence of the NAOS layer indicates that the NAOS-SiO{sub 2}/Si interface with a low interface state density is preserved after thermal oxidation, which supports out-diffusion oxidation mechanism, by which a thermal oxide layer is formed on the NAOS SiO{sub 2} layer.

  15. Optical and electrical properties of Si-nanocrystals ion beam synthesized in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Garrido, B. E-mail: blas@el.ub.es; Lopez, M.; Perez-Rodriguez, A.; Garcia, C.; Pellegrino, P.; Ferre, R.; Moreno, J.A.; Morante, J.R.; Bonafos, C.; Carrada, M.; Claverie, A.; Torre, J. de la; Souifi, A

    2004-02-01

    We review in this paper our recent results on the correlation between the structural and the optoelectronic properties of Si nano crystals (Si-nc) embedded in SiO{sub 2}. We describe as well the development of both materials and technology approaches that have allowed us to successfully produce efficient and reliable LEDs by using only CMOS processes. Si-nc were synthesised in SiO{sub 2} by ion implantation plus annealing and display average diameters from 2.5 to 6 nm, as measured by electron microscopy. By varying the annealing time in a large scale we have been able to track the nucleation, pure growth and Ostwald ripening stages of the nanocrystal population. The most efficient structures have Si-ncs with average size of 3 nm and densities of about 10{sup 19} cm{sup -3}. We have estimated band-gap energies, lifetimes (20-200 {mu}s) and absorption cross-sections (10{sup -15}-10{sup -16} cm{sup 2}) as a function of size and surface passivation. Based on these results, we propose a mechanism for exciton recombination based on the strong coupling of excitons with the heterointerfaces. From highly luminescent Si-nc, LEDs consisting of MOS capacitors were fabricated. Stable red electroluminescence has been obtained at room temperature and the I-V characteristics prove that the current is related to a pure tunnelling process. Fowler-Nordheim injection is not observed during light emission for electric fields below 5 MV/cm. Thus, hot carrier injection is avoided and efficient and reliable devices are obtained.

  16. Enhancement of magnetic properties of Co2MnSi Heusler alloy prepared by mechanical alloying method

    International Nuclear Information System (INIS)

    Rabie, Naeemeh; Gordani, Gholam Reza; Ghasemi, Ali

    2017-01-01

    Highlights: • Ferromagnetic Heusler alloys of Co 2 MnSi were synthesized at low temperature. • There is an at least 30% reduction in the phase formation temperature. • Saturation magnetization of alloy was increased significantly after annealing. - Abstract: Ferromagnetic Heusler alloys of Co 2 MnSi were synthesized by mechanical alloying method at low temperature. The effect of milling time and annealing process on structural and magnetic properties of ferromagnetic alloy samples were studied by X-ray diffraction, scanning electron microscopy and vibration sample magnetometer methods, respectively. Structural characteristics such as crystallite size, phase percentage, and lattice parameter determined using the Rietveld method. The values of these parameters were obtained 362.9 nm, 5.699 Å and 98.7%, respectively for annealed sample. Magnetization studies show that the Co2MnSi phase is formed at 15 h of milling and is optimized after 20 h of milling. VSM results showed that saturation magnetization (M s ) of milled samples reduces from 112 to 75 (emu/g) with increasing milling time and then increased gradually to 95 emu/g. The effect of post-annealing on the structural and magnetic properties of milled samples was also investigated. The saturation magnetization of annealed sample (120 emu/g) is higher than the optimum milled sample (95 emu/g) due to increasing preferential ordered L2 1 structure.

  17. Effects of phosphorus doping on structural and optical properties of silicon nanocrystals in a SiO2 matrix

    International Nuclear Information System (INIS)

    Hao, X.J.; Cho, E.-C.; Scardera, G.; Bellet-Amalric, E.; Bellet, D.; Shen, Y.S.; Huang, S.; Huang, Y.D.; Conibeer, G.; Green, M.A.

    2009-01-01

    Promise of Si nanocrystals highly depends on tailoring their behaviour through doping. Phosphorus-doped silicon nanocrystals embedded in a silicon dioxide matrix have been realized by a co-sputtering process. The effects of phosphorus-doping on the properties of Si nanocrystals are investigated. Phosphorus diffuses from P-P and/or P-Si to P-O upon high temperature annealing. The dominant X-ray photoelectron spectroscopy P 2p signal attributable to Si-P and/or P-P (130 eV) at 1100 o C indicates that the phosphorus may exist inside Si nanocrystals. It is found that existence of phosphorus enhances phase separation of silicon rich oxide and thereby Si crystallization. In addition, phosphorus has a considerable effect on the optical absorption and photoluminescence properties as a function of annealing temperature.

  18. Fabrication of SiC Composites with Synergistic Toughening of Carbon Whisker and In Situ 3C-SiC Nanowire

    Directory of Open Access Journals (Sweden)

    Zhang Yunlong

    2016-01-01

    Full Text Available The SiC composites with synergistic toughening of carbon whisker and in situ 3C-SiC nanowire have been fabricated by hot press sinter technology and annealed treatment technology. Effect of annealed time on the morphology of SiC nanowires and mechanical properties of the Cw/SiC composites was surveyed in detail. The appropriate annealed time improved mechanical properties of the Cw/SiC composites. The synergistic effect of carbon whisker and SiC nanowire can improve the fracture toughness for Cw/SiC composites. The vapor-liquid-solid growth (VLS mechanism was proposed. TEM photo showed that 3C-SiC nanowire can be obtained with preferential growth plane ({111}, which corresponded to interplanar spacing about 0.25 nm.

  19. Study of the structural and optical properties of GaP(N) layers synthesized by molecular-beam epitaxy on Si(100) 4° substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kryzhanovskaya, N. V., E-mail: NataliaKryzh@gmail.com; Polubavkina, Yu. S. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Nevedomskiy, V. N. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Nikitina, E. V.; Lazarenko, A. A. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Egorov, A. Yu. [St. Petersburg National Research University of Information Technologies, Mechanics, and Optics (Russian Federation); Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation)

    2017-02-15

    The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10{sup 8} cm{sup –2} is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.

  20. Study of the structural and optical properties of GaP(N) layers synthesized by molecular-beam epitaxy on Si(100) 4° substrates

    International Nuclear Information System (INIS)

    Kryzhanovskaya, N. V.; Polubavkina, Yu. S.; Nevedomskiy, V. N.; Nikitina, E. V.; Lazarenko, A. A.; Egorov, A. Yu.; Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E.

    2017-01-01

    The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10"8 cm"–"2 is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.

  1. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  2. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  3. Defect evolution and dopant activation in laser annealed Si and Ge

    DEFF Research Database (Denmark)

    Cristiano, F.; Shayesteh, M.; Duffy, R.

    2016-01-01

    Defect evolution and dopant activation are intimately related to the use of ion implantation and annealing, traditionally used to dope semiconductors during device fabrication. Ultra-fast laser thermal annealing (LTA) is one of the most promising solutions for the achievement of abrupt and highly...... doped junctions. In this paper, we report some recent investigations focused on this annealing method, with particular emphasis on the investigation of the formation and evolution of implant/anneal induced defects and their impact on dopant activation. In the case of laser annealed Silicon, we show...

  4. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  5. Electronic defect levels in continuous wave laser annealed silicon metal oxide semiconductor devices

    Science.gov (United States)

    Cervera, M.; Garcia, B. J.; Martinez, J.; Garrido, J.; Piqueras, J.

    1988-09-01

    The effect of laser treatment on the bulk and interface states of the Si-SiO2 structure has been investigated. The annealing was performed prior to the gate metallization using a continuous wave Ar+ laser. For low laser powers the interface state density seems to decrease slightly in comparison with untreated samples. However, for the highest irradiating laser powers a new bulk level at 0.41 eV above the valence band with concentrations up to 1015 cm-3 arises probably due to the electrical activation of the oxygen diluted in the Czochralski silicon. Later postmetallization annealings reduce the interface state density to values in the 1010 cm-2 eV-1 range but leave the concentration of the 0.41-eV center nearly unchanged.

  6. Toward intrinsic graphene surfaces: a systematic study on thermal annealing and wet-chemical treatment of SiO2-supported graphene devices.

    Science.gov (United States)

    Cheng, Zengguang; Zhou, Qiaoyu; Wang, Chenxuan; Li, Qiang; Wang, Chen; Fang, Ying

    2011-02-09

    By combining atomic force microscopy and trans-port measurements, we systematically investigated effects of thermal annealing on surface morphologies and electrical properties of single-layer graphene devices fabricated by electron beam lithography on silicon oxide (SiO(2)) substrates. Thermal treatment above 300 °C in vacuum was required to effectively remove resist residues on graphene surfaces. However, annealing at high temperature was found to concomitantly bring graphene in close contact with SiO(2) substrates and induce increased coupling between them, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices. Upon the recovery of intrinsic surfaces of graphene, the adsorption and assisted fibrillation of amyloid β-peptide (Aβ1-42) on graphene were electrically measured in real time.

  7. Ternary silicides ScIr{sub 4}Si{sub 2} and RERh{sub 4}Si{sub 2} (RE = Sc, Y, Tb-Lu) and quaternary derivatives RERh{sub 4}Si{sub 2-x}Sn{sub x} (RE = Y, Nd, Sm, Gd-Lu) - structure, chemical bonding, and solid state NMR spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Vosswinkel, Daniel; Benndorf, Christopher; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Eckert, Hellmut [Muenster Univ. (Germany). Inst. fuer Physikalische Chemie; Sao Paulo Univ., Sao Carlos (Brazil). Inst. of Physics; Matar, Samir F. [Bordeaux Univ., CNRS, ICMCB, UPR 9048, Pessac (France)

    2016-11-01

    The silicides ScIr{sub 4}Si{sub 2} and RERh{sub 4}Si{sub 2} (RE = Sc, Y, Tb-Lu) and silicide stannides RERh{sub 4}Si{sub 2-x}Sn{sub x}(RE = Y, Nd, Sm, Gd-Lu) were synthesized from the elements by arc-melting and subsequent annealing. The new compounds crystallize with the orthorhombic YRh{sub 4}Ge{sub 2} type structure, space group Pnma. They were characterized by X-ray powder patterns and several structures were refined from single crystal X-ray diffractometer data. The main structural motifs of this series of silicides are tricapped trigonal prisms formed by the transition metal and rare earth atoms. One of the two crystallographically independent silicon sites allows for formation of solid solutions with tin, exemplarily studied for ErRh{sub 4}Si{sub 2-x}Sn{sub x}. Electronic structure calculations reveal strong covalent Rh-Si bonding as the main stability factor. Multinuclear ({sup 29}Si, {sup 45}Sc, and {sup 89}Y) magic-angle spinning (MAS) NMR spectra of the structure representatives with diamagnetic rare-earth elements (Sc, Y, Lu) are found to be consistent with the crystallographic data and specifically confirm the selective substitution of Sn in the Si2 sites in the quaternary compounds YRh{sub 4}SiSn and LuRh{sub 4}SiSn.

  8. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  9. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan

    2018-04-03

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  10. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan; Lee, Kwang Hong; Anjum, Dalaver H.; Zhang, Qiang; Zhang, Xixiang; Tan, Chuan Seng; Xia, Guangrui

    2018-01-01

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  11. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmSi/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  12. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  13. Enhancement of magnetic properties of Co{sub 2}MnSi Heusler alloy prepared by mechanical alloying method

    Energy Technology Data Exchange (ETDEWEB)

    Rabie, Naeemeh; Gordani, Gholam Reza; Ghasemi, Ali, E-mail: ali13912001@yahoo.com

    2017-07-15

    Highlights: • Ferromagnetic Heusler alloys of Co{sub 2}MnSi were synthesized at low temperature. • There is an at least 30% reduction in the phase formation temperature. • Saturation magnetization of alloy was increased significantly after annealing. - Abstract: Ferromagnetic Heusler alloys of Co{sub 2}MnSi were synthesized by mechanical alloying method at low temperature. The effect of milling time and annealing process on structural and magnetic properties of ferromagnetic alloy samples were studied by X-ray diffraction, scanning electron microscopy and vibration sample magnetometer methods, respectively. Structural characteristics such as crystallite size, phase percentage, and lattice parameter determined using the Rietveld method. The values of these parameters were obtained 362.9 nm, 5.699 Å and 98.7%, respectively for annealed sample. Magnetization studies show that the Co2MnSi phase is formed at 15 h of milling and is optimized after 20 h of milling. VSM results showed that saturation magnetization (M{sub s}) of milled samples reduces from 112 to 75 (emu/g) with increasing milling time and then increased gradually to 95 emu/g. The effect of post-annealing on the structural and magnetic properties of milled samples was also investigated. The saturation magnetization of annealed sample (120 emu/g) is higher than the optimum milled sample (95 emu/g) due to increasing preferential ordered L2{sub 1} structure.

  14. Origin of reverse annealing effect in hydrogen-implanted silicon

    Energy Technology Data Exchange (ETDEWEB)

    Di, Zengfeng [Los Alamos National Laboratory; Nastasi, Michael A [Los Alamos National Laboratory; Wang, Yongqiang [Los Alamos National Laboratory

    2009-01-01

    In contradiction to conventional damage annealing, thermally annealed H-implanted Si exhibits an increase in damage or reverse annealing behavior, whose mechanism has remained elusive. On the basis of quantitative high resolution transmission electron microscopy combined with channeling Rutherford backscattering analysis, we conclusively elucidate that the reverse annealing effect is due to the nucleation and growth of hydrogen-induce platelets. Platelets are responsible for an increase in the height and width the channeling damage peak following increased isochronal anneals.

  15. Production and beam annealing of damage in carbon implanted silicon

    International Nuclear Information System (INIS)

    Kool, W.H.; Roosendaal, H.E.; Wiggers, L.W.; Saris, F.W.

    1978-01-01

    The annealing of damage introduced by 70 keV C implantation of Si is studied for impact of H + and He + beams in the energy interval 30 to 200 keV. For a good description of the annealing behaviour it is necessary to account for the damage introduction which occurs simultaneously. It turns out that the initial damage annealing rate is proportional to the amount of damage. The proportionality constant is related to a quantity introduced in an earlier paper in order to describe saturation effects in the damage production after H + or He + impact in unimplanted Si. This indicates that the same mechanism governs both processes: beam induced damage annealing and saturation of the damage introduction. (author)

  16. Annealing Heat Treatment of ZnO Nanoparticles Grown on Porous Si Substrate Using Spin-Coating Method

    Directory of Open Access Journals (Sweden)

    K. A. Eswar

    2014-01-01

    Full Text Available ZnO nanoparticles were successfully deposited on porous silicon (PSi substrate using spin-coating method. In order to prepare PSi, electrochemical etching was employed to modify the Si surface. Zinc acetate dihydrate was used as a starting material in ZnO sol-gel solution preparation. The postannealing treatments were investigated on morphologies and photoluminescence (PL properties of the ZnO thin films. Field emission scanning electron microscopy (FESEM results indicate that the thin films composed by ZnO nanoparticles were distributed uniformly on PSi. The average sizes of ZnO nanoparticle increase with increasing annealing temperature. Atomic force microscopic (AFM analysis reveals that ZnO thin films annealed at 500°C had the smoothest surface. PL spectra show two peaks that completely correspond to nanostructured ZnO and PSi. These findings indicate that the ZnO nanostructures grown on PSi are promising for application as light emitting devices.

  17. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  18. Photoluminescence and structural studies of Tb and Eu implanted at high temperatures into SiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Bregolin, F.L. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil); Sias, U.S., E-mail: uilson.sias@gmail.com [Instituto Federal Sul-rio-grandense, Campus Pelotas, Praca 20 de Setembro 455, 96015-360, Pelotas-RS (Brazil); Behar, M. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil)

    2013-03-15

    The present work deals with the photoluminescence (PL) emitted from Eu and Tb ions implanted at room temperature (RT) up to 350 Degree-Sign C in a SiO{sub 2} matrix, followed by a further anneal process. The ions were implanted with energy of 100 keV and a fluence of 3 Multiplication-Sign 10{sup 15} ions/cm Superscript-Two . Further anneals were performed in atmospheres of N{sub 2} or O{sub 2} with temperatures ranging from 500 up to 800 Degree-Sign C. PL measurements were performed at RT and structural measurements were done via transmission electron microscopy (TEM). In addition, the Rutherford backscattering technique (RBS) was used to investigate the corresponding ion depth profiles. For Tb, the optimal implantation temperature was 200 Degree-Sign C, and the anneal one was of 500 Degree-Sign C. Under these conditions, the PL yield of the sharp band centered at 550 nm was significatively higher than the one obtained with RT implants. The PL spectra corresponding to the Eu ions show two bands, one narrow centered around 650 nm and a second broad one in the blue-green region. The implantation temperature plays a small influence on the PL shape and yield. However, the annealing atmosphere has a strong influence on it. Samples annealed in N{sub 2} present a broad PL band, ranging from 370 up to 840 nm. On the other hand, the O{sub 2} anneal conserves the original as-implanted spectrum, that is: a broad PL band in the blue-green region together with sharp PL band in the red one. For both ions, Tb and Eu, the TEM analyses indicate the formation of nanoclusters in the hot as-implanted samples. - Highlights: Black-Right-Pointing-Pointer Eu and Tb nanoparticles were obtained by hot ion implantation into SiO{sub 2} matrix. Black-Right-Pointing-Pointer TEM results indicate the formation of nanoclusters in the hot as-implanted samples. Black-Right-Pointing-Pointer Samples annealed in N{sub 2} presented a broad PL band (from 370 up to 840 nm). Black-Right-Pointing-Pointer O

  19. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  20. Fabrication of FeSi and Fe{sub 3}Si compounds by electron beam induced mixing of [Fe/Si]{sub 2} and [Fe{sub 3}/Si]{sub 2} multilayers grown by focused electron beam induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Porrati, F.; Sachser, R.; Huth, M. [Physikalisches Institut, Goethe-Universität, Max-von-Laue-Str. 1, D-60438 Frankfurt am Main (Germany); Gazzadi, G. C. [S3 Center, Nanoscience Institute-CNR, Via Campi 213/a, 41125 Modena (Italy); Frabboni, S. [S3 Center, Nanoscience Institute-CNR, Via Campi 213/a, 41125 Modena (Italy); FIM Department, University of Modena and Reggio Emilia, Via G. Campi 213/a, 41125 Modena (Italy)

    2016-06-21

    Fe-Si binary compounds have been fabricated by focused electron beam induced deposition by the alternating use of iron pentacarbonyl, Fe(CO){sub 5}, and neopentasilane, Si{sub 5}H{sub 12} as precursor gases. The fabrication procedure consisted in preparing multilayer structures which were treated by low-energy electron irradiation and annealing to induce atomic species intermixing. In this way, we are able to fabricate FeSi and Fe{sub 3}Si binary compounds from [Fe/Si]{sub 2} and [Fe{sub 3}/Si]{sub 2} multilayers, as shown by transmission electron microscopy investigations. This fabrication procedure is useful to obtain nanostructured binary alloys from precursors which compete for adsorption sites during growth and, therefore, cannot be used simultaneously.

  1. Formation Mechanism of Ge Nanocrystals Embedded in SiO2 Studied by Fluorescence X-Ray Absorption Fine Structure

    International Nuclear Information System (INIS)

    Yan Wensheng; Li Zhongrui; Sun Zhihu; Wei Shiqiang; Kolobov, A. V.

    2007-01-01

    The formation mechanism of Ge nanocrystals for Ge (60 mol%) embedded in a SiO2 matrix grown on Si(001) and quartz-glass substrates was studied by fluorescence x-ray absorption fine structure (XAFS). It was found that the formation of Ge nanocrystals strongly depends on the properties of the substrate materials. In the as-prepared samples, Ge atoms exist in amorphous Ge and GeO2 phases. At the annealing temperature of 1073 K, on the quartz-glass substrate, Ge nanocrystals are only formed predominantly from the amorphous Ge phase in the as-prepared sample. However, on the Si(100) substrate the Ge nanocrystals are generated partly from amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis revealed that about 10% of GeO2 in as-prepared sample permutated with Si in the wafer and formed Ge nanocrystals

  2. Crystallization to polycrystalline silicon thin film and simultaneous inactivation of electrical defects by underwater laser annealing

    Energy Technology Data Exchange (ETDEWEB)

    Machida, Emi [Graduate School of Materials Science, Nara Institute of Science and Technology, 8916-5 Takayama-cho, Ikoma, Nara 630-0192 (Japan); Research Fellowships of the Japan Society for the Promotion of Science, Japan Society for the Promotion of Science, 1-8 Chiyoda, Tokyo 102-8472 (Japan); Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu [Graduate School of Materials Science, Nara Institute of Science and Technology, 8916-5 Takayama-cho, Ikoma, Nara 630-0192 (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Agency, Honcho, Kawaguchi, Saitama 332-0012 (Japan); Ikenoue, Hiroshi [Graduate School of Information Science and Electrical Engineering, Kyushu University, 744 Motooka Nishi-ku, Fukuoka 819-0395 (Japan)

    2012-12-17

    We propose a low-temperature laser annealing method of a underwater laser annealing (WLA) for polycrystalline silicon (poly-Si) films. We performed crystallization to poly-Si films by laser irradiation in flowing deionized-water where KrF excimer laser was used for annealing. We demonstrated that the maximum value of maximum grain size of WLA samples was 1.5 {mu}m, and that of the average grain size was 2.8 times larger than that of conventional laser annealing in air (LA) samples. Moreover, WLA forms poly-Si films which show lower conductivity and larger carrier life time attributed to fewer electrical defects as compared to LA poly-Si films.

  3. Effect of annealing temperature on structural, morphological and electrical properties of nanoparticles TiO{sub 2} thin films by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Muaz, A. K. M.; Hashim, U., E-mail: uda@unimap.edu.my; Arshad, M. K. Md.; Ruslinda, A. R.; Ayub, R. M.; Gopinath, Subash C. B.; Voon, C. H.; Liu, Wei-Wen; Foo, K. L. [Institute of Nano Electronic Engineering, Univerisiti Malaysia Perlis, 01000 Kangar, Perlis (Malaysia)

    2016-07-06

    In this paper, the sol-gel method is used to prepare nanoparticles titanium dioxide (TiO{sub 2}) thin films at different annealing temperature. The prepared sol was deposited on the p-SiO{sub 2} substrates by spin coating technique under room temperature. The nanoparticles TiO{sub 2} solution was synthesized using Ti{OCH(CH_3)_2}{sub 4} as a precursor with an methanol solution at a molar ratio 1:10. The prepared TiO{sub 2} sols will further validate through structural, morphological and electrical properties. From the X-ray diffraction (XRD) analysis, as-deposited films was found to be amorphous in nature and tend to transform into tetragonal anatase and rutile phase as the films annealed at 573 and 773 K, respectively. The diversification of the surface roughness was characterized by atomic force microscopy (AFM) indicated the roughness and thickness very dependent on the annealing temperature. The two-point probe electrical resistance and conductance of nanoparticles TiO{sub 2} thin films were determined by the DC current-voltage (IV) analysis. From the I-V measurement, the electrical conductance increased as the films annealed at higher temperature.

  4. Hydrogen activated axial inter-conversion in SiC nanowires

    International Nuclear Information System (INIS)

    Ruemmeli, Mark H.; Adebimpe, David B.; Borowiak-Palen, Ewa; Gemming, Thomas; Ayala, Paola; Ioannides, Nicholas; Pichler, Thomas; Huczko, Andrzej; Cudzilo, Stanislaw; Knupfer, Martin; Buechner, Bernd

    2009-01-01

    A facile low pressure annealing route using NH 3 as a hydrogen source for the structural and chemical modification of SiC nanowires (SiCNWs) is presented. The developed route transforms SiCNWs into tubular SiC nanostructures while coaxial SiO 2 /SiCNWs reverse their sheath/core structure. Our findings suggest a decomposition process induced via the preferential substitution of silicon by hydrogen and via the difference in diffusion rates of available atomic species, which leads to axial structural rearrangement. In addition to these effects, the procedure improves the crystallinity of the samples. The process could be exploited as a viable route to manipulate a variety of nanostructures and films for doping and etching and structural manipulation. - Graphical abstract: SiC and SiO 2 /SiCNWs are shown to be structurally modified through a hydrogen activated replacement route which can even lead to the axial inter-conversion of species. The process could be exploited as a viable route to manipulate a variety of nanostructures and films for doping and etching and structural manipulation

  5. Determination of optimum Si excess concentration in Er-doped Si-rich SiO2 for optical amplification at 1.54 μm

    International Nuclear Information System (INIS)

    Savchyn, Oleksandr; Coffey, Kevin R.; Kik, Pieter G.

    2010-01-01

    The presence of indirect Er 3+ excitation in Si-rich SiO 2 is demonstrated for Si-excess concentrations in the range of 2.5-37 at. %. The Si excess concentration providing the highest density of sensitized Er 3+ ions is demonstrated to be relatively insensitive to the presence of Si nanocrystals and is found to be ∼14.5 at. % for samples without Si nanocrystals (annealed at 600 deg. C) and ∼11.5 at. % for samples with Si nanocrystals (annealed at 1100 deg. C). The observed optimum is attributed to an increase in the density of Si-related sensitizers as the Si concentration is increased, with subsequent deactivation and removal of these sensitizers at high Si concentrations. The optimized Si excess concentration is predicted to generate maximum Er-related gain at 1.54 μm in devices based on Er-doped Si-rich SiO 2 .

  6. On crystallization of bisphenol-A polycarbonate thin films upon annealing

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Chunhong; Li, Qichao; Mao, Wenfeng; Wang, Peng; He, Chunqing, E-mail: hecq@whu.edu.cn

    2015-10-16

    Crystallization of polycarbonate (PC) films as a function of annealing time has been investigated by various methods. A distinct diffraction peak at 17.56°, a sharp decrease of film thickness, an increase of refractive index and branch-type structures on the surface are found merely for the film after crystallization. Interestingly, positron annihilation parameters demonstrate fractional free-volumes in PC films vary significantly not only before crystallization but also at the early stage of annealing, which are not found by other methods. The results show that free-volumes in PC film must be increased remarkably before crystallization, which enables the occurrence of molecule rearrangement. - Highlights: • Fractional free-volume in PC film decreased of early stage of annealing. • Crystallization of PC film on Si substrate occurred after annealed for ∼48 hours. • Fractional free-volume in PC film increased remarkably before crystallization. • Positron diffusion length and S parameter revealed the variation of free volumes.

  7. On crystallization of bisphenol-A polycarbonate thin films upon annealing

    International Nuclear Information System (INIS)

    Yang, Chunhong; Li, Qichao; Mao, Wenfeng; Wang, Peng; He, Chunqing

    2015-01-01

    Crystallization of polycarbonate (PC) films as a function of annealing time has been investigated by various methods. A distinct diffraction peak at 17.56°, a sharp decrease of film thickness, an increase of refractive index and branch-type structures on the surface are found merely for the film after crystallization. Interestingly, positron annihilation parameters demonstrate fractional free-volumes in PC films vary significantly not only before crystallization but also at the early stage of annealing, which are not found by other methods. The results show that free-volumes in PC film must be increased remarkably before crystallization, which enables the occurrence of molecule rearrangement. - Highlights: • Fractional free-volume in PC film decreased of early stage of annealing. • Crystallization of PC film on Si substrate occurred after annealed for ∼48 hours. • Fractional free-volume in PC film increased remarkably before crystallization. • Positron diffusion length and S parameter revealed the variation of free volumes

  8. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  9. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  10. Structural and phase transformations in the low-temperature annealed amorphous “finemet”-type microwires

    Energy Technology Data Exchange (ETDEWEB)

    Tcherdyntsev, V.V., E-mail: vvch08@yandex.ru [National University of Science and Technology “MISIS”, Moscow 119049 (Russian Federation); Aleev, A.A. [SSC RF Institute for Theoretical and Experimental Physics, Moscow 117218 (Russian Federation); Churyukanova, M.N.; Kaloshkin, S.D. [National University of Science and Technology “MISIS”, Moscow 119049 (Russian Federation); Medvedeva, E.V. [Institute of Electrophysics, Ural Branch, Russian Academy of Sciences, Yekaterinburg 620016 (Russian Federation); Korchuganova, O.A. [SSC RF Institute for Theoretical and Experimental Physics, Moscow 117218 (Russian Federation); Zhukova, V. [Dpto. de Fns. Mater., UPV/EHU, San Sebastian 20018 (Spain); Zhukov, A.P. [Dpto. de Fns. Mater., UPV/EHU, San Sebastian 20018 (Spain); IKERBASQUE, Basque Foundation for Science, 48011 Bilbao (Spain)

    2014-02-15

    Highlights: • Structure and magnetic properties evolution at heating of amorphous microwires was studied. • Relaxation processes in the amorphous phase correlate with an increase in Curie temperature. • Curie temperature change can not be stabilized by a prolonged exposure at pre-crystallization temperatures. • Tomographic atom probe microscopy supports the formation of α-Fe phase precipitations enriched in Si. -- Abstract: Finemet-type glass-coated microwires with amorphous and nanocrystalline structure have been investigated. The relaxation and crystallization processes at heating of amorphous alloy have been studied by DSC method. We observed that the relaxation processes in the amorphous phase correlate with an increasing of the Curie temperature. Additionally a prolonged exposure of the samples below the crystallization temperatures does not stabilize the Curie temperature change. An investigation by the tomographic atom probe microscopy supports the formation of precipitations, probably α-Fe phase, as a result of low-temperature annealing (400 °C, 5 min). We found that the observed nano-sized areas were enriched in silicon.

  11. Structural and phase transformations in the low-temperature annealed amorphous “finemet”-type microwires

    International Nuclear Information System (INIS)

    Tcherdyntsev, V.V.; Aleev, A.A.; Churyukanova, M.N.; Kaloshkin, S.D.; Medvedeva, E.V.; Korchuganova, O.A.; Zhukova, V.; Zhukov, A.P.

    2014-01-01

    Highlights: • Structure and magnetic properties evolution at heating of amorphous microwires was studied. • Relaxation processes in the amorphous phase correlate with an increase in Curie temperature. • Curie temperature change can not be stabilized by a prolonged exposure at pre-crystallization temperatures. • Tomographic atom probe microscopy supports the formation of α-Fe phase precipitations enriched in Si. -- Abstract: Finemet-type glass-coated microwires with amorphous and nanocrystalline structure have been investigated. The relaxation and crystallization processes at heating of amorphous alloy have been studied by DSC method. We observed that the relaxation processes in the amorphous phase correlate with an increasing of the Curie temperature. Additionally a prolonged exposure of the samples below the crystallization temperatures does not stabilize the Curie temperature change. An investigation by the tomographic atom probe microscopy supports the formation of precipitations, probably α-Fe phase, as a result of low-temperature annealing (400 °C, 5 min). We found that the observed nano-sized areas were enriched in silicon

  12. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  13. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  14. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  16. Stochastic search in structural optimization - Genetic algorithms and simulated annealing

    Science.gov (United States)

    Hajela, Prabhat

    1993-01-01

    An account is given of illustrative applications of genetic algorithms and simulated annealing methods in structural optimization. The advantages of such stochastic search methods over traditional mathematical programming strategies are emphasized; it is noted that these methods offer a significantly higher probability of locating the global optimum in a multimodal design space. Both genetic-search and simulated annealing can be effectively used in problems with a mix of continuous, discrete, and integer design variables.

  17. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    Science.gov (United States)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  18. Tailoring structures through two-step annealing process in nanostructured aluminum produced by accumulative roll-bonding

    DEFF Research Database (Denmark)

    Kamikawa, Naoya; Huang, Xiaoxu; Hansen, Niels

    2008-01-01

    temperature before annealing at high temperature. By this two-step process, the structure is homogenized and the stored energy is reduced significantly during the first annealing step. As an example, high-purity aluminum has been deformed to a total reduction of 98.4% (equivalent strain of 4.......8) by accumulative roll-bonding at room temperature. Isochronal annealing for 0.5 h of the deformed samples shows the occurrence of recrystallization at 200 °C and above. However, when introducing an annealing step for 6 h at 175 °C, no significant recrystallization is observed and relatively homogeneous structures...... are obtained when the samples afterwards are annealed at higher temperatures up to 300 °C. To underpin these observations, the structural evolution has been characterized by transmission electron microscopy, showing that significant annihilation of high-angle boundaries, low-angle dislocation boundaries...

  19. Susceptor and proximity rapid thermal annealing of InP

    International Nuclear Information System (INIS)

    Katz, A.; Pearton, S.J.; Geva, M.

    1990-01-01

    This paper presents a comparison between the efficiency of InP rapid thermal annealing within two types of SiC-coated graphite susceptors and by using the more conventional proximity approach, in providing degradation-free substrate surface morphology. The superiority of annealing within a susceptor was clearly demonstrated through the evaluation of AuGe contact performance to carbon-implanted InP substrates, which were annealed to activate the implants prior to the metallization. The susceptor annealing provided better protection against edge degradation, slip formation and better surface morphology, due to the elimination of P outdiffusion and pit formation. The two SiC-coated susceptors that were evaluated differ from each other in their geometry. The first type must be charged with the group V species prior to any annealing cycle. Under the optimum charging conditions, effective surface protection was provided only to one anneal (750 degrees C, 10s) of InP before charging was necessary. The second contained reservoirs for provision of the group V element partial pressure, enabled high temperature annealing at the InP without the need for continual recharging of the susceptor. Thus, one has the ability to subsequentially anneal a lot of InP wafers at high temperatures without inducing any surface deterioration

  20. CdO thin films based on the annealing temperature differences prepared by sol-gel method and their heterojunction devices

    Science.gov (United States)

    Soylu, M.; Yazici, T.

    2017-12-01

    Undoped CdO films were prepared on glass substrate and p-type silicon wafer using sol-gel spin coating method. The structural and optical properties of the films were investigated as a function of the annealing temperature. X-ray diffraction (XRD) patterns reveal that the films are formed from CdO with cubic crystal structure and (1 1 1) preferred orientation. It is seen that good crystallinity is due to the high annealing temperature. The surface morphology of the CdO films was found to be depending on the annealing temperature, showing cauliflower like structure. Optical band gaps for annealing temperature of 250 °C and 450 °C were found to be 2.49 eV and 2.27 eV, respectively, showing a decrease with raising temperature. Optics parameters such as extinction coefficient, refractive index, and surface-volume energy loss were determined with spectrophotometric analysis as a function of annealing temperature. CdO/p-Si heterojunction structure showed weak rectifying behavior. The diode parameters were found to be depending on annealing temperature. The results are encouraging to get better conjunction with CdO thin film component at optimize annealing temperature.

  1. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  2. Study of grain structure evolution during annealing of a twin-roll-cast Mg alloy

    International Nuclear Information System (INIS)

    Tripathi, A.; Samajdar, I.; Nie, J.F.; Tewari, A.

    2016-01-01

    The evolution of microstructure under static annealing was studied for mid-thickness section of a twin-roll-cast (TRC) magnesium alloy. Annealing was performed at 300 °C and 500 °C for different times. Microstructural evolution was quantitatively analyzed, from optical micrographs, using grain path envelope analysis. Additional information from electron backscatter diffraction (EBSD) was used for addressing the possible mechanism(s). It was found that the TRC structure had a bimodal grain size, which was preserved even after annealing at 300 °C. However, the annealing at 500 °C led to a unimodal grain size. This difference in the grain size distribution created a contrasting behavior in the normalized standard deviations. This was primarily attributed to a competition between recovery and recrystallization, and their respective dominance at 300° and 500 °C. A deformation induced recrystallization recovery (DIRR) model was proposed. The proposed model could successfully address the experimental microstructural evolution. - Highlights: • Annealing of twin roll cast (TRC) magnesium alloy was done at temperatures of 300 °C and 500 °C. • TRC had bimodal structure. Bimodality preserved for annealing at 300 °C. Annealing at 500 °C led to unimodal structure. • Grain evolution was described based on the competition between recovery and recrystallization. • Deformation induced recrystallization recovery (DIRR) mechanistic model was developed.

  3. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  4. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  5. Electrical properties of reactive-ion-sputtered Al{sub 2}O{sub 3} on 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Shukla, Madhup, E-mail: madhup.iit@gmail.com [Microelectronics and MEMS Laboratory, Electrical Engineering Department, Indian Institute of Technology Madras, Chennai 600036 (India); Dutta, Gourab [Microelectronics and MEMS Laboratory, Electrical Engineering Department, Indian Institute of Technology Madras, Chennai 600036 (India); Mannam, Ramanjaneyulu [Department of Physics and Nano Functional Materials Technology Centre, Indian Institute of Technology Madras, Chennai 600036 (India); DasGupta, Nandita [Microelectronics and MEMS Laboratory, Electrical Engineering Department, Indian Institute of Technology Madras, Chennai 600036 (India)

    2016-05-31

    Al{sub 2}O{sub 3} was deposited on n-type 4H-SiC by reactive-ion-sputtering (RIS) at room temperature using aluminum target and oxygen as a reactant gas. Post deposition oxygen annealing was carried out at a temperature of 1100 °C. Metal-oxide-semiconductor (MOS) test structures were fabricated on 4H-SiC using RIS-Al{sub 2}O{sub 3} as gate dielectric. The C-V characteristics reveal a significant reduction in flat band voltage for oxygen annealed RIS-Al{sub 2}O{sub 3} samples (V{sub fb} = 1.95 V) compared to as-deposited Al{sub 2}O{sub 3} samples (V{sub fb} > 10 V), suggesting a reduction in negative oxide charge after oxygen annealing. Oxygen annealed RIS-Al{sub 2}O{sub 3} samples also showed significant improvement in I-V characteristics compared to as-deposited RIS-Al{sub 2}O{sub 3} samples. A systematic analysis was carried out to investigate the leakage current mechanisms present in oxygen annealed RIS-Al{sub 2}O{sub 3} on 4H-SiC at higher gate electric field and at different operating temperature. For measurement temperature (T) < 303 K, Fowler–Nordheim (FN) tunneling was found to be the dominant leakage mechanism and for higher temperature (T ≥ 303 K), a combination of FN tunneling and Poole-Frenkel (PF) emission was confirmed. The improvement in I-V characteristics of oxygen annealed RIS-Al{sub 2}O{sub 3}/4H-SiC MOS devices is attributed to large effective barrier height (Φ{sub B} = 2.53 eV) at Al{sub 2}O{sub 3}/SiC interface, due to the formation of an interfacial SiO{sub 2} layer during oxygen annealing, as confirmed from X-ray Photoelectron Spectroscopy results. Further improvement in C-V characteristics for oxygen annealed RIS-Al{sub 2}O{sub 3}/4H-SiC MOS devices was observed after forming gas annealing at 400 °C. - Highlights: • O{sub 2} annealed RIS-Al{sub 2}O{sub 3} on 4H-SiC showed better performance than other reported result. • FN, FN + PF tunneling was found in O{sub 2} annealed RIS-Al{sub 2}O{sub 3} for different temp. ranges. • Al

  6. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  7. Influence of substrate temperature and annealing on structural and optical properties of TiO{sub 2} films deposited by reactive e-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pjević, D., E-mail: dejanp@vinca.rs [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Marinković, T.; Savić, J.; Bundaleski, N.; Obradović, M.; Milosavljević, M. [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Kulik, M. [Frank Laboratory of Neutron Physics, JINR, Joliot-Curie St. 6, Dubna 141980, Moscow Region (Russian Federation)

    2015-09-30

    The influence of deposition and post-deposition annealing parameters on the structure and optical properties of TiO{sub 2} thin films synthesized by reactive e-beam evaporation is reported. Pure Ti (99.9%) was evaporated in oxygen atmosphere to form thin films on Si (100) and glass substrates. Depositions were conducted on substrates held at room temperature and at 200–400 °C heated substrates. Post-deposition annealing was done for 3 h at 500 °C in air. Compositional and structural studies were performed by Rutherford backscattering spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy, and optical properties were studied by ultraviolet–visible spectroscopy and analytically by pointwise unconstrained minimization approach method. It was found that both the structure and optical properties of the films are strongly influenced by the deposition and processing parameters. All deposited samples showed good stoichiometry of Ti:O ~ 1:2. Depending on the substrate temperature and oxygen pressure in the chamber during the deposition, anatase–rutile mixed films were obtained, and in some cases TiO and Ti{sub 2}O{sub 3} phases were observed. Substrate deposition temperature appears to play the major role on the final structure of the films, while post-deposition annealing adds up for the lack of oxygen in some cases and invokes crystal grain growth of already initiated phases. The results can be interesting towards the development of TiO{sub 2} thin films with defined structure and optical properties. - Highlights: • TiO{sub 2} films were deposited by reactive e-beam evaporation. • Structure and properties were studied as a function of deposition temperature. • Stoichiometry of as-deposited films was Ti:O ~ 1:2, containing different Ti-O phases. • Post-deposition annealing yielded phase transformation, affecting the properties. • Refractive index increases with the substrate deposition temperature.

  8. Thermal stability of intermediate band behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, J.; Pastor, D.; Martil, I.; Gonzalez-Diaz, G. [Dpto. De Fisica Aplicada III (Electricidad y Electronica), Facultad de Ciencias Fisicas, Universidad Complutense de Madrid, E-28040 Madrid (Spain)

    2010-11-15

    Ti implantation in Si with very high doses has been performed. Subsequent Pulsed Laser Melting (PLM) annealing produces good crystalline lattice with electrical transport properties that are well explained by the Intermediate Band (IB) theory. Thermal stability of this new material is analyzed by means of isochronal annealing in thermodynamic equilibrium conditions at increasing temperature. A progressive deactivation of the IB behavior is shown during thermal annealing, and structural and electrical measurements are reported in order to find out the origin of this result. (author)

  9. Temperature, stress, and annealing effects on the luminescence from electron-irradiated silicon

    Science.gov (United States)

    Jones, C. E.; Johnson, E. S.; Compton, W. D.; Noonan, J. R.; Streetman, B. G.

    1973-01-01

    Low-temperature photoluminescence spectra are presented for Si crystals which have been irradiated with high-energy electrons. Studies of isochronal annealing, stress effects, and the temperature dependences of the luminescence are used to discuss the nature of the luminescent transitions and the properties of defects. Two dominant bands present after room-temperature anneal of irradiated material are discussed, and correlations of the properties of these bands are made with known Si defects. A band between 0.8 and 1.0 eV has properties which are related to those of the divacancy, and a band between 0.6 and 0.8 eV has properties related to those of the Si-G15(K) center. Additional peaks appear in the luminescence after high-temperature anneal; the influence of impurities and the effects of annealing of these lines are discussed.

  10. Annealing induced structural evolution and electrochromic properties of nanostructured tungsten oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ching-Lin [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Lin, Chung-Kwei [School of Dental Technology, Taipei Medical University, Taipei City 110, Taiwan, ROC (China); Wang, Chun-Kai [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Wang, Sheng-Chang [Department of Mechanical Engineering, Southern Taiwan University, Tainan 710, Taiwan, ROC (China); Huang, Jow-Lay, E-mail: JLH888@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 81148, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2013-12-31

    The effect of microstructure on the optical and electrochemical properties of nanostructured tungsten oxide films was evaluated as a function of annealing temperature. The films using block copolymer as the template were prepared from peroxotungstic acid (PTA) by spin-coating onto the substrate and post-annealed at 250–400 °C to form tungsten oxide films with nanostructure. The microstructure of the films was measured by X-ray diffraction and surface electron microscopy. The films annealed at temperatures below 300 °C are characterized by amorphous or nanocrystalline structures with a pore size of less than 10 nm. The evaluated annealing temperature caused a triclinic crystalline structure and microcracks. Cyclic voltammetry measurements were performed in a LiClO{sub 4}-propylene carbonate electrolyte. The results showed that the ion inserted capacity were maximized for films annealed at 300 °C and decreased with the increasing of annealing temperature. The electrochromic properties of the nanostructured tungsten oxide films were evaluated simultaneously by potentiostat and UV–vis spectroscopy. The films annealed at 300 °C exhibit high transmission modulation (∆T ∼ 40%) at λ = 633 nm and good kinetic properties. As a result, the correlation between the microstructure and kinetic properties was established, and the electrochromic properties have been demonstrated. - Highlights: • Surfactant-assisted WO{sub 3} films have been prepared by sol–gel method. • Nanostructure of porous WO{sub 3} film is retained after crystallization. • Kinetic properties of WO{sub 3} can be improved by nanostructure and crystallinity.

  11. Influence of Al concentration and annealing temperature on structural, optical, and electrical properties of Al co-doped ZnO thin films

    International Nuclear Information System (INIS)

    Gürbüz, Osman; Kurt, İsmail; Çalışkan, Serkan; Güner, Sadık

    2015-01-01

    Highlights: • RF magnetron sputtering technique seems to be very efficient method for fabrication of Al doped ZnO (AZO) films. • Long range single crystalline structure improves with annealing process. • Optical properties became much better after annealing process especially for the AZO films that include high Al concentration. • Much greater conductivity with increasing Al concentration and annealing process. • AZO films have potential applicability in spintronic devices. - Abstract: The pure ZnO and Al-doped ZnO (AZO) thin films (thickness: 200 nm) were prepared on both side polished silica (SiO 2 ) substrates via RF magnetron sputtering at room temperature by using 2.5 inches high-purity ZnO (99.9%) and Al (99.9%) targets. The samples were annealed at 300 °C, 400 °C and 500 °C for 45 min in N 2 ambient in quartz annealing furnace system, respectively. We investigated the effects of various Al concentrations and annealing treatment on the structural, electrical, and optical properties of films. The preferred crystallization was observed along c axis (single (0 0 2) diffraction peak) from substrate surface assigning the single crystalline Würtzite lattice for pure ZnO and AZO thin films. Although increasing Al concentration decreases the order of crystallization of as-grown films, annealing process increases the long range crystal order. The crystallite sizes vary between minimum 12.98 nm and maximum 20.79 nm for as-grown and annealed samples. The crystallite sizes decrease with increasing Al concentration but increase with increasing annealing temperature as general trend. The grain size and porosity of films change with annealing treatment. The smaller grains coalesce together to form larger grains for many films. However, a reverse behavior is seen for Al 2.23 ZnO and Al 12.30 ZnO samples. That is, Al concentration plays critical role as well as temperature on grain size. Low percent optical transmittance (T%) is observed due to higher Al

  12. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  13. The effects of thermal annealing on iron bombarded InP/InGaAs multilayer structures

    International Nuclear Information System (INIS)

    Subramaniam, S.C.; Rezazadeh, A.A.

    2006-01-01

    The effects of Fe-ion bombardment at 77 K (cold) and room temperature (RT) into single layer InGaAs, InP and multilayer InP/InGaAs HBT structures have been investigated. Annealing characteristics and RF dissipation loss measurements of Fe-ion bombarded samples at 77 K indicated good electrical isolation in n-, p-type InGaAs materials and InP/InGaAs HBT structures. Thermally stable (up to 250 deg. C) high sheet resistance (R sh ) of ∼5 x 10 6 Ω/sq has been achieved on these samples while higher R sh of ∼10 7 Ω/sq was obtained for the n-InP materials bombarded with similar conditions. Dissipation losses of 1.7 dB/cm at 10 GHz and 2.8 dB/cm at 40 GHz have been measured for the cold Fe-ion bombarded InP-based HBT structures. This result is similar to those obtained for an un-bombarded S.I. InP substrate, indicating good electrical isolation. We have also determined electron trapping levels by thermal annealing for the cold and RT Fe-ion bombarded samples. It is shown that the high resistivity achieved in the cold implanted InGaAs layer is most likely due to the creation of mid-bandgap defect levels (E C - 0.33) eV, which are created only in the cold Fe-ion bombardment. The DC isolation and RF dissipation loss analysis have been used to identify a suitable bombardment scheme for the fabrication of planar InP/InGaAs HBTs

  14. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  15. Characterisation of Ta-based barrier films on SiLK for Cu-metalisation

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Woerlee, P.H.; Wolters, Robertus A.M.

    2002-01-01

    Structures with Ta, TaxN1-x, Ta90C10, Ta95Si5 on SiLK were tested using in-situ 4- point probe resistance measurements during annealing up to 400oC. The change in normalized resistance by a factor of up to 2.58 was attributed to oxygen diffusion out of SiLK layer into the barriers. No direct

  16. Structural and optical properties of {beta}-FeSi{sub 2}/Si(100) prepared by laser ablation method

    Energy Technology Data Exchange (ETDEWEB)

    Kakemoto, H; Makita, Y; Obara, A; Tsai, Y; Sakuragi, S; Ando, S; Tsukamoto, T

    1997-07-01

    {beta}-FeSi{sub 2} is a promising material for the application of various electronic, optoelectronic and energy devices. The authors present here the semiconducting properties of {beta}-FeSi{sub 2} films on Si(100) substrate prepared by laser ablation method. Samples were grown using poly-crystalline bulk {beta}-FeSi{sub 2} prepared by horizontal gradient freeze method. For the monitoring of growth, in-situ observation of ablation plume was made through fluorescence spectroscopy. Reflection of high-energy electron beam diffraction (RHEED) was also made in-situ to see the surface morphology. Characterization of the films by X-ray diffraction presented purely {beta}(220) orientation. Raman scattering measurements at room temperature also indicated that the grown films are semiconducting {beta}-FeSi{sub 2}. Optical absorption spectra at room temperature showed absorption coefficient higher than 10{sup 5} cm{sup {minus}1} above the band-gap ({approximately}1.2 eV). It was revealed that high quality semiconducting {beta}-FeSi{sub 2} films can be fabricated by laser ablation method without post-annealing.

  17. Modulation of the thermoluminescence glow curve of sol-gel synthesized SiO2 and Si O-2:Eu through thermal annealing

    International Nuclear Information System (INIS)

    Salas J, Ch. J.; Cruz V, C.; Bernal, R.; Castano, V. M.

    2015-10-01

    Full text: Due to the increasing use of ionizing radiations, is necessary to monitor the radiation fields and exposure doses in facilities in which they are used. Different facilities or applications involve the use of different radiation and doses, and the sort of needed dosimetry also varies. Sometimes a conventional thermoluminescence (Tl) dosimetry satisfy the requirements since the record of the accumulated dose in a time interval is enough, but other cases could require for real-time measurement of a radiation field, being required a non-Tl dosimetric technique. On the other hand, different applications involve the use of different dose ranges, and so dosimeters with different sensitivities are needed. To solve the diverse needs of radiation detectors and dosimeters, a lot of phosphors materials has been characterized concerning their dosimetric capabilities. For medical application, biocompatible materials are desirable. In this work, we present experimental evidence that Sol-Gel synthesized SiO 2 and SiO 2 :Eu phosphors exhibit Tl glow curves composed by the superposition of several individual glow peaks each located at different temperature ranging from values below 100 up to temperatures greater than 400 degrees C, whose relative sensitivities can be modified by subjecting the phosphors to different thermal annealing. By modulating the relative intensities of the individual Tl peaks, glow curves with different shapes are obtained in such a way that SiO 2 and SiO 2 :Eu can be used to develop dosimeters useful for different dose ranges, and for both, conventional thermoluminescence dosimetry and non-thermoluminescence afterglow-based) dosimetry. (Author)

  18. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  19. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  20. Large magnetic entropy change in melt-spun LaFe11.5Si1.5 ribbons

    International Nuclear Information System (INIS)

    Xie Kun; Song Xiaoping; Zhu Yaoming; Lv Weipeng; Sun Zhanbo

    2004-01-01

    The microstructure transformation and the magnetic entropy change of LaFe 11.5 Si 1.5 melt-spun ribbons were investigated. The melt-spun ribbons show a homogeneous distribution of elements, and the homogeneity develops further after 5 h annealing. XRD results show that the ribbons are composed of NaZn 13 -type LaFe 11.5 Si 1.5 compounds and an α-Fe phase. After annealing, the ribbons crystallize well in the NaZn 13 -type structure and exhibit a very large magnetic entropy change. A first-order magnetic transition is observed in the annealed ribbons, and this is believed to be the origin of the large magnetic entropy. These results suggest that NaZn 13 -type LaFe 11.5 Si 1.5 compounds with a large magnetic entropy change can be produced by melt-spinning, the annealing time can be shortened significantly and the production cost of the magnetic refrigerant will be cut down accordingly

  1. Effect of germanium doping on the annealing characteristics of oxygen and carbon-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Londos, C. A.; Andrianakis, A.; Sgourou, E. N.; Emtsev, V.; Ohyama, H.

    2010-01-01

    This paper is devoted to the annealing studies of defects produced in carbon-rich Ge-doped Czochralski-grown Si (Cz-Si) by 2 MeV electron irradiation. The annealing temperature of vacancy-oxygen (VO) complexes, carbon interstitial-oxygen interstitial (C i O i ), and carbon interstitial-carbon substitutional (C i C s ) pairs as well as the formation temperature of vacancy-two oxygen (VO 2 ) complexes are monitored as a function of Ge concentration. It has been established that the annealing of C i O i and C i C s defects remains practically unaffected by the Ge presence, whereas the annealing temperature of VO defects and the formation temperature of VO 2 complexes are substantially lowered at Ge concentrations larger than 1x10 19 cm -3 . The hydrostatic component of elastic strains introduced by Ge atoms in the Si crystal lattice was calculated. It appears to be very small, at least insufficient to exert a pronounced effect upon the annealing behavior of radiation-produced defects. This conclusion is in line with what is observed for the C i O i and C i C s species. In the case of VO, whose annealing process in Cz-Si is concurrently conducted by two reaction paths VO+O i →VO 2 and VO+Si I →O i , we suggest that the latter reaction in Ge-doped Cz-Si is enhanced by emitting self-interstitials (Si I ) from loosely bound self-interstitial clusters predominantly formed around Ge impurity atoms. As a result, the liberation of self-interstitials at lower annealing temperatures leads to an enhanced annealing of VO defects. An enhanced formation of VO 2 complexes at lower temperatures is also discussed in terms of other reactions running in parallel with the reaction VO+Si I →O i .

  2. Improved soft magnetic properties in nanocrystalline FeCuNbSiB Nanophy{sup ®} cores by intense magnetic field annealing

    Energy Technology Data Exchange (ETDEWEB)

    Madugundo, Rajasekhar; Geoffroy, Olivier [Univ. Grenoble Alpes, Inst NEEL, F-38000 Grenoble (France); CNRS, Inst NEEL, F-38000 Grenoble (France); Grenoble Electrical Engineering Laboratory (G2Elab), Bâtiment GreEn-ER, 21 avenue des martyrs, 38031 Grenoble (France); Waeckerle, Thierry [Aperam Research Center, 58160 Imphy (France); Frincu, Bianca; Kodjikian, Stéphanie [Univ. Grenoble Alpes, Inst NEEL, F-38000 Grenoble (France); CNRS, Inst NEEL, F-38000 Grenoble (France); Rivoirard, Sophie, E-mail: sophie.rivoirard@neel.cnrs.fr [Univ. Grenoble Alpes, Inst NEEL, F-38000 Grenoble (France); CNRS, Inst NEEL, F-38000 Grenoble (France)

    2017-01-15

    The effect of high external magnetic field (up to 7 T) on soft magnetic properties in nanocrystalline Fe{sub 74.1}Si{sub 15.7}Nb{sub 3.1}B{sub 6.1}Cu{sub 1} Nanophy{sup ®} cores has been investigated. The as-quenched amorphous ribbons were nanocrystallized by annealing between 540 and 620 °C in transverse magnetic field. By varying annealing field from 0 to 7 T, induced anisotropy ranging from as low as 4 J/m{sup 3} to as high as 41 J/m{sup 3} is obtained. It is responsible for an increase in the cut-off frequency up to 300 kHz when the material is submitted to dynamic magnetic excitations. A minimum coercivity of 0.74 A/m is observed in the core annealed in 1 T associated to low losses. The relative permeability decreases on increasing the annealing field intensity with a minimum value of 13,654 at 7 T. Such permeability level opens the way to new applications of the Nanophy{sup ®} alloys. - Highlights: • Effect of magnetic field (0–7 T) in nanocrystalline Nanophy{sup ®} cores was investigated. • Amorphous ribbons were annealing between 540 and 620 °C in transverse magnetic field. • Induced anisotropy ranging from 4 to 41 J/m{sup 3} was obtained by annealing in field 0−7 T. • Permeability ranging between 135,122 and 13,654 was obtained. • A minimum coercivity of 0.74 A/m was observed.

  3. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  4. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  5. Improvement of magnetocaloric properties of Gd-Ge-Si alloys by alloying with iron

    Directory of Open Access Journals (Sweden)

    Erenc-Sędziak T.

    2013-01-01

    Full Text Available The influence of annealing of Gd5Ge2Si2Fex alloys at 1200°C and of alloying with various amount of iron on structure as well as thermal and magnetocaloric properties is investigated. It was found that annealing for 1 to 10 hours improves the entropy change, but reduces the temperature of maximum magnetocaloric effect by up to 50 K. Prolonged annealing of the Gd5Ge2Si2 alloy results in the decrease of entropy change due to the reduction of Gd5Ge2Si2 phase content. Addition of iron to the ternary alloy enhances the magnetocaloric effect, if x = 0.4 – 0.6, especially if alloying is combined with annealing at 1200°C: the peak value of the isothermal entropy change from 0 to 2 T increases from 3.5 to 11 J/kgK. Simultaneously, the temperature of maximum magnetocaloric effect drops to 250 K. The changes in magnetocaloric properties are related to the change in phase transformation from the second order for arc molten ternary alloy to first order in the case of annealed and/or alloyed with iron. The results of this study indicate that the minor addition of iron and heat treatment to Gd-Ge-Si alloys may be useful in improving the materials’ magnetocaloric properties..

  6. Annealing temperature dependence of the structures and properties of Co-implanted ZnO films

    International Nuclear Information System (INIS)

    Chen, Bin; Tang, Kun; Gu, Shulin; Ye, Jiandong; Huang, Shimin; Gu, Ran; Zhang, Yang; Yao, Zhengrong; Zhu, Shunming; Zheng, Youdou

    2014-01-01

    Highlights: • To avoid the forming of Co clusters and explore the origin of the magnetism, detailed investigation on the properties of the Co-implanted ZnO films with a rather low dose of 8 × 10 15 cm −2 and high implantation energy of 1 MeV were carried out. • The crystalline structure of the damaged region caused by ion-implantation has been recovered via the thermal annealing treatment at the temperature of 900 °C and above. • The low temperature magnetic hysteresis loops have indicated paramagnetism for the annealed films with weak ferromagnetic characteristics. • The zero-field cooling (ZFC) magnetization curves of the Co-implanted ZnO samples have varied from concave shape to convex one as the annealing temperature increased from 750 °C to 1000 °C. - Abstract: The effects of thermal annealing treatment on the structural, electrical, optical and magnetic properties of Co-implanted ZnO (0 0 0 1) films have been investigated in detail. The crystalline structure of the damaged region caused by ion implantation has been recovered via the thermal annealing at the temperature of 900 °C and above, and no Co clusters or its related oxide phases have been observed. The electrical and optical properties of the annealed films have shown strong dependence on the annealing temperature. The zero field cooling magnetization curves of the annealed films have varied from concave shape to convex one as the annealing temperature increased from 750 °C to 1000 °C, which are possibly tuned by the changes of the ratio of the itinerant carriers over the localized spin density. The low temperature magnetic hysteresis loops have indicated paramagnetic behavior for the annealed films with weak ferromagnetic characteristics. The ferromagnetism is attributed to the substituted Co 2+ ions and vacancy defects, while the paramagnetism could be induced by ionized interstitial Zn defects

  7. Investigation of the {Fe}/{Si} interface and its phase transformations

    Science.gov (United States)

    Fanciulli, M.; Degroote, S.; Weyer, G.; Langouche, G.

    1997-04-01

    Thin 57Fe films (3-10 Å) have been grown by molecular beam epitaxy (MBE) on (7 × 7) reconstructed Si(111) and (2 × 1) reconstructed Si(001) surfaces and by e-gun evaporation on an H-terminated Si(111) surface. Conversion electron Mössbauer spectroscopy (CEMS) with high statistical accuracy and resolution allowed a detailed microscopic investigation of the silicide formation mechanism and of the structural phase transformations upon annealing.

  8. Fusion bonding of Si wafers investigated by x ray diffraction

    DEFF Research Database (Denmark)

    Weichel, Steen; Grey, Francois; Rasmussen, Kurt

    2000-01-01

    The interface structure of bonded Si(001) wafers with twist angle 6.5 degrees is studied as a function of annealing temperature. An ordered structure is observed in x-ray diffraction by monitoring a satellite reflection due to the periodic modulation near the interface, which results from...

  9. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  10. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  11. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  12. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  13. Phase and structural transformations in annealed copper coatings in relation to oxide whisker growth

    Energy Technology Data Exchange (ETDEWEB)

    Dorogov, M.V.; Priezzheva, A.N. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Vlassov, S., E-mail: vlassovs@ut.ee [Institute of Solid State Physics, University of Latvia, Kengaraga 8, LV-1063 Riga (Latvia); Kink, I.; Shulga, E. [Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); Dorogin, L.M. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); ITMO University, Kronverkskiy 49, 197101 Saint Petersburg (Russian Federation); Lõhmus, R. [Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); Tyurkov, M.N.; Vikarchuk, A.A. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Romanov, A.E. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); ITMO University, Kronverkskiy 49, 197101 Saint Petersburg (Russian Federation); Ioffe Physical Technical Institute, RAS, Polytechnicheskaya 26, 194021 Saint Petersburg (Russian Federation)

    2015-08-15

    Highlights: • Coatings prepared by Cu microparticle electrodeposition. • Structural and phase transformation in Cu coatings annealed at 400 °C. • Annealing is accompanied by intensive growth of CuO whiskers. • Layered oxide phases (Cu{sub 2}O and CuO) in the coating are characterized. • Formation of volumetric defects in the coating is demonstrated. - Abstract: We describe structural and phase transformation in copper coatings made of microparticles during heating and annealing in air in the temperature range up to 400 °C. Such thermal treatment is accompanied by intensive CuO nanowhisker growth on the coating surface and the formation of the layered oxide phases (Cu{sub 2}O and CuO) in the coating interior. X-ray diffraction and focused ion beam (FIB) are employed to characterize the multilayer structure of annealed copper coatings. Formation of volumetric defects such as voids and cracks in the coating is demonstrated.

  14. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  15. Fabrication of poly-crystalline Si-based Mie resonators via amorphous Si on SiO2 dewetting.

    Science.gov (United States)

    Naffouti, Meher; David, Thomas; Benkouider, Abdelmalek; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Bidault, Sebastien; Bonod, Nicolas; Abbarchi, Marco

    2016-02-07

    We report the fabrication of Si-based dielectric Mie resonators via a low cost process based on solid-state dewetting of ultra-thin amorphous Si on SiO2. We investigate the dewetting dynamics of a few nanometer sized layers annealed at high temperature to form submicrometric Si-particles. Morphological and structural characterization reveal the polycrystalline nature of the semiconductor matrix as well as rather irregular morphologies of the dewetted islands. Optical dark field imaging and spectroscopy measurements of the single islands reveal pronounced resonant scattering at visible frequencies. The linewidth of the low-order modes can be ∼20 nm in full width at half maximum, leading to a quality factor Q exceeding 25. These values reach the state-of-the-art ones obtained for monocrystalline Mie resonators. The simplicity of the dewetting process and its cost-effectiveness opens the route to exploiting it over large scales for applications in silicon-based photonics.

  16. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  17. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  18. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  19. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  20. Electron trap annealing in neutron transmutation doped silicon

    DEFF Research Database (Denmark)

    Guldberg, J.

    1977-01-01

    Silicon doped by neutron transmutation to 1.2×1014 phosphorus atoms/cm3 was investigated with deep level transient spectroscopy using evaporated Au/n-Si diodes. Seven bulk electron traps were identified which appear after 30 min N2 anneal at temperatures between 425 and 725 °C. Five of these anne......Silicon doped by neutron transmutation to 1.2×1014 phosphorus atoms/cm3 was investigated with deep level transient spectroscopy using evaporated Au/n-Si diodes. Seven bulk electron traps were identified which appear after 30 min N2 anneal at temperatures between 425 and 725 °C. Five...

  1. Influence of annealing temperature on the structural, optical and mechanical properties of ALD-derived ZnO thin films

    International Nuclear Information System (INIS)

    Yen, C.-Y.; Jian, S.-R.; Chen, G.-J.; Lin, C.-M.; Lee, H.-Y.; Ke, W.-C.; Liao, Y.-Y.; Yang, P.-F.; Wang, C.-T.; Lai, Y.-S.; Jang, Jason S.-C.; Juang, J.-Y.

    2011-01-01

    ZnO thin films grown on Si(1 1 1) substrates by using atomic layer deposition (ALD) were annealed at the temperatures ranging from 300 to 500 deg. C. The X-ray diffraction (XRD) results show that the annealed ZnO thin films are highly (0 0 2)-oriented, indicating a well ordered microstructure. The film surface examined by the atomic force microscopy (AFM), however, indicated that the roughness increases with increasing annealing temperature. The photoluminescence (PL) spectrum showed that the intensity of UV emission was strongest for films annealed at 500 deg. C. The mechanical properties of the resultant ZnO thin films investigated by nanoindentation reveal that the hardness decreases from 9.2 GPa to 7.2 GPa for films annealed at 300 deg. C and 500 deg. C, respectively. On the other hand, the Young's modulus for the former is 168.6 GPa as compared to a value of 139.5 GPa for the latter. Moreover, the relationship between the hardness and film grain size appear to follow closely with the Hall-Petch equation.

  2. Advanced Optoelectronic Devices based on Si Quantum Dots/Si Nanowires Hetero-structures

    International Nuclear Information System (INIS)

    Xu, J; Zhai, Y Y; Cao, Y Q; Chen, K J

    2017-01-01

    Si quantum dots are currently extensively studied since they can be used to develop many kinds of optoelectronic devices. In this report, we review the fabrication of Si quantum dots (Si QD) /Si nanowires (Si NWs) hetero-structures by deposition of Si QDs/SiO 2 or Si QDs/SiC multilayers on Si NWs arrays. The electroluminescence and photovoltaic devices based on the formed hetero-structures have been prepared and the improved performance is confirmed. It is also found that the surface recombination via the surface defects states on the Si NWs, especially the ones obtained by the long-time etching, may deteriorate the device properties though they exhibit the better anti-reflection characteristics. The possible surface passivation approaches are briefly discussed. (paper)

  3. Mechanical properties and microstructural characterization of amorphous SiC.sub.x./sub.N.sub.y./sub. thin films after annealing beyond 1100°C

    Czech Academy of Sciences Publication Activity Database

    Čtvrtlík, R.; Kulikovsky, V.; Vorlíček, Vladimír; Tomaštík, J.; Drahokoupil, Jan; Jastrabík, L.

    2016-01-01

    Roč. 99, č. 3 (2016), 996-1005 ISSN 0002-7820 Institutional support: RVO:68378271 Keywords : SiC x N y thin films * reactive DC magnetron sputtering * annealing * XRD and Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.841, year: 2016

  4. Thermal annealing of carbon nanotubes reveals a toxicological impact of the structural defects

    Energy Technology Data Exchange (ETDEWEB)

    Figarol, Agathe, E-mail: figarol@emse.fr [Ecole Nationale Supérieure des Mines, SPIN-EMSE, CNRS: UMR 5307, LGF (France); Pourchez, Jérémie, E-mail: pourchez@emse.fr [Ecole Nationale Supérieure des Mines, CIS-EMSE, EA 4624, SFR IFRESIS, LINA (France); Boudard, Delphine [Université Jean Monnet Saint-Etienne, EA 4624, SFR IFRESIS, LINA (France); Forest, Valérie [Ecole Nationale Supérieure des Mines, CIS-EMSE, EA 4624, SFR IFRESIS, LINA (France); Berhanu, Sarah [Armines - Mines ParisTech, Centre des Matériaux, CNRS UMR 7633 (France); Tulliani, Jean-Marc [Politecnico di Torino, Department of Applied Science and Technology (Italy); Lecompte, Jean-Pierre [Centre Européen de la céramique CNRS: UMR 7315, SPCTS (France); Cottier, Michèle [Université Jean Monnet Saint-Etienne, EA 4624, SFR IFRESIS, LINA (France); Bernache-Assollant, Didier [Ecole Nationale Supérieure des Mines, CIS-EMSE, EA 4624, SFR IFRESIS, LINA (France); Grosseau, Philippe [Ecole Nationale Supérieure des Mines, SPIN-EMSE, CNRS: UMR 5307, LGF (France)

    2015-04-15

    The biological response to pristine and annealed multi-walled carbon nanotubes (MWCNT) was assessed on murine macrophages (RAW 264.7). First, the physicochemical features of the as-produced MWCNT and annealed at 2125 °C for 1 h were fully characterized. A decrease in structural defects, hydrophobicity and catalytic impurities was detected after annealing. Thereafter, their impact on cytotoxicity, oxidative stress, and pro-inflammatory response was investigated at concentrations ranging from 15 to 120 µg mL{sup −1}. No effect of the 2125 °C treatment was detected on the cytotoxicity. In contrast, the annealed carbon nanotubes showed a significant increase of the pro-inflammatory response. We assumed that this behavior was due to the reduction in structural defects that may modify the layer of adsorbed biomolecules. Surprisingly, the purification of metallic catalysts did not have any significant impact on the oxidative stress. We suggested that the structural improvements from the 2125 °C treatment can decrease the carbon nanotube scavenging capacity and thus allow a higher free radical release which may counterbalance the decrease of oxidative stress due to a lower content of metallic impurities.

  5. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  6. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  7. Photoelectric Properties of Si Doping Superlattice Structure on 6H-SiC(0001).

    Science.gov (United States)

    Li, Lianbi; Zang, Yuan; Hu, Jichao; Lin, Shenghuang; Chen, Zhiming

    2017-05-25

    The energy-band structure and visible photoelectric properties of a p/n-Si doping superlattice structure (DSL) on 6H-SiC were simulated by Silvaco-TCAD. The,n the Si-DSL structures with 40 nm-p-Si/50 nm-n-Si multilayers were successfully prepared on 6H-SiC(0001) Si-face by chemical vapor deposition. TEM characterizations of the p/n-Si DSL confirmed the epitaxial growth of the Si films with preferred orientation and the misfit dislocations with a Burgers vector of 1/3 at the p-Si/n-Si interface. The device had an obvious rectifying behavior, and the turn-on voltage was about 1.2 V. Under the visible illumination of 0.6 W/cm², the device demonstrated a significant photoelectric response with a photocurrent density of 2.1 mA/cm². Visible light operation of the Si-DSL/6H-SiC heterostructure was realized for the first time.

  8. Photoelectric Properties of Si Doping Superlattice Structure on 6H-SiC(0001

    Directory of Open Access Journals (Sweden)

    Lianbi Li

    2017-05-01

    Full Text Available The energy-band structure and visible photoelectric properties of a p/n-Si doping superlattice structure (DSL on 6H-SiC were simulated by Silvaco-TCAD. The,n the Si-DSL structures with 40 nm-p-Si/50 nm-n-Si multilayers were successfully prepared on 6H-SiC(0001 Si-face by chemical vapor deposition. TEM characterizations of the p/n-Si DSL confirmed the epitaxial growth of the Si films with preferred orientation and the misfit dislocations with a Burgers vector of 1/3 <21-1> at the p-Si/n-Si interface. The device had an obvious rectifying behavior, and the turn-on voltage was about 1.2 V. Under the visible illumination of 0.6 W/cm2, the device demonstrated a significant photoelectric response with a photocurrent density of 2.1 mA/cm2. Visible light operation of the Si-DSL/6H-SiC heterostructure was realized for the first time.

  9. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  10. Modulation of the thermoluminescence glow curve of sol-gel synthesized SiO{sub 2} and Si O-2:Eu through thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Salas J, Ch. J.; Cruz V, C. [Universidad de Sonora, Departamento de Investigacion en Polimeros y Materiales, Apdo. Postal 130, 83000 Hermosillo, Sonora (Mexico); Bernal, R. [Universidad de Sonora, Departamento de Investigacion en Fisica, Apdo. Postal 5-088, 83190 Hermosillo, Sonora (Mexico); Castano, V. M., E-mail: salasjuarez@gimmunison.com [UNAM, Centro de Fisica Aplicada y Tecnologia Avanzada, Apdo. Postal 1-1010, 76000 Queretaro, Qro. (Mexico)

    2015-10-15

    Full text: Due to the increasing use of ionizing radiations, is necessary to monitor the radiation fields and exposure doses in facilities in which they are used. Different facilities or applications involve the use of different radiation and doses, and the sort of needed dosimetry also varies. Sometimes a conventional thermoluminescence (Tl) dosimetry satisfy the requirements since the record of the accumulated dose in a time interval is enough, but other cases could require for real-time measurement of a radiation field, being required a non-Tl dosimetric technique. On the other hand, different applications involve the use of different dose ranges, and so dosimeters with different sensitivities are needed. To solve the diverse needs of radiation detectors and dosimeters, a lot of phosphors materials has been characterized concerning their dosimetric capabilities. For medical application, biocompatible materials are desirable. In this work, we present experimental evidence that Sol-Gel synthesized SiO{sub 2} and SiO{sub 2}:Eu phosphors exhibit Tl glow curves composed by the superposition of several individual glow peaks each located at different temperature ranging from values below 100 up to temperatures greater than 400 degrees C, whose relative sensitivities can be modified by subjecting the phosphors to different thermal annealing. By modulating the relative intensities of the individual Tl peaks, glow curves with different shapes are obtained in such a way that SiO{sub 2} and SiO{sub 2}:Eu can be used to develop dosimeters useful for different dose ranges, and for both, conventional thermoluminescence dosimetry and non-thermoluminescence afterglow-based) dosimetry. (Author)

  11. High-temperature laser annealing for thin film polycrystalline silicon solar cell on glass substrate

    Science.gov (United States)

    Chowdhury, A.; Schneider, J.; Dore, J.; Mermet, F.; Slaoui, A.

    2012-06-01

    Thin film polycrystalline silicon films grown on glass substrate were irradiated with an infrared continuous wave laser for defects annealing and/or dopants activation. The samples were uniformly scanned using an attachment with the laser system. Substrate temperature, scan speed and laser power were varied to find suitable laser annealing conditions. The Raman spectroscopy and Suns- V oc analysis were carried out to qualify the films quality after laser annealing. A maximum enhancement of the open circuit voltage V oc of about 100 mV is obtained after laser annealing of as-grown polysilicon structures. A strong correlation was found between the full width half maximum of the Si crystalline peak and V oc. It is interpreted as due to defects annealing as well as to dopants activation in the absorbing silicon layer. The maximum V oc reached is 485 mV after laser treatment and plasma hydrogenation, thanks to defects passivation.

  12. Phase composition of iron-rich R-Fe-Si (R=Dy, Ho, Er) alloys

    International Nuclear Information System (INIS)

    Ivanova, G.V.; Makarova, G.M.; Shcherbakova, E.V.; Belozerov, E.V.

    2005-01-01

    Phase composition is studied in iron-rich alloys of R-Fe-Si (R=Dy, Ho, Er). In the as-cast state R 2 (Fe, Si) 17 of type Th 2 Ni 17 and R(Fe, Si) 12 compounds are observed; in the alloys of rated composition of R(Fe 0.85 Si 0.15 ) 8.5 (R=Dy, Er) a compound R 2 (Fe, Si) 17 of Th 2 Zn 17 -type is revealed as well. The annealing at 1273 K results in formation of Dy 3 (Fe, Si) 29 and also the compounds with the presumed composition of Dy 4 (Fe, Si) 41 and Ho 4 (Fe, Si) 41 . As this takes place the alloys contain a transition structure as well that represents a set of small-sized areas with various type short-range order in mutual displacement of Fe-Fe(Si) dumpbell chains. The process of phase formation at 1273 K is faced with difficulties. Even the annealing for 1000 h does not result in the state of equilibrium [ru

  13. Thermal treatment induced modification of structural, surface and bulk magnetic properties of Fe61.5Co5Ni8Si13.5B9Nb3 metallic glass

    Science.gov (United States)

    Shah, M.; Satalkar, M.; Kane, S. N.; Ghodke, N. L.; Sinha, A. K.; Varga, L. K.; Teixeira, J. M.; Araujo, J. P.

    2018-05-01

    Effect of thermal annealing induced modification of structural, surface and bulk magnetic properties of Fe61.5Co5Ni8Si13.5B9Nb3 alloy is presented. The changes in properties were observed using synchrotron x-ray diffraction technique (SXRD), atomic force microscopy (AFM), magneto-optical kerr effect (MOKE) and bulk magnetic measurements. Significant variations on the both side of surface occur for the annealing temperature upto 500 °C promotes the surface crystallization. Surface roughness appears due to presence of nanocrystallization plays an important role in determining magnetic properties. Observed lower value of bulk coercivity Hc of 6.2 A/m annealed temperature at 450 °C/1 h ascribed to reduction of disorder as compared to the surface (both shiny and wheel side observed by MOKE measurement) whereas improvement of bulk saturation magnetization with annealing temperature indicates first near neighbor shell of Fe atoms are surrounded by Fe atoms. Evolution of coercivity of surface and bulk with annealing temperature has been presented in conjunction with the structural observations.

  14. Electrical and structural properties of surfaces and interfaces in Ti/Al/Ni Ohmic contacts to p-type implanted 4H-SiC

    Science.gov (United States)

    Vivona, M.; Greco, G.; Bongiorno, C.; Lo Nigro, R.; Scalese, S.; Roccaforte, F.

    2017-10-01

    In this work, the electrical and structural properties of Ti/Al/Ni Ohmic contacts to p-type implanted silicon carbide (4H-SiC) were studied employing different techniques. With increasing the annealing temperature, an improvement of the electrical properties of the contacts is highlighted, until an Ohmic behavior is obtained at 950 °C, with a specific contact resistance ρc = 2.3 × 10-4 Ω cm2. A considerable intermixing of the metal layers occurred upon annealing, as a consequence of the formation of different phases, both in the uppermost part of the stack (mainly Al3Ni2) and at the interface with SiC, where the formation of preferentially aligned TiC is observed. The formation of an Ohmic contact was associated with the occurrence of the reaction and the disorder at the interface, where the current transport is dominated by the thermionic field emission mechanism with a barrier height of 0.56 eV.

  15. Si quantum dot structures and their applications

    Science.gov (United States)

    Shcherbyna, L.; Torchynska, T.

    2013-06-01

    This paper presents briefly the history of emission study in Si quantum dots (QDs) in the last two decades. Stable light emission of Si QDs and NCs was observed in the spectral ranges: blue, green, orange, red and infrared. These PL bands were attributed to the exciton recombination in Si QDs, to the carrier recombination through defects inside of Si NCs or via oxide related defects at the Si/SiOx interface. The analysis of recombination transitions and the different ways of the emission stimulation in Si QD structures, related to the element variation for the passivation of surface dangling bonds, as well as the plasmon induced emission and rare earth impurity activation, have been presented. The different applications of Si QD structures in quantum electronics, such as: Si QD light emitting diodes, Si QD single union and tandem solar cells, Si QD memory structures, Si QD based one electron devices and double QD structures for spintronics, have been discussed as well. Note the significant worldwide interest directed toward the silicon-based light emission for integrated optoelectronics is related to the complementary metal-oxide semiconductor compatibility and the possibility to be monolithically integrated with very large scale integrated (VLSI) circuits. The different features of poly-, micro- and nanocrystalline silicon for solar cells, that is a mixture of both amorphous and crystalline phases, such as the silicon NCs or QDs embedded in a α-Si:H matrix, as well as the thin film 2-cell or 3-cell tandem solar cells based on Si QD structures have been discussed as well. Silicon NC based structures for non-volatile memory purposes, the recent studies of Si QD base single electron devices and the single electron occupation of QDs as an important component to the measurement and manipulation of spins in quantum information processing have been analyzed as well.

  16. Chemical effect of Si+ ions on the implantation-induced defects in ZnO studied by a slow positron beam

    Science.gov (United States)

    Jiang, M.; Wang, D. D.; Chen, Z. Q.; Kimura, S.; Yamashita, Y.; Mori, A.; Uedono, A.

    2013-01-01

    Undoped ZnO single crystals were implanted with 300 keV Si+ ions to a dose of 6 × 1016 cm-2. A combination of X-ray diffraction (XRD), positron annihilation, Raman scattering, high resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) was used to study the microstructure evolution after implantation and subsequent annealing. A very large increase of Doppler broadening S parameters in Si+-implanted region was detected by using a slow positron beam, indicating that vacancy clusters or microvoids are induced by implantation. The S parameters increase further after annealing up to 700 °C, suggesting agglomeration of these vacancies or microvoids to larger size. Most of these defects are removed after annealing up to 1100 °C. The other measurements such as XRD, Raman scattering, and PL all indicate severe damage and even disordered structure induced by Si+ implantation. The damage and disordered lattice shows recovery after annealing above 700 °C. Amorphous regions are observed by HRTEM measurement, directly testifies that amorphous phase is induced by Si+ implantation in ZnO. Analysis of the S - W correlation and the coincidence Doppler broadening spectra gives direct evidence of SiO2 precipitates in the sample annealed at 700 °C, which strongly supports the chemical effect of Si ions on the amorphization of ZnO lattice.

  17. Doping effects on structural and magnetic properties of Heusler alloys Fe2Cr1-xCoxSi

    Science.gov (United States)

    Liu, Yifan; Ren, Lizhu; Zheng, Yuhong; He, Shikun; Liu, Yang; Yang, Ping; Yang, Hyunsoo; Teo, Kie Leong

    2018-05-01

    In this work, 30nm Fe2Cr1-xCoxSi (FCCS) magnetic films were deposited on Cr buffered MgO (100) substrates by sputtering. Fe2Cr0.5Co0.5Si exhibits the largest magnetization and optimal ordered L21 cubic structure at in-situ annealing temperature (Tia) of 450°C. The Co composition dependence of crystalline structures, surface morphology, defects, lattice distortions and their correlation with the magnetic properties are analyzed in detail. The Co-doped samples show in-plane M-H loops with magnetic squareness ratio of 1 and increasing anisotropy energy density with Co composition. Appropriate Co doping composition promotes L21 phase but higher Co composition converts L21 to B2 phase. Doping effect and lattice mismatch both are proved to increase the defect density. In addition, distortions of the FCCS lattice are found to be approximately linear with Co composition. The largest lattice distortion (c/a) is 0.969 for Fe2Cr0.25Co0.75Si and the smallest is 0.983 for Fe2CrSi. Our analyses suggest that these tetragonal distortions mainly induced by an elastic stress from Cr buffer account for the large in-plane anisotropy energy. This work paves the way for further tailoring the magnetic and structural properties of quaternary Heusler alloys.

  18. Effect of Thermal Annealing on Light-Induced Minority Carrier Lifetime Enhancement in Boron-Doped Czochralski Silicon

    International Nuclear Information System (INIS)

    Wang Hong-Zhe; Zheng Song-Sheng; Chen Chao

    2015-01-01

    The effect of thermal annealing on the light-induced effective minority carrier lifetime enhancement (LIE) phenomenon is investigated on the p-type Czochralski silicon (Cz-Si) wafer passivated by a phosphorus-doped silicon nitride (P-doped SiN_x) thin film. The experimental results show that low temperature annealing (below 300°C) can not only increase the effective minority carrier lifetime of P-doped SiN_x passivated boron-doped Cz-Si, but also improve the LIE phenomenon. The optimum annealing temperature is 180°C, and its corresponding effective minority carrier lifetime can be increased from initial 7.5 μs to maximum 57.7 μs by light soaking within 15 min after annealing. The analysis results of high-frequency dark capacitance-voltage characteristics reveal that the mechanism of the increase of effective minority carrier lifetime after low temperature annealing is due to the sharp enhancement of field effect passivation induced by the negative fixed charge density, while the mechanism of the LIE phenomenon after low temperature annealing is attributed to the enhancement of both field effect passivation and chemical passivation. (paper)

  19. Structural and magnetic study of mechanically deformed Fe rich FeAlSi ternary alloys

    International Nuclear Information System (INIS)

    Legarra, E.; Apiñaniz, E.; Plazaola, F.

    2012-01-01

    Highlights: ► Addition of Si to binary Fe–Al alloys makes the disordering more difficult. ► Si addition opposes the large volume increase found in FeAl alloys with deformation. ► Disordering induces a redistribution of non-ferrous atoms around Fe atoms in Fe 75 Al 25−x Si x and Fe 70 Al 30−x Si x . ► Addition of Si to binary Fe 75 Al 25 and Fe 70 Al 30 alloys opposes the magnetic behavior induced by Al in the magnetism of Fe. ► Si inhibits the para-ferro transition found in Fe 60 Al 40 alloy with disordering. - Abstract: In this work we study systematically the influence of different Al/Si ratios on the magnetic and structural properties of mechanically disordered powder Fe 75 Al 25−x Si x , Fe 70 Al 30−x Si x and Fe 60 Al 40−x Si x alloys by means of Mössbauer spectroscopy, X-ray diffraction and magnetic measurements. In order to obtain different stages of disorder the alloys were deformed by different methods: crushing induction melted alloys and ball milling annealed (ordered) alloys using different number of balls and speed. X-ray and Mössbauer data show that mechanical deformation induces the disordered A2 structure in these alloys. The results indicate that addition of Si to binary Fe–Al alloys makes the disordering more difficult. In addition, X-ray diffraction patterns show that the normalized lattice parameter variation of the disordered alloys of each composition decreases monotonically with Si content, indicating clearly that Si addition opposes the large volume increase found in FeAl alloys with deformation. The study of the hyperfine fields indicates that there is a redistribution of non-ferrous atoms around Fe atoms with the disordering; indeed, there is an inversion of the behavior of the hyperfine field of the Fe atoms. On the other hand, the magnetic measurements indicate that addition of Si to binary Fe 75 Al 25 and Fe 70 Al 30 alloys opposes the magnetic behavior induced by Al in the magnetism of Fe.

  20. Improved luminescence properties of nanocrystalline silicon based electroluminescent device by annealing

    International Nuclear Information System (INIS)

    Sato, Keisuke; Hirakuri, Kenji

    2006-01-01

    We report an annealing effect on electrical and luminescence properties of a red electroluminescent device consisting of nanocrystalline silicon (nc-Si). The red luminescence was generated by flowing the forward current into the device at a low threshold direct current (DC) forward voltage with a rise of annealing temperature up to 500 deg. C. Moreover, the luminescence of the device annealed at 500 deg. C was more intense than that of the device annealed at 200 deg. C or less under the same forward current density, because of the injection of a large quantity of carriers to the radiative recombination centers at the nc-Si surface vicinity. These were attained by a low resistivity of indium tin oxide (ITO) electrode and good contact at the ITO electrode/luminous layer interface region by the annealing treatment. The above results indicated that the annealing treatment of the device is effective for the realization of high luminance due to the improvement in the injection efficiency of carriers to the radiative recombination centers

  1. Effect of deformation route and intermediate annealing on magnetic anisotropy and magnetic properties of a 1 wt% Si non-oriented electrical steel

    International Nuclear Information System (INIS)

    Sonboli, Ali; Toroghinejad, Mohammad Reza; Edris, Hossein; Szpunar, Jerzy A.

    2015-01-01

    In the present work the influence of intermediate annealing and the strain path during a two-stage cold rolling on the microstructure and texture of a 1 wt% Si non-oriented electrical steel was investigated. Different processing conditions were tasted to develop favorable texture and better understand the relation between texture and important magnetic properties. The texture parameter (TP) was defined as “theta fiber/gamma fiber” ratio. The results showed that the samples with the highest TP have the lowest magnetic anisotropy. Also average magnetocrystalline energy was calculated and it was demonstrated that the lowest energy can be correlated with the highest “theta fiber/gamma fiber” ratio. Regardless of the condition of intermediate annealing process, the uni-directional rolling produced very similar texture parameter (~2). However, the cross rolled samples have very different texture parameters upon intermediate annealing. The cross rolled samples after intermediate annealing at 650 °C have the highest texture parameter (~3). The proposed thermo-mechanical processing allow diminishing gamma fiber which is deleterious for magnetic properties of non-oriented electrical steels. - Highlights: • Texture parameter (TP) is defined as “theta fiber/gamma fiber” ratio. • Magnetic anisotropy is improved by increasing the texture parameter. • A novel process for produce non-oriented electrical steels with high TP is proposed. • This process is cross rolling accompanied by an intermediate annealing. • Cross roll stimulates theta fiber and intermediate annealing diminishes gamma fiber

  2. Effect of Annealing Time for Quenching CuAl7Fe5Ni5W2Si2 Bronze on the Microstructure and Mechanical Properties

    Directory of Open Access Journals (Sweden)

    B. P. Pisarek

    2012-04-01

    Full Text Available This paper presents the influence of annealing time 30, 60 and 120 min at 1000°C for quenching CuAl7Fe5Ni5W2Si2 bronze in 10% water solution of NaCl, on the microstructure and mechanical properties. The presented results concern the species newly developed aluminum-iron-nickel bronze, with additions W and Si.In order to determine changes in the microstructure of the hardened bronze metallographic studies were performed on cylindrical samples of diameter 10 mm, on the metallographic microscope with digital image analysis, X-ray phase analysis, EDX point with the digital recording on the computer. Specified percentage of the microstructure of martensite and bainite, participation of proeutectoid α phase in the microstructure, grain size of former β phase, the amount of dissolved κ phase.It was found that in the microstructure of bronze in the cast state, there are a number of intermetallic phases of κ type. At interphase boundaries of primary intermetallic faceted precipitates, especially rich in tungsten (IM_W, nucleate and grow dendritic primary intermetallic κI phases, with chemical composition similar to the type of Fe3Si iron silicide.Dissolved, during the heating, in the β phase are all the intermediate phase included in the microstructure, with the exception of primary intermetallic phases of tungsten and κI. Prolongation of the isothermal annealing causes coagulation and coalescence of primary phases. In microstructure of the bronze after quenching obtained the α phase precipitation on the grain boundary of secondary β phase, coarse bainite and martensite, for all annealing times. With the change of annealing time are changed the relative proportions of individual phases or their systems, in the microstructure. In the microstructure of bronze, hold at temperature of 1000°C for 60 min, after quenching martensitic microstructure was obtained with the primary phases, and the least amount of bainite.

  3. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  4. Preliminary study in development of glass-ceramic based on SiO{sub 2}-LiO{sub 2} system, starting of different SiO{sub 2} starting powders; Um estudo preliminar do desenvolvimento de materiais vitroceramicos do sistema SiO{sub 2}-LiO{sub 2} obtidos a partir de diferentes fontes de silica

    Energy Technology Data Exchange (ETDEWEB)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D. [Universidade de Sao Paulo (EEL/USP), Lorena, SP (Brazil). Escola de Engenharia de Lorena. Dept. de Materiais; Melo, F.C.L. [Centro Tecnico Aeroespacial (AMR/CTA/IAE), Sao Jose dos Campos, SP (Brazil). Instituto de Aeronautica e Espaco. Div. de Materiais

    2009-07-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO{sub 2} and Li{sub 2}CO{sub 3} powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO{sub 2} powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li{sub 2}SiO{sub 3} and residual SiO{sub 2} as crystalline phases. On the other side, commercial SiO{sub 2}- Samples presented only Li{sub 2}Si{sub 2}O{sub 5} as crystalline phases and the better results of hardness and fracture toughness. (author)

  5. Interaction of submonolayer Bi films with the Si(100) surface

    International Nuclear Information System (INIS)

    Goryachko, A.M.; Melnik, P.V.; Nakhodkin, M.G.

    1999-01-01

    Scanning tunneling microscopy and Auger electron spectroscopy were used to investigate interaction of submonolayer Bi films with the Si(100)-2x1 surface. Ultra small Bi amounts (≤ 0.15ML) do not form ordered structures, if deposited at room temperature. Annealing at 400 degree C causes Bi to coalesce into small islands of the densely packed 2x1 phase. Simultaneously, vacancy clusters are produced in the substrate, which remain after desorption of Bi at 600 degree C. In contrast, room temperature deposition and thermal desorption of larger Bi amounts (≥ 0.25 ML) produces vacancies grouped into lines. Further annealing of such a substrate in the temperature range of 600 degree C ≤ T ≤ 750 degree C causes the phase transition between the Si(100)-2xn and Si(100)-c(4x4)

  6. Structural modification by swift heavy ion at metal/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Sisodia, Veenu; Jain, R.K.; Bhattacharaya, D.; Kabiraj, D.; Jain, I.P. E-mail: ipjain46@sify.com

    2003-06-01

    Transition metal silicides produced by swift heavy ion (SHI) irradiation have found applications in ultra-large-scale integrated circuits due to their small contact resistivities and higher thermal and chemical stabilities. In the present work, the mixing in Ni/Si and Ti/Si systems was studied under irradiation with Au ions. A layer of Ni (15 nm) and Ti (18 nm) was deposited by e-gun evaporation on Si (1 0 0) substrate at 10{sup -8} Torr vacuum. The samples were irradiated with 95 Mev Au ions at room temperature to a fluence of 10{sup 13} ions/cm{sup 2} and 1 pna beam current. Rutherford backscattering spectroscopy and X-Ray reflectivity have been employed to characterize the samples. The large electronic excitation due to SHI irradiation produces defects in the system. It is expected that SHI irradiation followed by thermal annealing in Ni/Si system will provide the required energy to the atoms to diffuse across the interface resulting in mixing.

  7. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  8. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  9. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    Science.gov (United States)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  10. Experimental investigation of slow-positron emission from 4H-SiC and 6H-SiC surfaces

    International Nuclear Information System (INIS)

    Ling, C.C.; Beling, C.D.; Fung, S.; Weng, H.M.

    2002-01-01

    Slow-positron emission from the surfaces of as-grown n-type 4H-SiC and 6H-SiC (silicon carbide) with a conversion efficiency of ∼10 -4 has been observed. After 30 min of 1000 deg. C annealing in forming gas, the conversion efficiency of the n-type 6H-SiC sample was observed to be enhanced by 75% to 1.9x10 -4 , but it then dropped to ∼10 -5 upon a further 30 min annealing at 1400 deg. C. The positron work function of the n-type 6H-SiC was found to increase by 29% upon 1000 deg. C annealing. For both p-type 4H-SiC and p-type 6H-SiC materials, the conversion efficiency was of the order of ∼10 -5 , some ten times lower than that for the n-type materials. This was attributed to the band bending at the p-type material surface which caused positrons to drift away from the positron emitting surface. (author)

  11. Annealing Effect on Mechanical Properties of Ti-Al Alloy/Pure Ti Harmonic-Structured Composite by MM/SPS Process

    International Nuclear Information System (INIS)

    Yoshida, R; Tsuda, T; Fujiwara, H; Miyamoto, H; Ameyama, K

    2014-01-01

    The Ti-Al alloy/pure Ti harmonic-structured composite was produced by mechanical milling and spark plasma sintering process for improvement of low ductility at room temperature of Ti-Al alloy. The harmonic-structured composite with the dispersed area having coarse grained titanium and the network area having fine-grained Ti-48mol%Al alloy demonstrates high strength and high ductility at room temperature. The annealing effect of the microstructure on the mechanical properties in the Ti-Al alloy/pure Ti harmonic-structured composite are investigated. The microstructure of the Ti-Al alloy/pure Ti harmonic-structured composite annealed at 873 K, 973 K and 1073 K are maintained the Ti-Al network structure and pure Ti dispersed regions, the average grain size of pure Ti dispersed region is only coarsen by annealing. The harmonic-structured composite annealed at 873 K, 973 K and 1073 K are maintained the high hardness. The tensile results reveal that the Ti-Al alloy/pure Ti harmonic- structured composite annealed at 873 K exhibits high strength and especially high ductility

  12. Moessbauer and channeling experiments on TeSi and SmSi

    International Nuclear Information System (INIS)

    Kemerink, G.J.; Boerma, D.O.; Waard, H. de; Wit, J.C. de; Drentje, S.A.

    1980-01-01

    Considerable effort is made to obtain an insight in the structural and electronic properties of ion implanted elemental semiconductors. This research is strongly stimulated by the many applications of semi-conductor devices. We report here on Moessbauer studies of 129 TeSi and 153 SmSi, using the 27.8 keV transition in 129 I and the 103.2 keV transition in 153 Eu, respectively, and on channeling experiments on 128 TeSi and 152 SmSi with a 2 MeV α-beam from the Groningen Van de Graaff generator. In the Moessbauer experiments we used Cu 129 I and EuF 3 .1/2H 2 O as absorber materials. Source and absorber were held at 4.2 K. The implantations were generally done at room temperature with an implantation energy of 100-115 keV. For the Moessbauer and channeling measurements we applied similar Si single crystals and the same implantation and annealing conditions. Crystals with low doses could only be investigated with the Moessbauer effect

  13. Annealing behaviour of high-dose rare-gas implantations into silicon

    International Nuclear Information System (INIS)

    Williams, J.S.; Grant, W.A.

    1976-01-01

    The annealing behaviour of 13 -10 17 ions/cm 2 is investigated by RBS and channelling techniques. There appears to be a strong correlation between the degree and nature of the post-anneal (above 650 0 C) remnant Si disorder, the implanted gas concentration and subsequent out-diffusion of the gas species. During the out-diffusion process a fraction of the gas (always less than 10 15 cm -2 ) remains trapped at, or beyond, the ion range and some of the diffusing gas becomes trapped near the target surface; for implantation doses below 10 15 cm -2 , no out-diffusion is observed up to 850 0 C. This behaviour is discussed in terms of the defect structure of the recrystallized implant layer. (author)

  14. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  15. Thermally-driven hydrogen interaction with single-layer graphene on SiO2/Si substrates

    International Nuclear Information System (INIS)

    Feijo, Tais Orestes; Rolim, Guilherme Koszeniewski; Radtke, Claudio; Soares, Gabriel Vieira

    2016-01-01

    Full text: Graphene is a monolayer of carbon with sp 2 hybridization and hexagonal structure. Since all its area is exposed to the atmosphere, it is important to understand how graphene interacts with elements present in the atmosphere, such as hydrogen, oxygen and water, to control the processes of manufacturing [1]. In addition, some studies show that graphene can allow storage of hydrogen for use in fuel cells, which would contribute to the use of clean energies. This study aims to understand the thermally-driven hydrogen interaction with graphene samples. We used samples of graphene deposited on SiO 2 (285 nm) films on Si and then annealed in controlled atmosphere of deuterium (D 2 , natural abundance of 0.15%) at temperatures between 200 and 1000°C. We also investigated hydrogen desorption from graphene using samples previously treated in deuterium at 600°C and afterwards annealed in nitrogen atmosphere between 200 and 1000°C. After annealings, Nuclear Reaction Analysis (NRA) was employed to quantify deuterium, where we observed a large increase in deuterium incorporation above 400°C, with an constant D incorporation until 1000°C. We also observed that the desorption of deuterium from graphene only occurred above 800°C, although D desorption from silicon oxide samples takes place already at 600°C. Raman spectroscopy analysis was performed after each thermal treatment. Results show that defects in the graphene structure increases for higher treatment temperatures in incorporation and in desorption steps. Characterization using X-Ray Photoelectron Spectroscopy (XPS) and Near Edge X-ray Absorption Fine Structure (NEXAFS) will also be presented. [1] A. C. Ferrari, et al., Nanoscale 7 (2015). (author)

  16. Peculiarities of radiation defect formation and annealing in n-Si due to their interaction with each other and defect clusters

    International Nuclear Information System (INIS)

    Lugakov, P.F.; Lukyanitsa, V.V.

    1984-01-01

    Rearrangement processes proceeding during annealing (T/sub a/ = 50 to 500 0 C) of radiation defects in 60 Co γ-irradiated (T/sub irr/ 0 C) n-Si crystals (rho = 100 to 600 Ωcm) grown by the vacuum float-zone technique are studied. The temperature dependences of the Hall coefficient are measured. The results obtained are interpreted taking into account the interaction during annealing of vacancy-type defects (E-centres, divacancies) with each other and interstitial radiation defects (C/sub i/-C/sub s/ complexes, interstitial carbon C/sub i/). Phosphorus-two vacancies complexes, stable to T/sub a/ >= 500 0 C, are shown to be formed as a result of rearrangements and interaction of E-centres between themselves. The character of interaction of vacancy defects with interstitial ones is found to change significantly in the presence of defect clusters in the bulk of the crystal which are formed under heat treatment (T = 800 0 C, two hours) of the samples preliminary irradiated with fast neutrons (flux PHI/sub n/ = 1x10 14 to 1x10 16 cm -2 ). The peculiarities of radiation defects annealing observed in this case are explained taking into account the influence of defect clusters on the migration processes of mobile defects. Nature of radiation defects being formed at various stages of annealing is discussed. (author)

  17. Structural and electrical properties of room temperature pulsed laser deposited and post-annealed thin SrRuO3 films

    International Nuclear Information System (INIS)

    Gautreau, O.; Harnagea, C.; Normandin, F.; Veres, T.; Pignolet, A.

    2007-01-01

    Good quality strontium ruthenate (SrRuO 3 ) thin continuous films (15 to 125 nm thick) have been synthesized on silicon (100) substrates by room temperature pulsed laser deposition under vacuum followed by a post-deposition annealing, a route unexplored and yet not reported for SrRuO 3 film growth. The presence of an interfacial Sr 2 SiO 4 layer has been identified for films annealed at high temperature, and the properties of this interface layer as well as the properties of the SrRuO 3 film have been analyzed and characterized as a function of the annealing temperature. The room temperature resistivity of the SrRuO 3 films deposited by laser ablation at room temperature and post-annealed is 2000 μΩ.cm. A critical thickness of 120 nm has been determined above which the influence of the interface layer on the resistivity becomes negligible

  18. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  19. Effect of annealing on the structural properties of electron beam deposited CIGS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalam, M. [Department of Electronics, Erode Arts College, Erode (India)], E-mail: prabhu7737@yahoo.com; Kannan, M.D.; Jayakumar, S.; Balasundaraprabhu, R. [Thin Film Center, PSG College of Technology, Coimbatore (India); Muthukumarasamy, N. [Department of Physics, Coimbatore Institute of Technology, Coimbatore (India)

    2008-08-30

    CIGS bulk compound of three different compositions CuIn{sub 0.85}Ga{sub 0.15}Se{sub 2}, CuIn{sub 0.80}Ga{sub 0.20}Se{sub 2} and CuIn{sub 0.75}Ga{sub 0.25}Se{sub 2} have been prepared by direct reaction of elemental copper, indium, gallium and selenium. CIGS thin films of the three compositions have been deposited onto glass and silicon substrates using the prepared bulk by electron beam deposition method. The structural properties of the deposited films have been studied using X-ray diffraction technique. The as-deposited CIGS films have been found to be amorphous in nature. To study the effect of annealing on the structural properties, the films have been annealed in vacuum of the order of 10{sup -5} Torr. The X-ray diffractograms of the annealed CIGS films exhibited peaks revealing that the annealed films are crystalline in nature with tetragonal chalcopyrite structure. The (112) peak corresponding to the chalcopyrite structure has been observed to be the dominating peak in all the annealed films. The position of the (112) peak and other peaks in the X-ray diffraction pattern has been observed to shift to higher values of 2{theta} with the increase of gallium concentration. The lattice parameter values 'a' and 'c' have been calculated and they are found to be dependent on the concentration of gallium in the films. The FWHM in the X-ray diffraction pattern is found to decrease with an increase in annealing temperature indicating that the crystalline nature of the CIGS improves with increase in annealing temperature. The films grown on silicon substrates have been found to be of better crystalline quality than those deposited on glass substrates. The micro structural parameters like grain size, dislocation density and strain have been evaluated. The chemical constituents present in the deposited CIGS films have been identified using energy dispersive X-ray analysis. The surface topographical study on the films has been performed by AFM. The

  20. Unified model of damage annealing in CMOS, from freeze-in to transient annealing

    International Nuclear Information System (INIS)

    Sander, H.H.; Gregory, B.L.

    Results of an experimental study at 76 0 K, are presented showing that radiation-produced holes in SiO 2 are immobile at this temperature. If an electric field is present in the SiO 2 during low temperature (76 0 K) irradiation to sweep out the mobile electrons, the holes will virtually all be trapped where created and produce a uniform positive charge density in the oxide. These results are the basis for concluding that if a complimentary p,n metal-oxide semiconductor (CMOS) device is irradiated for sufficient time at 76 0 K to build-in an appreciable field, further irradiation with gate bias removed will produce very little additional change in V/sub th/, since the field in the oxide tends to keep all generated electrons in the oxide where they recombine with trapped holes. Hence the hole trapping rate = the hole annihilation rate. The room-temperature annealing following a pulsed gamma exposure occurs in two regimes. The first recovery of V/sub th/ occurs prior to 10 -4 seconds. The magnitude of this very early-time recovery, at room temperature, is oxide-dependent, and oxide process dependent. The rate-of-annealing is what is truly different between a rad-hard and a rad-soft device, since annealing in the hardest devices occurs very quickly at room temperature. (U.S.)

  1. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  2. Structural and volume changes and their correlation in electron irradiated alkali silicate glasses

    International Nuclear Information System (INIS)

    Gavenda, Tadeáš; Gedeon, Ondrej; Jurek, Karel

    2017-01-01

    Highlights: • Volume changes were correlated with both incubation dose and Raman spectra. • Irradiation decreases Si-O-Si angle and increases the amount of three-membered rings. • Levelling of the pits depends on the dose below and above incubation dose. • Restoration of the original structure was limited to low-frequency region. - Abstract: Two binary alkali silicate glasses (15K 2 O·85SiO 2 – denoted as K15 and 15Li 2 O·85SiO 2 – denoted as Li15) were irradiated by 50 keV electron beams with doses within the range of 2.1–15.9 kC/m 2 . Volume changes induced by electron irradiation were monitored by means of Atomic Force Microscopy (AFM). Raman spectra were taken from the irradiated spots to observe structural changes. Volume compaction observed at lower doses was correlated with the increase of the D2 peak. Volume expansion at higher doses was related to migration of alkali ions. Irradiated glasses were annealed at 400 °C and 500 °C for 60 min. After annealing irradiated spots were again examined by AFM and Raman spectroscopy in order to determine volume and structural relaxation of radiation induced changes. Annealing at higher temperatures resulted in the levelling of the pits created by irradiation, but only for doses below incubation dose. The pits created by doses above incubation dose were not levelled. Annealing caused decrease of D2 peak and shift of the Si-O-Si vibrations band in direction to original structure. Low-frequency region of annealed Li15 glass was undistinguishable from that of pristine glass, while annealing of K15 glass did not result in the full reversion to the original shape. The differences between glasses were attributed to higher T g of K15 glass. Q-motives bands of both glasses were not completely restored after annealing due to the absence of alkali ions.

  3. Structural and volume changes and their correlation in electron irradiated alkali silicate glasses

    Energy Technology Data Exchange (ETDEWEB)

    Gavenda, Tadeáš, E-mail: gavendat@vscht.cz [Department of Glass and Ceramics, University of Chemical Technology, Technicka 5, CZ-166 28 Prague (Czech Republic); Gedeon, Ondrej [Department of Glass and Ceramics, University of Chemical Technology, Technicka 5, CZ-166 28 Prague (Czech Republic); Jurek, Karel [Institute of Physics, Academy of the Czech Republic, Na Slovance 2, CZ-182 21 Prague (Czech Republic)

    2017-04-15

    Highlights: • Volume changes were correlated with both incubation dose and Raman spectra. • Irradiation decreases Si-O-Si angle and increases the amount of three-membered rings. • Levelling of the pits depends on the dose below and above incubation dose. • Restoration of the original structure was limited to low-frequency region. - Abstract: Two binary alkali silicate glasses (15K{sub 2}O·85SiO{sub 2} – denoted as K15 and 15Li{sub 2}O·85SiO{sub 2} – denoted as Li15) were irradiated by 50 keV electron beams with doses within the range of 2.1–15.9 kC/m{sup 2}. Volume changes induced by electron irradiation were monitored by means of Atomic Force Microscopy (AFM). Raman spectra were taken from the irradiated spots to observe structural changes. Volume compaction observed at lower doses was correlated with the increase of the D2 peak. Volume expansion at higher doses was related to migration of alkali ions. Irradiated glasses were annealed at 400 °C and 500 °C for 60 min. After annealing irradiated spots were again examined by AFM and Raman spectroscopy in order to determine volume and structural relaxation of radiation induced changes. Annealing at higher temperatures resulted in the levelling of the pits created by irradiation, but only for doses below incubation dose. The pits created by doses above incubation dose were not levelled. Annealing caused decrease of D2 peak and shift of the Si-O-Si vibrations band in direction to original structure. Low-frequency region of annealed Li15 glass was undistinguishable from that of pristine glass, while annealing of K15 glass did not result in the full reversion to the original shape. The differences between glasses were attributed to higher T{sub g} of K15 glass. Q-motives bands of both glasses were not completely restored after annealing due to the absence of alkali ions.

  4. Characterization of the effects of nitrogen and hydrogen passivation on SiO2/4H-SiC interface by low temperature conductance measurements

    International Nuclear Information System (INIS)

    Wang Yiyu; Peng Zhaoyang; Shen Huajun; Tang Yachao; Liu Xinyu; Li Chengzhan; Wu Jia; Zhao Yanli; Chen Ximing; Liu Kean

    2016-01-01

    We investigate the effects of NO annealing and forming gas (FG) annealing on the electrical properties of a SiO 2 /SiC interface by low-temperature conductance measurements. With nitrogen passivation, the density of interface states (D IT ) is significantly reduced in the entire energy range, and the shift of flatband voltage, ΔV FB , is effectively suppressed to less than 0.4 V. However, very fast states are observed after NO annealing and the response frequencies are higher than 1 MHz at room temperature. After additional FG annealing, the D IT and ΔV FB are further reduced. The values of the D IT decrease to less than 10 11 cm −2 eV −1 for the energy range of E C − E T > 0.4 eV. It is suggested that the fast states in shallow energy levels originated from the N atoms accumulating at the interface by NO annealing. Though FG annealing has a limited effect on these shallow traps, hydrogen can terminate the residual Si and C dangling bonds corresponding to traps at deep energy levels and improve the interface quality further. It is indicated that NO annealing in conjunction with FG annealing will be a better post-oxidation process method for high performance SiC MOSFETs. (paper)

  5. Influence of annealing temperature on passivation performance of thermal atomic layer deposition Al2O3 films

    International Nuclear Information System (INIS)

    Zhang Xiang; Liu Bang-Wu; Li Chao-Bo; Xia Yang; Zhao Yan

    2013-01-01

    Chemical and field-effect passivation of atomic layer deposition (ALD) Al 2 O 3 films are investigated, mainly by corona charging measurement. The interface structure and material properties are characterized by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS), respectively. Passivation performance is improved remarkably by annealing at temperatures of 450 °C and 500 °C, while the improvement is quite weak at 600 °C, which can be attributed to the poor quality of chemical passivation. An increase of fixed negative charge density in the films during annealing can be explained by the Al 2 O 3 /Si interface structural change. The Al—OH groups play an important role in chemical passivation, and the Al—OH concentration in an as-deposited film subsequently determines the passivation quality of that film when it is annealed, to a certain degree. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valladares, L. de los Santos, E-mail: ld301@cam.ac.uk [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Dominguez, A. Bustamante [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Llandro, J.; Holmes, S. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Quispe, O. Avalos [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Langford, R. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Laboratório de Supercondutividade e Materiais Avançados, Departamento de Física, Universidade Federal de Pernambuco, 50670-901, Recife (Brazil); Barnes, C.H.W. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom)

    2014-10-15

    Highlights: • Annealing promotes outgassing of SiO{sub 2}/Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO{sub 2} islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO{sub 2}/Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10{sup 8}, respectively. Over an area of 22 × 10{sup −3} cm{sup 2} the density of bubbles obtained at slow annealing (9 × 10{sup 3} cm{sup −2}) is smaller than that at rapid annealing (6.4 × 10{sup 4} cm{sup −2}), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as

  7. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  8. Heteroepitaxial growth of SiC films by carbonization of polyimide Langmuir-Blodgett films on Si

    Directory of Open Access Journals (Sweden)

    Goloudina S.I.

    2017-01-01

    Full Text Available High quality single crystal SiC films were prepared by carbonization of polyimide Langmuir-Blodgett films on Si substrate. The films formed after annealing of the polyimide films at 1000°C, 1100°C, 1200°C were studied by Fourier transform-infrared (FTIR spectroscopy, X-ray diffraction (XRD, Raman spectroscopy, transmission electon microscopy (TEM, transmission electron diffraction (TED, and scanning electron microscopy (SEM. XRD study and HRTEM cross-section revealed that the crystalline SiC film begins to grow on Si (111 substrate at 1000°C. According to the HRTEM cross-section image five planes in 3C-SiC (111 film are aligned with four Si(111 planes at the SiC/Si interface. It was shown the SiC films (35 nm grown on Si(111 at 1200°C have mainly cubic 3C-SiC structure with a little presence of hexagonal polytypes. Only 3C-SiC films (30 nm were formed on Si (100 substrate at the same temperature. It was shown the SiC films (30-35 nm are able to cover the voids in Si substrate with size up to 10 μm.

  9. Strain of laser annealed silicon surfaces

    Science.gov (United States)

    Nemanich, R. J.; Haneman, D.

    1982-05-01

    High resolution Raman scattering measurements have been carried out on pulse and continuous-wave laser annealed silicon samples with various surface preparations. These included polished and ion-bombarded wafers, and saw-cut crystals. The pulse annealing treatments were carried out in ultrahigh vacuum and in air. The residual strain was inferred from the frequency shift of the first-order Raman active mode of Si, and was detectable in the range 10-2-10-3 in all except the polished samples.

  10. Rapid thermal annealing of FePt and FePt/Cu thin films

    Energy Technology Data Exchange (ETDEWEB)

    Brombacher, Christoph

    2011-01-10

    Chemically ordered FePt is one of the most promising materials to reach the ultimate limitations in storage density of future magnetic recording devices due to its high uniaxial magnetocrystalline anisotropy and a corrosion resistance superior to rare-earth based magnets. In this study, FePt and FePt/Cu bilayers have been sputter deposited at room temperature onto thermally oxidized silicon wafers, glass substrates and self-assembled arrays of spherical SiO{sub 2} particles with diameters down to 10 nm. Millisecond flash lamp annealing, as well as conventional rapid thermal annealing was employed to induce the phase transformation from the chemically disordered A1 phase into the chemically ordered L1{sub 0} phase. The influence of the annealing temperature, annealing time and the film thickness on the ordering transformation and (001) texture evolution of FePt films with near equiatomic composition was studied. Whereas flash lamp annealed FePt films exhibit a polycrystalline morphology with high chemical L1{sub 0} order, rapid thermal annealing can lead to the formation of chemically ordered FePt films with (001) texture on amorphous SiO{sub 2}/Si substrates. The resultant high perpendicular magnetic anisotropy and large coercivities up to 40 kOe are demonstrated. Simultaneously to the ordering transformation, rapid thermal annealing to temperatures exceeding 600 C leads to a break up of the continuous FePt film into separated islands. This dewetting behavior was utilized to create regular arrays of FePt nanostructures on SiO{sub 2} particle templates with periods down to 50 nm. The addition of Cu improves the (001) texture formation and chemical ordering for annealing temperatures T{sub a} {<=}600 C. In addition, the magnetic anisotropy and the coercivity of the ternary FePtCu alloy can be effectively tailored by adjusting the Cu content. The prospects of FePtCu based exchange spring media, as well as the magnetic properties of FePtCu nanostructures fabricated

  11. Novel biocompatible magnesium alloys design with nutrient alloying elements Si, Ca and Sr: Structure and properties characterization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Weidan; Han, Junjie [Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China); Yang, Xuan; Li, Mei [Department of Orthopedics, Guangdong Key Lab of Orthopaedic Technology and Implant Materials, Guangzhou General Hospital of Guangzhou Military Command, 111 Liuhua Road, Guangzhou 510010 (China); Wan, Peng, E-mail: pwan@imr.ac.cn [Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China); Tan, Lili [Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China); Zhang, Yu [Department of Orthopedics, Guangdong Key Lab of Orthopaedic Technology and Implant Materials, Guangzhou General Hospital of Guangzhou Military Command, 111 Liuhua Road, Guangzhou 510010 (China); Yang, Ke, E-mail: kyang@imr.ac.cn [Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China)

    2016-12-15

    Highlights: • A non-toxic Mg-based alloy system with nutrient elements Si, Sr, Ca is proposed. • Properties improved due to morphology of coarse Mg{sub 2}Si change into small polygon. • Fewer, finer and homogenized Mg{sub 2}Si particles are obtained after anneal-treated. • Cytocompatibility results indicate a potential application in orthopedic. - Abstract: Magnesium has been widely studied as a biodegradable material, where its mechanical property and biocompatibility make it preferred candidate for orthopedic implant. Proper alloying can further improve the properties of Mg. First and foremost, to guarantee the biosafety for biomedical application, the alloying element should be toxic free. To address this point, nutrient elements including Si, Sr and Ca were selected due to their biological functions in human body, especially in bone regeneration and repair. In this study, 0.5–1.0 wt% Sr and Ca were used to refine and modify the morphology of coarse Mg{sub 2}Si in Mg-1.38wt% Si to obtain an uniform microstructure. Microstructure, mechanical and degradation properties of as-cast and homogenizing-annealed quaternary Mg-1.38Si-xSr-yCa (x, y = 0.5–1 wt%) alloys were investigated by optical microscopy, scanning electronic microscopy, X-ray diffraction, tensile and electrochemical measurement. Addition of Sr and Ca element cause a morphological change in Mg{sub 2}Si particles from coarse Chinese script shape to small polygonal type. The presences of intermetallic phases, such as Mg{sub 2}Si, CaMgSi and Mg{sub 17}Sr{sub 2}, were confirmed in quaternary alloys, of which content was applied to interpret the results for the quaternary system. Compared with the as-cast state, fewer, finer and homogenized microstructure were observed after an anneal heat treatment under 500 °C. The mechanical properties were improved with increase of Ca and Sr additions, which was related to the evolution of the microstructure and second phases, however, also causing an

  12. Highly stable carbon-doped Cu films on barrierless Si

    International Nuclear Information System (INIS)

    Zhang, X.Y.; Li, X.N.; Nie, L.F.; Chu, J.P.; Wang, Q.; Lin, C.H.; Dong, C.

    2011-01-01

    Electrical resistivities and thermal stabilities of carbon-doped Cu films on silicon have been investigated. The films were prepared by magnetron sputtering using a Cu-C alloy target. After annealing at 400 deg. C for 1 h, the resistivity maintains a low level at 2.7 μΩ-cm and no Cu-Si reaction is detected in the film by X-ray diffraction (XRD) and transmission electron microscopy (TEM) observations. According to the secondary ion mass spectroscopy (SIMS) results, carbon is enriched near the interfacial region of Cu(C)/Si, and is considered responsible for the growth of an amorphous Cu(C)/Si interlayer that inhibits the Cu-Si inter-diffusion. Fine Cu grains, less than 100 nm, were present in the Cu(C) films after long-term and high-temperature annealings. The effect of C shows a combination of forming a self-passivated interface barrier layer and maintaining a fine-grained structure of Cu. A low current leakage measured on this Cu(C) film also provides further evidence for the carbon-induced diffusion barrier interlayer performance.

  13. Structure and magnetic properties of Heusler alloy Co{sub 2}RuSi melt-spun ribbons

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Yuepeng; Ma, Yuexing; Hao, Hongyue [School of Materials Science and Engineering, Hebei University of Technology, Tianjin 300130 (China); Luo, Hongzhi, E-mail: luo_hongzhi@163.com [School of Materials Science and Engineering, Hebei University of Technology, Tianjin 300130 (China); Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Meng, Fanbin; Liu, Heyan [School of Materials Science and Engineering, Hebei University of Technology, Tianjin 300130 (China); Liu, Enke; Wu, Guangheng [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2017-08-01

    Highlights: • New Heusler alloy Co{sub 2}RuSi has been prepared by melt-spinning successfully. • Magnetic and electronic properties of Co{sub 2}RuSi were investigated. • Ru has a strong site preference for A, C sites in the lattice of Co{sub 2}RuSi. • Site preference of Ru cannot be determined by “number of valence electrons”. - Abstract: Heusler alloy Co{sub 2}RuSi has been synthesized by melt-spinning technology successfully. Co{sub 2}RuSi bulk sample after annealing is composed of an HCP Co-rich phase and a BCC Ru-Si phase, but melt-spinning can suppress the precipitation of the HCP phase and produce a single Co{sub 2}RuSi Heusler phase. In the XRD pattern, it is found that Ru has a strong preference for the (A, C) sites, though it has fewer valence electrons compared with Co. This site preference is different from the case in Heusler alloys containing only 3d elements and is supported further by first-principles calculations. Melt-spun Co{sub 2}RuSi has a M{sub s} of 2.67 μ{sub B}/f.u. at 5 K and a Tc of 491 K. An exothermic peak is observed at 871 K in the DTA curve, corresponding to the decomposition of the Heusler phase. Finally, the site preference and magnetic properties of Co{sub 2}RuSi were discussed based on electronic structure calculation and charge density difference.

  14. In situ TEM annealing of ion-amorphized Hi Nicalon S and Tyranno SA3 SiC fibers

    Energy Technology Data Exchange (ETDEWEB)

    Huguet-Garcia, J., E-mail: juan.huguet-garcia@cea.fr [CEA, DEN, Service de Recherches Métallurgiques Appliquées, F-91191 Gif-sur-Yvette (France); Jankowiak, A. [CEA, DEN, Service de Recherches Métallurgiques Appliquées, F-91191 Gif-sur-Yvette (France); Miro, S. [CEA, DEN, Service de Recherches en Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches en Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Serruys, Y. [CEA, DEN, Service de Recherches en Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Costantini, J.-M. [CEA, DEN, Service de Recherches Métallurgiques Appliquées, F-91191 Gif-sur-Yvette (France)

    2016-05-01

    In this work, recrystallization of ion-amorphized Hi Nicalon Type S and Tyranno SA3 SiC fibers (4 MeV Au{sup 3+}, 2 × 10{sup 15} cm{sup −2}) has been studied via in situ TEM annealing. Both fibers show a two-step recovery process of the radiation damage. First recovery stage starts at temperatures as low as 250 °C and implies recovery of the radiation swelling. Eventually the amorphous layer recrystallizes with no signs of polytype change (3C-SiC). Recrystallization temperatures yield 900–920 °C and 930 °C for the HNS and the TSA3 respectively. HNS fiber shows columnar recrystallization perpendicular to the amorphous–crystalline interphase with a grain growth rate of ∼20 nm min{sup −1}. On the other hand, recrystallization of TSA3 fiber is rather “spontaneous” with no preferential growth direction. The different recrystallization is attributed to the different microstructure of the fibers.

  15. In situ TEM annealing of ion-amorphized Hi Nicalon S and Tyranno SA3 SiC fibers

    International Nuclear Information System (INIS)

    Huguet-Garcia, J.; Jankowiak, A.; Miro, S.; Meslin, E.; Serruys, Y.; Costantini, J.-M.

    2016-01-01

    In this work, recrystallization of ion-amorphized Hi Nicalon Type S and Tyranno SA3 SiC fibers (4 MeV Au"3"+, 2 × 10"1"5 cm"−"2) has been studied via in situ TEM annealing. Both fibers show a two-step recovery process of the radiation damage. First recovery stage starts at temperatures as low as 250 °C and implies recovery of the radiation swelling. Eventually the amorphous layer recrystallizes with no signs of polytype change (3C-SiC). Recrystallization temperatures yield 900–920 °C and 930 °C for the HNS and the TSA3 respectively. HNS fiber shows columnar recrystallization perpendicular to the amorphous–crystalline interphase with a grain growth rate of ∼20 nm min"−"1. On the other hand, recrystallization of TSA3 fiber is rather “spontaneous” with no preferential growth direction. The different recrystallization is attributed to the different microstructure of the fibers.

  16. Structure changes of Co-Ni-Al ferromagnetic shape memory alloys after vacuum annealing and hot rolling

    International Nuclear Information System (INIS)

    Maziarz, Wojciech

    2008-01-01

    The structure changes of vacuum annealed and hot rolled Co 35+x -Ni 40-x -Al 25 (x = 0, 2.5, 5.0) ferromagnetic shape memory alloys were examined by optical microscopy and X-ray diffraction measurements. Almost the same content of γ phase was observed in alloys after vacuum annealing. The change of grains morphology from dendrite in to equiaxed ones appeared after vacuum annealing. The hot rolling process was applied after annealing at 900 deg. C with thickness reduction up to about 90%. The structure of hot rolled samples revealed elongated grains of different phases. The hardness changes after heat treatment and plastic deformation processes have reflected the solution hardening and work hardening, respectively

  17. Control of crystal structure, morphology and optical properties of ceria films by post deposition annealing treatments

    International Nuclear Information System (INIS)

    Eltayeb, Asmaa; Vijayaraghavan, Rajani K.; McCoy, Anthony P.; Cullen, Joseph; Daniels, Stephen; McGlynn, Enda

    2016-01-01

    In this paper, the effects of post-deposition annealing temperature and atmosphere on the properties of pulsed DC magnetron sputtered ceria (CeO_2) thin films, including crystalline structure, grain size and shape and optical properties were investigated. Experimental results, obtained from X-ray diffraction (XRD), showed that the prepared films crystallised predominantly in the CeO_2 cubic fluorite structure, although evidence of Ce_2O_3 was also seen and this was quantified by a Rietveld refinement. The anneal temperature and oxygen content of the Ar/O_2 annealing atmosphere both played important roles on the size and shape of the nanocrystals as determined by atomic force microscopy (AFM). The average grain size (determined by an AFM) as well as the out of plane coherence length (obtained from XRD) varied with increasing oxygen flow rate (OFR) in the annealing chamber. In addition, the shape of the grains seen in the AFM studies transformed from circular to triangular as the OFR was raised from 20 sccm to 30 sccm during an 800 °C thermal anneal. X-ray photoelectron spectroscopy was used to measure near-surface oxidation states of the thin-films with varying OFR in the annealing chamber. The bandgap energies were estimated from the ultra-violet and visible absorption spectra and low-temperature photoluminescence. An extracted bandgap value of 3.04 eV was determined for as-deposited CeO_2 films and this value increased with increasing annealing temperatures. However, no difference was observed in bandgap energies with variation of annealing atmosphere. - Highlights: • Deposition of ceria thin films by pulsed DC magnetron sputtering • Effect of annealing temperature and gas ambient on film crystalline structure • Evidence for control of the film roughness and grain size and shape is achieved. • Investigation of the effect of post-deposition annealing on the film stoichiometry • Films showed blue shifts in bandgap energies with increasing annealing

  18. Structural transformation of implanted diamond layers during high temperature annealing

    International Nuclear Information System (INIS)

    Rubanov, S.; Fairchild, B.A.; Suvorova, A.; Olivero, P.; Prawer, S.

    2015-01-01

    In the recent years graphitization of ion-beam induced amorphous layers became the basic tool for device fabrication in diamond. The etchable graphitic layers can be removed to form free-standing membranes into which the desired structures can be sculpted using FIB milling. The optical properties of the devices fabricated using this method are assumed on the model of sharp diamond–air interface. The real quality of this interface could depend on degree of graphitization of the amorphous damage layers after annealing. In the present work the graphitization process was studied using conventional and analytical TEM. It was found that annealing at 550 °C results in a partial graphitization of the implanted volume with formation of the nano-crystalline graphitic phase sandwiched between layers of tetrahedral amorphous carbon. Annealing at 1400 °C resulted in complete graphitization of the amorphous layers. The average size of graphite nano-crystals did not exceed 5 nm with predominant orientation of c-planes normal to the sample surface.

  19. Model for efficient visible emission from Si nanocrystals ion beam synthesized in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Lopez, M. E-mail: mlopez@el.ub.es; Garrido, B.; Bonafos, C.; Perez-Rodriguez, A.; Morante, J.R.; Claverie, A

    2001-05-01

    The photoluminescence (PL) emission of Si nanocrystals ion beam synthesized in SiO{sub 2} is studied in this work as a function of annealing time and initial Si atomic excess (super-saturation). The optical properties of this system have been correlated with the characteristics of the nanocrystal population. The Si nanocrystals show a wide and very intense PL red/infrared emission. This emission peaks at about 1.7 eV for the low super-saturation range between 1% and 10% and shifts to the infrared for higher super-saturation (20% and 30%). Remarkably, there is a linear increase of PL intensity versus super-saturation in the low range. Moreover, the annealing kinetic studies show a typical behavior of PL intensity with annealing time, with a fast transitory increase that bends over to reach asymptotic saturation. The PL intensity saturation is satisfactorily explained by the Ostwald ripening stage of the nanocrystal population while the transient stage is a consequence of both nanocrystal growth and nanocrystal surface passivation mechanisms acting together. Indeed, electron spin resonance measurements demonstrate that the concentration of P{sub b} centers (Si dangling bonds) at the Si-SiO{sub 2} interface correlates inversely with PL intensity during most of the transient stage.

  20. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  1. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  2. Growth of CoSi2 on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.

    2005-01-01

    CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture

  3. Effects of annealing on the compositional heterogeneity and structure in zirconium-based bulk metallic glass thin films

    International Nuclear Information System (INIS)

    He, L.; Chu, J.P.; Li, C.-L.; Lee, C.-M.; Chen, Y.-C.; Liaw, P.K.; Voyles, P.M.

    2014-01-01

    In-situ heating fluctuation electron microscopy and scanning transmission electron microscopy have been utilized to study compositional and structural heterogeneities in Zr 51 Cu 32 Al 9 Ni 8 thin films upon annealing. Composition fluctuations are present in the as-deposited thin films. Well below the glass transition temperature, the composition fluctuations increase with annealing time. Short- and medium-range order also change with annealing temperature. The observed heterogeneities in the glass structure persist until annealing causes crystallization. The 20 nm thick Zr 51 Cu 32 Al 9 Ni 8 films contain oxide layers both at the surface and the film/substrate interface with the total thickness of 7–8 nm. In-situ annealing increased the oxygen content of the whole films to about 24 wt.% after 2 h at 400 °C. - Highlights: • Zr 51 Cu 32 Al 9 Ni 8 thin films were studied with in-situ heating electron microscopy. • Annealing at 400 °C increases the Zr and Cu compositional fluctuations. • Short-range order in Zr 51 Cu 32 Al 9 Ni 8 becomes less homogeneous above 350 °C. • Medium-range order changes in degree and types at 400 °C, well below T g . • Annealing increases composition and structure heterogeneities until crystallization

  4. Comparison of microstructure and magnetic properties of 3% Si-steel, amorphous and nanostructure Finemet

    Energy Technology Data Exchange (ETDEWEB)

    Yousefi, M., E-mail: masoud_yousefi@hotmail.com; Rahmani, Kh.; Amiri Kerahroodi, M.S.

    2016-12-15

    This paper presents a comparison of microstructure and magnetic properties of polycrystalline 3%Si-steel, amorphous and nano-crystalline alloy Fe{sub 73.5}Cu{sub 1}Nb{sub 3}Si{sub 13.5}B{sub 9} (known as Finemet). Si-steels are industrially produced by casting, hot and cold rolling, annealing and coating. Samples of thin amorphous ribbons were prepared by the planar flow casting (PFC) method. Nano-crystalline samples are obtained after annealing in vacuum furnace at 560 °C for 1 h. The structure of specimens was investigated by XRD, SEM and FE-SEM. Also, magnetic properties were measured using vibrating sample magnetometer (VSM). The results showed that, hysteresis losses in as-quenched and nano-crystalline ribbons were by 94.75% and 96.06% less than 3%Si-steel, respectively. After the heat treatment of amorphous specimens, hysteresis area was decreased by 25% in comparison with heat treated specimen. This decreasing is occurred due to the formation of Fe{sub 3}Si nanostructure with size of 10–17 nm and removing segregation after heat treatment. - Highlights: • The structure of specimens was investigated by XRD, SEM and FE-SEM. • Hysteresis losses of amorphous ribbon, was 94.75% less than 3% Si-steel. • After heat treatment, hysteresis losses was less than the 3% Si-steel by 96.06%. • Formation of Fe3Si nano structure with size of 10-17 nm. • Removing segregation after heat treatment.

  5. Investigation of the annealing temperature effect on structural, morphology, dielectric and magnetic properties of BiFeO3 nanoparticles

    Science.gov (United States)

    Ranjbar, M.; Ghazi, M. E.; Izadifard, M.

    2018-06-01

    In this paper we have investigated the annealing temperature effect on the structure, morphology, dielectric and magnetic properties of sol-gel synthesized multiferroic BiFeO3 nanoparticles. X-ray diffraction spectroscopy revealed that all the samples have rhombohedrally distorted perovskite structure and the most pure BFO phase is obtained on the sample annealed at 800 °C. Field emission scanning electron microscopy (FESEM) revealed that increasing annealing temperature would increase the particle size. Decrease in dielectric constant was also observed by increasing annealing temperature. Vibrating sample method (VSM) analysis confirmed that samples annealed at 500-700 °C with particle size below the BFO's spiral spin structure length, have well saturated M-H curve and show ferromagnetic behavior.

  6. Inferring hierarchical clustering structures by deterministic annealing

    International Nuclear Information System (INIS)

    Hofmann, T.; Buhmann, J.M.

    1996-01-01

    The unsupervised detection of hierarchical structures is a major topic in unsupervised learning and one of the key questions in data analysis and representation. We propose a novel algorithm for the problem of learning decision trees for data clustering and related problems. In contrast to many other methods based on successive tree growing and pruning, we propose an objective function for tree evaluation and we derive a non-greedy technique for tree growing. Applying the principles of maximum entropy and minimum cross entropy, a deterministic annealing algorithm is derived in a meanfield approximation. This technique allows us to canonically superimpose tree structures and to fit parameters to averaged or open-quote fuzzified close-quote trees

  7. Determination of wafer bonding mechanisms for plasma activated SiN films with x-ray reflectivity

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Sun, Y [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Hicks, R [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Specular and diffuse x-ray reflectivity measurements were employed for wafer bonding studies of surface and interfacial reactions in {approx}800 A thick SiN films deposited on III-V substrates. CuK{sub {alpha}}{sub 1} radiation was employed for these measurements. The as-deposited films show very low surface roughness and uniform, high density SiN. Reflectivity measurements show that an oxygen plasma treatment converts the nitride surface to a somewhat porous SiO{sub x} layer (67 A thick, at 80% of SiO{sub 2} density), with confirmation of the oxide formation from x-ray photoelectron spectroscopy. Reactions at the bonded interface of two oxygen plasma treated SiN layers were examined using a bonded structure from which one of the III-V wafers is removed. Reflectivity measurements of bonded structures annealed at 150 deg. C and 300 deg. C show an increase in the SiO{sub x} layer density and thickness and even a density gradient across this interface. The increase in density is correlated with an increase in bond strength, where after the 300 deg. C anneal, a high interfacial bond strength, exceeding the bulk strength, was achieved.

  8. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  9. Assessment of the recovery annealing efficiency for VVER-1000 materials' structure reset and lifetime extension

    International Nuclear Information System (INIS)

    Gurovich, B.; Kuleshova, E.; Prikhodko, K.; Fedotova, S.

    2011-01-01

    The results of the VVER-1000 reactor pressure vessels welds studies based on the surveillance specimens sets have revealed a high embrittlement rate of steel with high nickel content compared with predicted embrittlement determined from the Russian Guide. For these critical vessels further safe operation (even during design service life) is not allowed without additional measures (recovery annealing of the VVER-1000 welds as earlier for VVER- 440). The reason is that the rate of high nickel VVER-1000 welds embrittlement is significantly higher than that is for base metal. In order to solve a problem of VVER-1000 lifetime extension recovery annealing validation and accelerated reirradiation of specimens for prolonged operation period estimation after annealing were necessary. In this work comparison of electron-microscopy fine structure studies and fractographic studies of Charpy specimens fracture surface of the VVER-1000 high nickel welds in different states were carried out. It allows estimation of the recovery annealing effect on steels structure and its behavior at further operation. It is shown that both secondary and primary irradiation causes alike radiation-induced fine structure changes: dislocation loops and nano-size precipitates. Recovery annealing leads to full dislocation loops dissolution and significant nano-size precipitates solution but not to the initial values. The rate of radiation defects and radiation-induced precipitates accumulation at reirradiation weld after recovery annealing is lower than at primary irradiation and determine the lower secondary embrittlement rate of VVER-1000 weld. (authors)

  10. Optical property of silicon quantum dots embedded in silicon nitride by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Baek Hyun, E-mail: bhkim@andrew.cmu.ed [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Davis, Robert F. [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju, 500-712 (Korea, Republic of)

    2010-01-01

    We present the effects on the thermal annealing of silicon quantum dots (Si QDs) embedded in silicon nitride. The improved photoluminescence (PL) intensities and the red-shifted PL spectra were obtained with annealing treatment in the range of 700 to 1000 {sup o}C. The shifts of PL spectra were attributed to the increase in the size of Si QDs. The improvement of the PL intensities was also attributed to the reduction of point defects at Si QD/silicon nitride interface and in the silicon nitride due to hydrogen passivation effects.

  11. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  12. N and Si Implantation Effect on Structural and Electrical Properties of Bridgman grown GaSe Single Crystal

    International Nuclear Information System (INIS)

    Karabulut, O.

    2004-01-01

    N and Si implantation to GaSe single crystals were carried out parallel to c-axis with ion beam of about 10 1 6 ions/cm 2 dose having energy values 30, 60 and 100 keV. Ion implantation modifications on Bridgman grown GaSe single crystals have been investigated by means of XRD, electrical conductivity, absorption and photoconductivity measurements. XRD measurements revealed that annealing results in a complete recovery of the crystalline nature that was moderately reduced upon implantation. It was observed that both N- and Siimplantation followed by annealing process decreased the resistivity values from 10 7 to 10 3 .-cm. The analysis of temperature dependent conductivity showed that at high temperature region above 200 K, the transport mechanism is dominated by thermal excitation in the doped and undoped GaSe samples. At lower temperatures, the conduction of carriers is dominated by variable range hopping mechanism in the implanted samples. Absorption and spectral photoconductivity measurements showed that the band edge is shifted in the implanted sample. All these modifications were attributed to the structural modifications and continuous shallow trap levels introduced upon implantation and annealing

  13. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    Science.gov (United States)

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  14. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  15. RBS channeling measurement of damage annealing in InAs/AlSb HEMT structures

    International Nuclear Information System (INIS)

    Hallén, Anders; Moschetti, Giuseppe

    2014-01-01

    Electrical isolation of InAs/AlSb high electron mobility transistors has been achieved by the ion implantation isolation technique. The multilayered structures are grown by molecular beam epitaxy on GaAs substrates. The optimal isolation is provided by damaging patterned areas by 100 keV Ar ions implanted at room temperature using fluence of 2 × 10 15 cm −2 , and then annealing the samples in 365 °C for 30 min. The damage build-up and annealing is studied by channeling Rutherford backscattering spectrometry (RBS) and compared to sheet resistance measurements. Only a low level of damage annealing can be seen in RBS for the post-implant annealed samples, but for Ar fluence higher than 2 × 10 14 cm −2 , a strong electrical resistivity increase can still be achieved

  16. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Influence of phosphorous and high temperature annealing on the nanostructures of 3C-SiC

    CSIR Research Space (South Africa)

    Van Rooyen, IJ

    2010-10-01

    Full Text Available to determine the effect that different Si isotopes may have on the SiC crystal structure during the CVD manufacturing process and after transmutation due to irradiation. 30Si transmutes to phosphorous (31P) and other transmutation products during irradiation...

  18. Germanium nanoislands grown by radio frequency magnetron sputtering: Annealing time dependent surface morphology and photoluminescence

    International Nuclear Information System (INIS)

    Samavati, Alireza; Othaman, Z.; Ghoshal, S. K.; Amjad, R. J.

    2013-01-01

    Structural and optical properties of ∼ 20 nm Ge nanoislands grown on Si(100) by radio frequency (rf) magnetron sputtering under varying annealing conditions are reported. Rapid thermal annealing at a temperature of 600°C for 30 s, 90 s, and 120 s are performed to examine the influence of annealing time on the surface morphology and photoluminescence properties. X-ray diffraction spectra reveal prominent Ge and GeO 2 peaks highly sensitive to the annealing time. Atomic force microscope micrographs of the as-grown sample show pyramidal nanoislands with relatively high-density 10 11 cm −2) ). The nanoislands become dome-shaped upon annealing through a coarsening process mediated by Oswald ripening. The room temperature photoluminescence peaks for both as-grown 3.29 eV) and annealed 3.19 eV) samples consist of high intensity and broad emission, attributed to the effect of quantum confinement. The red shift (∼0.10 eV) of the emission peak is attributed to the change in the size of the Ge nanoislands caused by annealing. Our easy fabrication method may contribute to the development of Ge nanostructure-based optoelectronics. (interdisciplinary physics and related areas of science and technology)

  19. Research on a Micro-Nano Si/SiGe/Si Double Heterojunction Electro-Optic Modulation Structure

    Directory of Open Access Journals (Sweden)

    Song Feng

    2018-01-01

    Full Text Available The electro-optic modulator is a very important device in silicon photonics, which is responsible for the conversion of optical signals and electrical signals. For the electro-optic modulator, the carrier density of waveguide region is one of the key parameters. The traditional method of increasing carrier density is to increase the external modulation voltage, but this way will increase the modulation loss and also is not conducive to photonics integration. This paper presents a micro-nano Si/SiGe/Si double heterojunction electro-optic modulation structure. Based on the band theory of single heterojunction, the barrier heights are quantitatively calculated, and the carrier concentrations of heterojunction barrier are analyzed. The band and carrier injection characteristics of the double heterostructure structure are simulated, respectively, and the correctness of the theoretical analysis is demonstrated. The micro-nano Si/SiGe/Si double heterojunction electro-optic modulation is designed and tested, and comparison of testing results between the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation and the micro-nano Silicon-On-Insulator (SOI micro-ring electro-optic modulation, Free Spectrum Range, 3 dB Bandwidth, Q value, extinction ratio, and other parameters of the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation are better than others, and the modulation voltage and the modulation loss are lower.

  20. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.