WorldWideScience

Sample records for angstrom euv region

  1. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  2. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  3. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  4. Spectra in the 60 /angstrom/ to 345 /angstrom/ wavelength region of elements injected into the PLT tokamak

    International Nuclear Information System (INIS)

    Wouters, A.; Schwob, J.L.; Suckewer, S.; Seely, J.F.; Feldman, U.; Dave, J.H.

    1988-03-01

    High resolution spectra of the elements Fe, Ni, Zn, Ge, Se, and Mo injected into the PLT tokamak were recorded by the 2-meter Schwob-Fraenkel soft X-ray multichannel spectrometer (SOXMOS). Spectra were recorded every 50 ms during the time before and after injection. The spectral lines of the injected element were very strong in the spectrum recorded immedately after injection, and the transition in the injected element were easily distinguished from the transitions in te intrinsic elements (C, O, Ti, Cr, Fe, and Ni). An accurate wavelength scale was established using well-known reference transitions in the intrinsic elements. The spectra recorded just prior to injection were substracted from the spectra recorded after injection, and the resulting spectrum was composed almost entirely of transitions from the injected element. A large number of Δn + 0 transitions between the ground and the first excited configurations in the Li I through K I isoelectronic sequences of the injected elements were identified in the wavelength region 60 /angstrom/ to 345 /angstrom/. 33 refs., 5 figs., 1 tab

  5. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  6. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  7. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  8. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  9. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  10. Remote sensing of atomic oxygen: Some observational difficulties in the use of the forbidden O I λ 1173-angstrom and O I λ 1641-angstrom transitions

    International Nuclear Information System (INIS)

    Erdman, P.W.; Zipf, E.C.

    1987-01-01

    Recent sounding rocket and satellite studies suggest that simultaneous measurements of the O I λ989-angstrom and λ1,304-angstrom resonance lines and of the forbidden λ1,172.6-angstrom and λ1641.3-angstrom transitions which also originate from the 3s'3D degree and 3s 3S degree states would form the basis of a useful remote sensing technique for measuring the O I density and optical of a planetary or stellar atmosphere. Because the λ1,172.6-angstrom and λ1641.3-angstrom emissions are weak lines and are emitted in a wavelength region rich in spectral features, it is important to determine whether typical flight instruments can make measurements with sufficient spectral purity so that the remote sensing observations will yield accurate results. We have made a detailed, high-resolution study of the far ultraviolet emission features in the regions surrounding the atomic oxygen transitions at λ1,172.6-angstrom and λ1,641.3-angstrom. These spectra, which were excited by electron impact on O 2 and N 2 , are presented in an attempt to display some potential sources of interference in aeronomical measurements of these O I lines. Both atomic and molecular emissions are found, and the spectral resolution necessary to make unambiguous measurements is discussed

  11. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  12. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  13. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  14. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  15. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  16. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  17. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  18. Spatially and temporally resolved EUV emissions from SATURN z-pinches

    International Nuclear Information System (INIS)

    Nash, T.J.; Breeze, S.; Mock, R.; Jobe, D.

    1995-01-01

    EUV emissions can be used to measure several z-pinch parameters. The authors have measured implosion velocity from Doppler splitting of lines and estimated electron temperature during run-in from the mean ionization state of line emissions. In an argon pinch they measure an electron temperature of 100 eV before stagnation. To date Doppler split lines have measured implosion velocities less than 40 cm/microsecond. They are presently attempting to measure magnetic field or load current from Zeeman splitting and it may be possible to measure electron density from a Stark-broadened line. Opacity and ion thermal broadening may also contribute to line width information. The spectrometer utilizes a variable line space grating to give a flat focal field. Spectral resolution with a 60 micron detector resolution is up to 3,000 and generally increases with wavelength. This is sufficient to detect several plasma line broadening mechanisms. The spectrometer may detect lines above 100 angstrom and below 1,400 angstrom. Spectral range across a microchannel plate stripline detector decreases with increasing wavelength setting. The authors may gate two striplines with 1 to 12 nsec gates at any time during the pinch discharge. Each stripline spatially images the pinch diameter perpendicular to the direction of dispersion. Spatial resolution in the pinch diameter is 1 mm. Spatial acquisition along the z axis is also 1 mm. Data are presented from argon, krypton, and aluminum z-pinch discharges on the SATURN accelerator

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  1. Aerosol Angstrom Absorption Coefficient Comparisons during MILAGRO.

    Science.gov (United States)

    Marley, N. A.; Marchany-Rivera, A.; Kelley, K. L.; Mangu, A.; Gaffney, J. S.

    2007-12-01

    Measurements of aerosol absorption were obtained as part of the MAX-Mex component of the MILAGRO field campaign at site T0 (Instituto Mexicano de Petroleo in Mexico City) by using a 7-channel aethalometer (Thermo- Anderson) during the month of March, 2006. The absorption measurements obtained in the field at 370, 470, 520, 590, 660, 880, and 950 nm were used to determine the aerosol Angstrom absorption exponents by linear regression. Since, unlike other absorbing aerosol species (e.g. humic like substances, nitrated PAHs), black carbon absorption is relatively constant from the ultraviolet to the infrared with an Angstrom absorption exponent of -1 (1), a comparison of the Angstrom exponents can indicate the presence of aerosol components with an enhanced UV absorption over that expected from BC content alone. The Angstrom exponents determined from the aerosol absorption measurements obtained in the field varied from - 0.7 to - 1.3 during the study and was generally lower in the afternoon than the morning hours, indicating an increase in secondary aerosol formation and photochemically generated UV absorbing species in the afternoon. Twelve-hour integrated samples of fine atmospheric aerosols (Petroleo (IMP) and CENICA.

  2. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  3. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  4. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  5. Soft x-ray amplification in lithium-like Al XI (154 /angstrom/) and Si XII (129 /angstrom/)

    International Nuclear Information System (INIS)

    Kim, D.; Skinner, C.H.; Wouters, A.; Valeo, E.; Voorhees, D.; Suckewer, S.

    1988-03-01

    Recent experiments on soft x-ray amplification in lithium-like ions in a CO 2 laser-produced recombining plasma confined in a magnetic field are presented. The maximum gain-length products observed are GL ≅ 3 to 4 for the 154 /angstrom/, 4f-3d transition in Al XI and GL (approxreverse arrowequal/ 1 to 2 for the 129 /angstrom/, 4f-3d transition in Si XII, respectively. A one-dimensional hydrodynamic code with a collisional-radiative atomic model was used to model the plasma and the theoretical predictions of gain agree well with the observations. Descriptions of both hydrodynamic and atomic physics code are given. 36 refs., 10 figs

  6. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  7. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  8. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  9. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  10. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  11. The 830--1120 A Spectrum of a Bright Comet: First Results on Hale-Bopp

    Science.gov (United States)

    Stern, S. Alan; Festou, Michel C.; Slater, David C.; Parker, Joel Wm.; A'Hearn, Michael F.

    1998-09-01

    The EUVS planetary sounding rocket spectrograph was flown on 29 March 1997 from White Sands, New Mexico to observe comet Hale-Bopp in the bandpass from 830--1120 Angstroms. At the time of launch the comet was near perihelion, 0.92 AU from the Sun, 1.34 AU from Earth, and traveling at a heliocentric radial velocity of +0.70 km/s. EUVS obtained its primary spectra of the comet at resolution near 3 Angstroms, collecting 9340 counts over approximately 330 seconds of integration time. To our knowledge, the resulting dataset is both the most sensitive and the highest spectral resolution probe of a comet in the UV below 1200 Angstroms yet achieved. The spectrum includes significant detections which we tentatively attribute to due to 834 Angstroms 0 II, the 1026 Angstroms H I Lyman beta /O I blend, and 989 Angstroms O I; we will also discuss evidence for Argon signatures, as well as two additional, yet to be identified features. We will describe the EUVS Hale-Bopp experiment and its results, including feature brightnesses, corresponding columns, and species abundance ratios in the inner coma. In addition to its value for providing insight into comets in general, and Hale-Bopp in particular, this spectrum is serving as an excellent input for New Millennium Deep Space 1/MICAS and Rosetta/ALICE UV observation planning below 1200 Angstroms.

  12. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  13. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  14. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  15. Imaging Lithium Atoms at Sub-Angstrom Resolution

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, Michael A.; Shao-Horn, Yang

    2005-01-03

    John Cowley and his group at ASU were pioneers in the use of transmission electron microscopy (TEM) for high-resolution imaging. Three decades ago they achieved images showing the crystal unit cell content at better than 4A resolution. Over the years, this achievement has inspired improvements in resolution that have enabled researchers to pinpoint the positions of heavy atom columns within the cell. More recently, this ability has been extended to light atoms as resolution has improved. Sub-Angstrom resolution has enabled researchers to image the columns of light atoms (carbon, oxygen and nitrogen) that are present in many complex structures. By using sub-Angstrom focal-series reconstruction of the specimen exit surface wave to image columns of cobalt, oxygen, and lithium atoms in a transition metal oxide structure commonly used as positive electrodes in lithium rechargeable batteries, we show that the range of detectable light atoms extends to lithium. HRTEM at sub-Angstrom resolution will provide the essential role of experimental verification for the emergent nanotech revolution. Our results foreshadow those to be expected from next-generation TEMs with CS-corrected lenses and monochromated electron beams.

  16. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  17. Variations of aerosol optical depth and Angstrom parameters at a ...

    Indian Academy of Sciences (India)

    In this paper, aerosol optical properties including aerosol optical depth (AOD), Angstrom exponent () and Angstrom turbidity coefficient () have been investigated during December 2009 to October 2010, in a suburban area of Zanjan (36°N, 43°E, 1700 m), in the north–west of Iran, using meteorological and sun ...

  18. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  19. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  20. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  1. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  2. Analyses of the Sn IX-Sn XII spectra in the EUV region

    International Nuclear Information System (INIS)

    Churilov, S S; Ryabtsev, A N

    2006-01-01

    The Sn IX-Sn XII spectra excited in a vacuum spark have been analysed in the 130-160 A wavelength region. The analysis was based on the energy parameter extrapolation in the isonuclear Sn VI-VIII and Sn XIII-XIV sequence. 266 spectral lines belonging to the 4d m -(4d m-1 4f+4p 5 4d m+1 ) (m=6-3) transition arrays were classified in the Sn IX-Sn XII spectra for the first time. All 18 level energies of the 4d 3 configuration and 39 level energies of the strongly interacting 4d 2 4f and 4p 5 4d 4 configurations were established in the Sn XII spectrum. The energy differences between the majority of the 4d m levels and about 40 levels of the 4d m-1 4f+4p 5 4d m+1 configurations were determined in each of the Sn IX, Sn X and Sn XI spectra (m=6-4). As a result, all intense lines were classified in the 130-140 A region relevant to the extreme ultraviolet (EUV) lithography. It was shown that the most of the intense lines in the 2% bandwidth at 135 A belong to the transitions in the Sn XI-Sn XIII spectra

  3. HRTEM Imaging of Atoms at Sub-Angstrom Resolution

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, Michael A.; Allard, Lawrence F.; Blom, Douglas A.

    2005-04-06

    John Cowley and his group at Arizona State University pioneered the use of transmission electron microscopy (TEM) for high-resolution imaging. Images were achieved three decades ago showing the crystal unit cell content at better than 4 Angstrom resolution. This achievement enabled researchers to pinpoint the positions of heavy atom columns within the unit cell. Lighter atoms appear as resolution is improved to sub-Angstrom levels. Currently, advanced microscopes can image the columns of the light atoms (carbon, oxygen, nitrogen) that are present in many complex structures, and even the lithium atoms present in some battery materials. Sub-Angstrom imaging, initially achieved by focal-series reconstruction of the specimen exit surface wave, will become common place for next-generation electron microscopes with CS-corrected lenses and monochromated electron beams. Resolution can be quantified in terms of peak separation and inter-peak minimum, but the limits imposed on the attainable resolution by the properties of the micro-scope specimen need to be considered. At extreme resolution the ''size'' of atoms can mean that they will not be resolved even when spaced farther apart than the resolution of the microscope.

  4. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  5. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  6. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  7. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  8. Sub-Angstrom Atomic-Resolution Imaging of Heavy Atoms to Light Atoms

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, Michael A.; Shao-Horn, Yang

    2003-05-23

    Three decades ago John Cowley and his group at ASU achieved high-resolution electron microscope images showing the crystal unit cell contents at better than 4Angstrom resolution. Over the years, this achievement has inspired improvements in resolution that have enabled researchers to pinpoint the positions of heavy atom columns within the cell. More recently, this ability has been extended to light atoms as resolution has improved. Sub-Angstrom resolution has enabled researchers to image the columns of light atoms (carbon, oxygen and nitrogen) that are present in many complex structures. By using sub-Angstrom focal-series reconstruction of the specimen exit surface wave to image columns of cobalt, oxygen, and lithium atoms in a transition metal oxide structure commonly used as positive electrodes in lithium rechargeable batteries, we show that the range of detectable light atoms extends to lithium. HRTEM at sub-Angstrom resolution will provide the essential role of experimental verification for the emergent nanotech revolution. Our results foreshadow those to be expected from next-generation TEMs with Cs-corrected lenses and monochromated electron beams.

  9. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  10. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  11. Observation of melting in 30 angstrom diameter CdS nanocrystals

    International Nuclear Information System (INIS)

    Goldstein, A.N.; Colvin, V.L.; Alivisatos, A.P.

    1991-01-01

    In this paper temperature dependent electron diffraction studies on 30 Angstrom diameter CdS nanocrystals are described. The linear thermal expansion coefficient of the nanocrystals is 2.75 * 10 -5 Angstrom/K, and the melting point is 575 K. These data are in contrast to bulk CdS which has a melting point of 1750 K and a linear expansion coefficient of 5.5 * 10 -6 Angstrom/K. The observed depression in the melting point of these semiconductor clusters is similar to effects observed in metals and molecular crystals, indicating that the phenomenon of reduced melting point in small systems is a general one regardless of the type of material. The observation of melting point depression in these clusters also has far reaching implications for the preparation of highly crystalline clusters of CdS, as well as for the use of these nanocrystals as precursors to thin films

  12. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  13. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  14. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  15. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  16. The EUV dayglow at high spectral resolution

    International Nuclear Information System (INIS)

    Morrison, M.D.; Bowers, C.W.; Feldman, P.D.; Meier, R.R.

    1990-01-01

    Rocket observations of the dayglow spectrum of the terrestrial atmosphere between 840 angstrom and 1860 angstrom at 2 angstrom resolution were obtained with a sounding rocket payload flown on January 17, 1985. Additionally, spectra were also obtained using a 0.125-m focal length scanning Ebert-Fastie monochromator covering the wavelength interval of 1150-1550 angstrom at 7 angstrom resolution on this flight and on a sounding rocket flight on August 29, 1983, under similar viewing geometries and solar zenith angles. Three bands of the N 2 c' 4 system are seen clearly resolved in the dayglow. Analysis of high-resolution N 2 Lyman-Birge-Hopfield data shows no anomalous vibrational distribution as has been reported from other observations. The altitude profiles of the observed O and N 2 emissions demonstrate that the MSIS-83 model O and N 2 densities are appropriate for the conditions of both the 1983 and 1985 rocket flights. A reduction of a factor of 2 in the model O 2 density is required for both flights to reproduce the low-altitude atomic oxygen emission profiles. The volume excitation rates calculated using the Hinteregger et al. (1981) SC number-sign 21REFW solar reference spectrum and the photoelectron flux model of Strickland and Meier (1982) need to be scaled upward by a factor of 1.4 for both fights to match the observations

  17. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  18. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  19. Cooling Active Region Loops Observed With SXT and TRACE

    OpenAIRE

    Winebarger, Amy R.; Warren, Harry P.

    2005-01-01

    An Impulsive Heating Multiple Strand (IHMS) Model is able to reproduce the observational characteristics of EUV (~ 1 MK) active region loops. This model implies that some of the loops must reach temperatures where X-ray filters are sensitive (> 2.5 MK) before they cool to EUV temperatures. Hence, some bright EUV loops must be preceded by bright X-ray loops. Previous analysis of X-ray and EUV active region observations, however, have concluded that EUV loops are not preceded by X-ray loops. In...

  20. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  1. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  2. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  3. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  4. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  5. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  6. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  7. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  8. Comparison of the Scaling Properties of EUV Intensity Fluctuations in Coronal Holes to those in Regions of Quiet Sun

    Science.gov (United States)

    Cadavid, Ana Cristina; Lawrence, John K.; Jennings, Peter John

    2017-08-01

    We investigate the scaling properties of EUV intensity fluctuations seen in low-latitude coronal holes (CH) and in regions of Quiet Sun (QS), in signals obtained with the SDO/AIA instrument in the 193 Å waveband. Contemporaneous time series in the 171 and 211 Å wavebands are used for comparison among emissions at different heights in the transition region and low corona. Potential-field extrapolations of contemporaneous SDO/HMI line-of-sight magnetic fields provide a context in the physical environment. Detrended fluctuation analysis (DFA) shows that the variance of the fluctuations obeys a power-law as a function of temporal scales with periods in the range ~15-60 min. This scaling is characterized by a generalized Hurst exponent α. In QS regions, and in regions within CHs that include magnetic bipoles, the scaling exponent lies in the range 1.0 anti-correlated, turbulent-like, dynamical processes. Regions inside the coronal holes primarily associated with magnetic field of a dominant single polarity, have a generalized exponent (0.5 correlated (“persistent”) processes. The results indicate the influence of the magnetic fields on the dynamics of the emission.

  9. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  10. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  11. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  12. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  13. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  14. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  15. Aerosol optical depth (AOD) and Angstrom exponent of aerosols observed by the Chinese Sun Hazemeter Network from August 2004 to September 2005

    Science.gov (United States)

    Jinyuan Xin; Yuesi Wang; Zhanqing Li; Pucai Wang; Wei Min Hao; Bryce L. Nordgren; Shigong Wang; Guangren Lui; Lili Wang; Tianxue Wen; Yang Sun; Bo Hu

    2007-01-01

    To reduce uncertainties in the quantitative assessment of aerosol effects on regional climate and environmental changes, extensive measurements of aerosol optical properties were made with handheld Sun photometers in the Chinese Sun Hazemeter Network (CSHNET) starting in August 2004. Regional characteristics of the aerosol optical depth (AOD) at 500 nm and Angstrom...

  16. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  17. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  18. X-ray study of the structure of polyethylene at the scale of 100-200 Angstrom; Etude par rayons X dela structure du polyethylene a l'echelle de 100-200 Angstrom

    Energy Technology Data Exchange (ETDEWEB)

    Belbeoch nee Goldsztein, B [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1958-06-15

    Information on the structure of polyethylene is deduced from a comparison of the results obtained by central diffusion and by other X-ray methods. The structure depends on the thermal and mechanical treatment to which the samples are subjected, as well as on the observation temperature. The central diffusion due to the heterogeneity of the material at the scale of 100-200 Angstrom is bound up with the presence of both the amorphous and crystalline phases. Stretched polythene shows a more or less regular succession of orderly and disorderly regions. When released it has a structure of recrystallisation preceded by 'amorphization'. (author) [French] Les informations sur la structure du polyethylene sont deduites de la confrontation des resultats obtenus par la diffusion centrale et par d'autres methodes de rayons X. La structure depend des traitements thermiques et mecaniques subis par les echantillons ainsi que la temperature d'observation. La diffusion centrale due a l'existence d'heterogeneites de la matiere a l'echelle 100-200 Angstrom est lie a la presence des deux phases amorphe et cristallisee. Le polyethylene etire comporte une succession plus ou moins reguliere de domaines ordonnes et desordonnes. Le polyethylene relaxe a une structure de recristallisation precedee d'une 'amorphisation'. (auteur)

  19. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  20. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  1. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  2. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  3. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  4. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  5. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  6. LID: Computer code for identifying atomic and ionic lines below 3500 Angstroms

    International Nuclear Information System (INIS)

    Peek, J.M.; Dukart, R.J.

    1987-08-01

    An interactive computer code has been written to search a data base containing information useful for identifying lines in experimentally-observed spectra or for designing experiments. The data base was the basis for the Kelly and Palumbo critical review of well-resolved lines below 2000 Angstroms, includes lines below 3500 Angstroms for atoms and ions of hydrogen through krypton, and was obtained from R.L. Kelly. This code allows the user to search the data base for a user-specified wavelength region, with this search either limited to atoms or ions of the user's choice for all atoms and ions contained in the data base. The line information found in the search is stored in a local file for later reference. A plotting capability is provided to graphically display the lines resulting from the search. Several options are available to control the nature of these graphs. It is also possible to bring in data from another source, such as an experimental spectra, for display along with the lines from the data-base search. Options for manipulating the experimental spectra's background intensity and wavelength scale are also available to the user. The intensities for the lines from each ion found in the data-base search can be scaled by a multiplicative constant to better simulate the observed spectrum

  7. Diagnostics of Coronal Heating in Solar Active Regions

    Science.gov (United States)

    Fludra, Andrzej; Hornsey, Christopher; Nakariakov, Valery

    2015-04-01

    We aim to develop a diagnostic method for the coronal heating mechanism in active region loops. Observational constraints on coronal heating models have been sought using measurements in the X-ray and EUV wavelengths. Statistical analysis, using EUV emission from many active regions, was done by Fludra and Ireland (2008) who studied power-law relationships between active region integrated magnetic flux and emission line intensities. A subsequent study by Fludra and Warren (2010) for the first time compared fully resolved images in an EUV spectral line of OV 63.0 nm with the photospheric magnetic field, leading to the identification of a dominant, ubiquitous variable component of the transition region EUV emission and a discovery of a steady basal heating, and deriving the dependence of the basal heating rate on the photospheric magnetic flux density. In this study, we compare models of single coronal loops with EUV observations. We assess to what degree observations of individual coronal loops made in the EUV range are capable of providing constraints on the heating mechanism. We model the coronal magnetic field in an active region using an NLFF extrapolation code applied to a photospheric vector magnetogram from SDO/HMI and select several loops that match an SDO/AIA 171 image of the same active region. We then model the plasma in these loops using a 1D hydrostatic code capable of applying an arbitrary heating rate as a function of magnetic field strength along the loop. From the plasma parameters derived from this model, we calculate the EUV emission along the loop in AIA 171 and 335 bands, and in pure spectral lines of Fe IX 17.1 nm and Fe XVI 33.5 nm. We use different spatial distributions of the heating function: concentrated near the loop top, uniform and concentrated near the footpoints, and investigate their effect on the modelled EUV intensities. We find a diagnostics based on the dependence of the total loop intensity on the shape of the heating function

  8. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  9. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  10. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  11. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  12. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  13. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  14. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  15. Heating mechanisms for intermittent loops in active region cores from AIA/SDO EUV observations

    Energy Technology Data Exchange (ETDEWEB)

    Cadavid, A. C.; Lawrence, J. K.; Christian, D. J. [Department of Physics and Astronomy, California State University Northridge, Northridge, CA 91330 (United States); Jess, D. B. [Astrophysics Research Centre, School of Mathematics and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); Nigro, G. [Universita della Calabria, Dipartimento di Fisica and Centro Nazionale Interuniversitario Struttura della Materia, Unita di Cosenza, I-87030 Arcavacata di Rende (Italy)

    2014-11-01

    We investigate intensity variations and energy deposition in five coronal loops in active region cores. These were selected for their strong variability in the AIA/SDO 94 Å intensity channel. We isolate the hot Fe XVIII and Fe XXI components of the 94 Å and 131 Å by modeling and subtracting the 'warm' contributions to the emission. HMI/SDO data allow us to focus on 'inter-moss' regions in the loops. The detailed evolution of the inter-moss intensity time series reveals loops that are impulsively heated in a mode compatible with a nanoflare storm, with a spike in the hot 131 Å signals leading and the other five EUV emission channels following in progressive cooling order. A sharp increase in electron temperature tends to follow closely after the hot 131 Å signal confirming the impulsive nature of the process. A cooler process of growing emission measure follows more slowly. The Fourier power spectra of the hot 131 Å signals, when averaged over the five loops, present three scaling regimes with break frequencies near 0.1 min{sup –1} and 0.7 min{sup –1}. The low frequency regime corresponds to 1/f noise; the intermediate indicates a persistent scaling process and the high frequencies show white noise. Very similar results are found for the energy dissipation in a 2D 'hybrid' shell model of loop magneto-turbulence, based on reduced magnetohydrodynamics, that is compatible with nanoflare statistics. We suggest that such turbulent dissipation is the energy source for our loops.

  16. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  17. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  18. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  19. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  20. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  1. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  2. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  3. Sub-Angstrom microscopy through incoherent imaging and image reconstruction

    International Nuclear Information System (INIS)

    Pennycook, S.J.; Jesson, D.E.; Chisholm, M.F.; Ferridge, A.G.; Seddon, M.J.

    1992-03-01

    Z-contrast scanning transmission electron microscopy (STEM) with a high-angle annular detector breaks the coherence of the imaging process, and provides an incoherent image of a crystal projection. Even in the presence of strong dynamical diffraction, the image can be accurately described as a convolution between an object function, sharply peaked at the projected atomic sites, and the probe intensity profile. Such an image can be inverted intuitively without the need for model structures, and therefore provides the important capability to reveal unanticipated interfacial arrangements. It represents a direct image of the crystal projection, revealing the location of the atomic columns and their relative high-angle scattering power. Since no phase is associated with a peak in the object function or the contrast transfer function, extension to higher resolution is also straightforward. Image restoration techniques such as maximum entropy, in conjunction with the 1.3 Angstrom probe anticipated for a 300 kV STEM, appear to provide a simple and robust route to the achievement of sub-Angstrom resolution electron microscopy

  4. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  5. Responses of Solar Irradiance and the Ionosphere to an Intense Activity Region

    Science.gov (United States)

    Chen, Yiding; Liu, Libo; Le, Huijun; Wan, Weixing

    2018-03-01

    Solar rotation (SR) variation dominates solar extremely ultraviolet (EUV) changes on the timescale of days. The F10.7 index is usually used as an indicator for solar EUV. The SR variation of F10.7 significantly enhanced during the 2008th-2009th Carrington rotations (CRs) owing to an intense active region; F10.7 increased about 180 units during that SR period. That was the most prominent SR variation of F10.7 during solar cycle 23. In this paper, global electron content (GEC) is used to investigate ionospheric response to that strong variation of solar irradiance indicated by F10.7. The variation of GEC with F10.7 was anomalous (GEC-F10.7 slope significantly decreased) during the 2008th-2009th CRs; however, GEC versus EUV variation during that period was consistent with that during adjacent time intervals when using Solar Heliospheric Observatory/Solar EUV Monitor 26-34 nm EUV measurements. The reason is that F10.7 response to that intense active region was much stronger than EUV response; thus, the EUV-F10.7 slope decreased. We confirmed decreased EUV-F10.7 slope during the 2008th-2009th CRs for different wavelengths within 27-120 nm using Thermosphere, Ionosphere, Mesosphere Energetics and Dynamics/Solar EUV Experiment high spectral resolution EUV measurements. And on the basis of Solar Heliospheric Observatory/Solar EUV Monitor EUV measurements during solar cycle 23, we further presented that EUV-F10.7 slope statistically tends to decrease when the SR variation of F10.7 significantly enhances. Moreover, we found that ionospheric time lag effect to EUV is exaggerated when using F10.7, owing to the time lag effect of EUV to F10.7.

  6. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  7. AN AUTOMATIC DETECTION METHOD FOR EXTREME-ULTRAVIOLET DIMMINGS ASSOCIATED WITH SMALL-SCALE ERUPTION

    Energy Technology Data Exchange (ETDEWEB)

    Alipour, N.; Safari, H. [Department of Physics, University of Zanjan, P.O. Box 45195-313, Zanjan (Iran, Islamic Republic of); Innes, D. E. [Max-Planck Institut fuer Sonnensystemforschung, 37191 Katlenburg-Lindau (Germany)

    2012-02-10

    Small-scale extreme-ultraviolet (EUV) dimming often surrounds sites of energy release in the quiet Sun. This paper describes a method for the automatic detection of these small-scale EUV dimmings using a feature-based classifier. The method is demonstrated using sequences of 171 Angstrom-Sign images taken by the STEREO/Extreme UltraViolet Imager (EUVI) on 2007 June 13 and by Solar Dynamics Observatory/Atmospheric Imaging Assembly on 2010 August 27. The feature identification relies on recognizing structure in sequences of space-time 171 Angstrom-Sign images using the Zernike moments of the images. The Zernike moments space-time slices with events and non-events are distinctive enough to be separated using a support vector machine (SVM) classifier. The SVM is trained using 150 events and 700 non-event space-time slices. We find a total of 1217 events in the EUVI images and 2064 events in the AIA images on the days studied. Most of the events are found between latitudes -35 Degree-Sign and +35 Degree-Sign . The sizes and expansion speeds of central dimming regions are extracted using a region grow algorithm. The histograms of the sizes in both EUVI and AIA follow a steep power law with slope of about -5. The AIA slope extends to smaller sizes before turning over. The mean velocity of 1325 dimming regions seen by AIA is found to be about 14 km s{sup -1}.

  8. Modeling the 6,300-angstrom low-latitude nightglow

    International Nuclear Information System (INIS)

    Fesen, C.G.; Abreu, V.J.

    1987-01-01

    Observations of the 6,300-angstrom nightglow form the Visible Airglow Experiment (VAE) instrument on AE-E are presented for spring equinox, solar cycle maximum conditions. The data comprise altitude profiles and integrated column brightness maps from ∼1,800 to 0400 LT and within ±30 degrees of the dip equator. The data clearly show near-midnight enhancements of the 6,300-angstrom emission. Attempts to model the column brightness maps indicated that these enhancements are due to tidal effects: the enhancements were only reproduced in the theoretical calculations which included upward propagating tidal components in the neutral winds. Further, low equatorial intensities were observed by the VCAE which could only be simulated by assuming that the phase of the E x B drift by shifted 1 hour LT; i.e., upward drift persists until 2,000 LT instead of 1,900 LT. The VAE observations could be reasonably simulated with the phase shift in the E x B drift and with the dip and geographic equators offset. The major discrepancy is in the magnitude of the nightglow maxima: the calculated intensities are a maximum of 2 times too large. Possible sources are uncertainties in the neutral densities, chemistry, and rate coefficients and in the neutral winds

  9. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  10. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  11. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  12. X-ray study of the structure of polyethylene at the scale of 100-200 Angstrom

    International Nuclear Information System (INIS)

    Belbeoch nee Goldsztein, B.

    1958-06-01

    Information on the structure of polyethylene is deduced from a comparison of the results obtained by central diffusion and by other X-ray methods. The structure depends on the thermal and mechanical treatment to which the samples are subjected, as well as on the observation temperature. The central diffusion due to the heterogeneity of the material at the scale of 100-200 Angstrom is bound up with the presence of both the amorphous and crystalline phases. Stretched polythene shows a more or less regular succession of orderly and disorderly regions. When released it has a structure of recrystallisation preceded by 'amorphization'. (author) [fr

  13. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  14. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  15. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  16. Diffraction patterns from 7-Angstroms tubular halloysite

    International Nuclear Information System (INIS)

    Eggleton, T.

    1998-01-01

    Full text: The diffraction patterns from 7-Angstroms tubular halloysite are superficially like those from kaolinite. Diffraction from a tubular aggregate of atoms, however, differs from that from a crystal because there is no linear repetition in two of the three conventional crystallographic directions. In tubular halloysite, the tube axis is [010] or [110] and in this direction the unit cell repeats in the normal linear fashion. The x-axis, by contrast, changes direction tangentially around the tube circumference, and there can be no true z-axis, because unit cells in the radial direction do not superimpose, since each successive tubular layer has a larger radius than its predecessor and therefore must contain more unit cells than its predecessor. Because tubular 'crystals' do not have a lattice repeat, use of Bragg 'hkl' indices is not appropriate. In the xy plane, a small area of the structure approximates a flat layer silicate, and hk indices may been used to label diffraction maxima. Similarly, successive 1:1 layers tangential to the tube walls yield a series of apparent 001 diffraction maxima. Measurement of these shows that the d-spacings do not form an exact integral series. The reason for this lies in the curvature of the structure. Calculated electron and powder X-ray diffraction patterns, based on a model of concentric 1:1 layers with no regular relation between them other than the 7.2 Angstroms spacing, closely simulate the observed data. Evidence for the 2-layer structure that is generally accepted may need to be reassessed in the light of these results

  17. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  18. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  19. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  20. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  1. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  2. THE Na 8200 Angstrom-Sign DOUBLET AS AN AGE INDICATOR IN LOW-MASS STARS

    Energy Technology Data Exchange (ETDEWEB)

    Schlieder, Joshua E.; Simon, Michal [Department of Physics and Astronomy, Stony Brook University, Stony Brook, NY 11794 (United States); Lepine, Sebastien; Rice, Emily [Department of Astrophysics, American Museum of Natural History, Central Park West at 79th Street, New York, NY 10024 (United States); Fielding, Drummond [Department of Physics and Astronomy, Johns Hopkins University, 366 Bloomberg Center, 3400 North Charles Street, Baltimore, MD 21218 (United States); Tomasino, Rachael, E-mail: michal.simon@stonybrook.edu, E-mail: schlieder@mpia-hd.mpg.de, E-mail: lepine@amnh.org, E-mail: erice@amnh.org, E-mail: dfieldi1@jhu.edu, E-mail: tomas1r@cmich.edu [Department of Physics, Central Michigan University, Mount Pleasant, MI 48859 (United States)

    2012-05-15

    We investigate the use of the gravity sensitive neutral sodium (Na I) doublet at 8183 Angstrom-Sign and 8195 Angstrom-Sign (Na 8200 Angstrom-Sign doublet) as an age indicator for M dwarfs. We measured the Na doublet equivalent width (EW) in giants, old dwarfs, young dwarfs, and candidate members of the {beta} Pic moving group using medium-resolution spectra. Our Na 8200 A doublet EW analysis shows that the feature is useful as an approximate age indicator in M-type dwarfs with (V - K{sub s}) {>=} 5.0, reliably distinguishing stars older and younger than 100 Myr. A simple derivation of the dependence of the Na EW on temperature and gravity supports the observational results. An analysis of the effects of metallicity shows that this youth indicator is best used on samples with similar metallicity. The age estimation technique presented here becomes useful in a mass regime where traditional youth indicators are increasingly less reliable, is applicable to other alkali lines, and will help identify new low-mass members in other young clusters and associations.

  3. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  4. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  5. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  6. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  7. Angstrom-Resolution Magnetic Resonance Imaging of Single Molecules via Wave-Function Fingerprints of Nuclear Spins

    Science.gov (United States)

    Ma, Wen-Long; Liu, Ren-Bao

    2016-08-01

    Single-molecule sensitivity of nuclear magnetic resonance (NMR) and angstrom resolution of magnetic resonance imaging (MRI) are the highest challenges in magnetic microscopy. Recent development in dynamical-decoupling- (DD) enhanced diamond quantum sensing has enabled single-nucleus NMR and nanoscale NMR. Similar to conventional NMR and MRI, current DD-based quantum sensing utilizes the "frequency fingerprints" of target nuclear spins. The frequency fingerprints by their nature cannot resolve different nuclear spins that have the same noise frequency or differentiate different types of correlations in nuclear-spin clusters, which limit the resolution of single-molecule MRI. Here we show that this limitation can be overcome by using "wave-function fingerprints" of target nuclear spins, which is much more sensitive than the frequency fingerprints to the weak hyperfine interaction between the targets and a sensor under resonant DD control. We demonstrate a scheme of angstrom-resolution MRI that is capable of counting and individually localizing single nuclear spins of the same frequency and characterizing the correlations in nuclear-spin clusters. A nitrogen-vacancy-center spin sensor near a diamond surface, provided that the coherence time is improved by surface engineering in the near future, may be employed to determine with angstrom resolution the positions and conformation of single molecules that are isotope labeled. The scheme in this work offers an approach to breaking the resolution limit set by the "frequency gradients" in conventional MRI and to reaching the angstrom-scale resolution.

  8. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  9. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  10. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  11. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  12. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  13. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  14. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  15. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  16. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  17. Black Carbon, Aerosol optical depth and Angstrom Exponent in São Paulo, Brazil

    Science.gov (United States)

    Miranda, R. M.; Perez-Martinez, P. J.; Andrade, M. D. F.

    2017-12-01

    Black carbon (BC) is a major absorber of solar radiation, and its impact on the radiative balance is therefore considered important. Fossil fuel combustion processes and biomass burning result in the emission of BC. Black carbon is being monitored since 2014 with a Multi-Angle Absorption Photometer-MAAP (5012; Thermo Scientific) in the East Zone of São Paulo, Brazil. São Paulo Metropolitan Area with more than 19 million inhabitants, 7 million vehicles, has high concentrations of air pollutants, especially in the winter. Vehicles can be considered the principal source of particles emitted to the atmosphere. Concentration of the pollutant had an average of 1.95 ug.m-3 ± 2.06 and a maximum value of 19.93 ug.m-3. These large variations were due to meteorological effects and to the influence of anthropogenic activities, since samples were collected close to important highways. Winds coming from the East part predominate. Higher concentrations were found in the winter months (June, July and August). Optical data from AERONET (Aerosol Optical Depth-AOD 550 nm and Angstrom Exponent 440-675 nm) were related to BC concentrations for the period from August, 2016. Average values of AOD at 500 nm and Angstrom Parameter (440-675nm) were 0.16±0.11 and 1.44±0.23, respectively. Higher BC concentrations were related to lower Angstrom values.

  18. Crystal Structure of VC0702 at 2.0 Angstrom: Conserved Hypothetical Protein from Vibrio Cholerae

    International Nuclear Information System (INIS)

    Ni, S.; Forouhar, F.; Bussiere, D.; Robinson, H.; Kennedy, M.

    2006-01-01

    VC0702, a conserved hypothetical protein of unknown function from Vibrio cholerae, resides in a three-gene operon containing the MbaA gene that encodes for a GGDEF and EAL domain-containing protein which is involved in regulating formation of the extracellular matrix of biofilms in Vibrio cholerae. The VC0702 crystal structure has been determined at 2.0 Angstroms and refined to R work = 22.8% and R free = 26.3%. VC0702 crystallized in an orthorhombic crystal lattice in the C2221 space group with dimensions of a = 66.61 Angstroms, b = 88.118 Angstroms, and c = 118.35 Angstroms with a homodimer in the asymmetric unit. VC0702, which forms a mixed α + β three-layered αβα sandwich, belongs to the Pfam DUF84 and COG1986 families of proteins. Sequence conservation within the DUF84 and COG1986 families was used to identify a conserved patch of surface residues that define a cleft and potential substrate-binding site in VC0702. The three-dimensional structure of VC0702 is similar to that of Mj0226 from Methanococcus janeschii, which has been identified as a novel NTPase that binds NTP in a deep cleft similarly located to the conserved patch of surface residues that define an analogous cleft in VC0702. Collectively, the data suggest that VC0702 may have a biochemical function that involves NTP binding and phosphatase activity of some kind, and is likely involved in regulation of the signaling pathway that controls biofilm formation and maintenance in Vibrio cholerae

  19. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  20. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  1. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  2. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  3. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  4. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  5. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  6. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  7. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  8. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  9. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  10. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  11. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  12. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  13. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  14. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  15. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  16. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  17. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  18. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  19. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  20. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  1. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  2. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  3. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  4. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  5. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  6. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  7. Sub-Angstrom oscillation amplitude non-contact atomic force microscopy for lateral force gradient measurement

    International Nuclear Information System (INIS)

    Atabak, Mehrdad; Unverdi, Ozhan; Ozer, H. Ozguer; Oral, Ahmet

    2009-01-01

    We report the first results from novel sub-Angstrom oscillation amplitude non-contact atomic force microscopy developed for lateral force gradient measurements. Quantitative lateral force gradients between a tungsten tip and Si(1 1 1)-(7 x 7) surface can be measured using this microscope. Simultaneous lateral force gradient and scanning tunnelling microscope images of single and multi atomic steps are obtained. In our measurement, tunnel current is used as feedback. The lateral stiffness contrast has been observed to be 2.5 N/m at single atomic step, in contrast to 13 N/m at multi atomic step on Si(1 1 1) surface. We also carried out a series of lateral stiffness-distance spectroscopy. We observed lateral stiffness-distance curves exhibit sharp increase in the stiffness as the sample is approached towards the surface. We usually observed positive stiffness and sometimes going into slightly negative region.

  8. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  9. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  10. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  11. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  12. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  13. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  14. 1D goes 2D: A Berezinskii-Kosterlitz-Thouless transition in superconducting arrays of 4-Angstrom carbon nanotubes

    KAUST Repository

    Wang, Zhe

    2010-10-01

    We report superconducting resistive transition characteristics for array(s) of coupled 4-Angstrom single wall carbon nanotubes embedded in aluminophosphate-five zeolite. The transition was observed to initiate at 15 K with a slow resistance decrease switching to a sharp, order of magnitude drop between 7.5 and 6.0 K with strong (anisotropic) magnetic field dependence. Both the sharp resistance drop and its attendant nonlinear IV characteristics are consistent with the manifestations of a Berezinskii-Kosterlitz-Thouless transition that establishes quasi long range order in the plane transverse to the c-axis of the nanotubes, leading to an inhomogeneous system comprising 3D superconducting regions connected by weak links. Global coherence is established at below 5 K with the appearance of a well-defined supercurrent gap/low resistance region at 2 K. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  16. Towards sub-{Angstrom} resolution through incoherent imaging

    Energy Technology Data Exchange (ETDEWEB)

    Pennycook, S.J.; Chisholm, M.F. [Oak Ridge National Lab., TN (United States); Nellist, P.D. [Cavendish Lab., Cambridge, (United Kingdom)

    1997-04-01

    As first pointed out by Lord Rayleigh a century ago, incoherent imaging offers a substantial resolution enhancement compared to coherent imaging, together with freedom from phase contrast interference effects and contrast oscillations. In the STEM configuration, with a high angle annular detector to provide the transverse incoherence, the image also shows strong Z-contrast, sufficient in the case of a 300 kV STEM to image single Pt and Rh atoms on a {gamma}-alumina support. The annular detector provides complementarity to a bright field detector of the same size. For weakly scattering specimens, it shows greater contrast than the incoherent bright field image, and also facilitates EELS analysis at atomic resolution, using the Z-contrast image to locate the probe with sub-{angstrom} precision. The inner radius of the annular detector can be chosen to reduce the transverse coherence length to well below the spacings needed to resolve the object, a significant advantage compared to light microscopy.

  17. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  18. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  19. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  20. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  1. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  2. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  3. Electromagnetic Saturation of Angstrom-Sized Quantum Barriers at Terahertz Frequencies

    Science.gov (United States)

    Bahk, Young-Mi; Kang, Bong Joo; Kim, Yong Seung; Kim, Joon-Yeon; Kim, Won Tae; Kim, Tae Yun; Kang, Taehee; Rhie, Jiyeah; Han, Sanghoon; Park, Cheol-Hwan; Rotermund, Fabian; Kim, Dai-Sik

    2015-09-01

    Metal-graphene-metal hybrid structures allow angstrom-scale van der Waals gaps, across which electron tunneling occurs. We squeeze terahertz electromagnetic waves through these λ /10 000 000 gaps, accompanied by giant field enhancements. Unprecedented transmission reduction of 97% is achieved with the transient voltage across the gap saturating at 5 V. Electron tunneling facilitated by the transient electric field strongly modifies the gap index, starting a self-limiting process related to the barrier height. Our work enables greater interplay between classical optics and quantum tunneling, and provides optical indices to the van der Waals gaps.

  4. Electromagnetic Saturation of Angstrom-Sized Quantum Barriers at Terahertz Frequencies.

    Science.gov (United States)

    Bahk, Young-Mi; Kang, Bong Joo; Kim, Yong Seung; Kim, Joon-Yeon; Kim, Won Tae; Kim, Tae Yun; Kang, Taehee; Rhie, Jiyeah; Han, Sanghoon; Park, Cheol-Hwan; Rotermund, Fabian; Kim, Dai-Sik

    2015-09-18

    Metal-graphene-metal hybrid structures allow angstrom-scale van der Waals gaps, across which electron tunneling occurs. We squeeze terahertz electromagnetic waves through these λ/10 000 000 gaps, accompanied by giant field enhancements. Unprecedented transmission reduction of 97% is achieved with the transient voltage across the gap saturating at 5 V. Electron tunneling facilitated by the transient electric field strongly modifies the gap index, starting a self-limiting process related to the barrier height. Our work enables greater interplay between classical optics and quantum tunneling, and provides optical indices to the van der Waals gaps.

  5. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  6. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  7. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  8. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  9. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  10. Mg IX emission lines in an active region spectrum obtained with the Solar EUV Rocket Telescope and Spectrograph (SERTS)

    Science.gov (United States)

    Keenan, F. P.; Thomas, R. J.; Neupert, W. M.; Conlon, E. S.

    1994-01-01

    Theoretical electron-temperature-sensitive Mg IX emission line ratios are presented for R(sub 1) = I(443.96 A)/I(368.06 A), R(sub 2) = I(439.17 A)/I(368.06 A), R(sub 3) = I(443.37 A)/I(368.06 A), R(sub 4) = I(441.22 A)/I(368.06 A), and R(sub 5) = I(448.28 A)/I(368.06 A). A comparison of these with observational data for a solar active region, obtained during a rocket flight by the Solar EUV Rocket Telescope and Spectrograph (SERTS), reveals excellent agreement between theory and observation for R(sub 1) through R(sub 4), with discrepancies that average only 9%. This provides experimental support for the accuracy of the atomic data adopted in the line ratio calculations, and also resolves discrepancies found previously when the theoretical results were compared with solar data from the S082A instrument on board Skylab. However in the case of R(sub 5), the theoretical and observed ratios differ by almost a factor of 2. This may be due to the measured intensity of the 448.28 A line being seriously affected by instrumental effects, as it lies very close to the long wavelength edge of the SERTS spectral coverage (235.46-448.76 A).

  11. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  12. Uses of microchannel plate intensified detectors for imaging applications in the X-ray, EUV and visible wavelength regions

    International Nuclear Information System (INIS)

    Read, P.D.; Carter, M.K.; Pike, C.D.; Harrison, R.A.; Kent, B.J.; Swinyard, B.M.; Patchett, B.E.; Redfern, R.M.; Shearer, A.; Colhoun, M.

    1997-01-01

    The Rutherford Appleton laboratory photon counting detector (RALPCD) has been refined to meet project requirements for a flexible imaging arrangement with applications at X-ray, EUV and visible wavelengths. The basic detector design comprises commercially available high gain microchannel plate intensifiers fibre optically coupled to CID or CCD cameras, to form a modular detector arrangement with the appropriate RAL detection and centroiding software. Frames of data from the cameras are detected and centroided in a transputer or C40 parallel processor array where correction algorithms use look up tables to produce pattern free images at high resolution. Data from completed applications are used to illustrate the performance and future advances are discussed. (orig.)

  13. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  14. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  15. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  16. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  17. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  18. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  19. ATOMIC DATA FOR ABSORPTION-LINES FROM THE GROUND-LEVEL AT WAVELENGTHS GREATER-THAN-228-ANGSTROM

    NARCIS (Netherlands)

    VERNER, DA; BARTHEL, PD; TYTLER, D

    1994-01-01

    We list wavelengths, statistical weigths and oscillator strengths for 2249 spectral lines arising from the ground states of atoms and ions. The compilation covers all wavelengths longward of the HeII Lyman limit at 227.838 Angstrom and all the ion states of all elements from hydrogen to bismuth (Z =

  20. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  1. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  2. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  3. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  4. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  5. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  6. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  7. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  8. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  9. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  10. Achieving high permeability and enhanced selectivity for Angstrom-scale separations using artificial water channel membranes.

    Science.gov (United States)

    Shen, Yue-Xiao; Song, Woochul C; Barden, D Ryan; Ren, Tingwei; Lang, Chao; Feroz, Hasin; Henderson, Codey B; Saboe, Patrick O; Tsai, Daniel; Yan, Hengjing; Butler, Peter J; Bazan, Guillermo C; Phillip, William A; Hickey, Robert J; Cremer, Paul S; Vashisth, Harish; Kumar, Manish

    2018-06-12

    Synthetic polymer membranes, critical to diverse energy-efficient separations, are subject to permeability-selectivity trade-offs that decrease their overall efficacy. These trade-offs are due to structural variations (e.g., broad pore size distributions) in both nonporous membranes used for Angstrom-scale separations and porous membranes used for nano to micron-scale separations. Biological membranes utilize well-defined Angstrom-scale pores to provide exceptional transport properties and can be used as inspiration to overcome this trade-off. Here, we present a comprehensive demonstration of such a bioinspired approach based on pillar[5]arene artificial water channels, resulting in artificial water channel-based block copolymer membranes. These membranes have a sharp selectivity profile with a molecular weight cutoff of ~ 500 Da, a size range challenging to achieve with current membranes, while achieving a large improvement in permeability (~65 L m -2  h -1  bar -1  compared with 4-7 L m -2  h -1  bar -1 ) over similarly rated commercial membranes.

  11. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  12. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  13. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  14. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  15. Active region structures in the transition region and corona

    International Nuclear Information System (INIS)

    Webb, D.F.

    1981-01-01

    Observational aspects of the transition region and coronal structures of the solar active region are reviewed with an emphasis on imaging of the plasma loops which act as tracers of the magnetic flux loops. The study of the basic structure of an active region is discussed in terms of the morphological and thermal classifications of active region loops, including umbral structures, and observational knowledge of the thermal structure of loops is considered in relation to scaling laws, emission measures and the structures of individual loops. The temporal evolution of active region loop structures is reviewed with emphasis on ephemeral regions and the emergence of active regions. Planned future spaceborne observations of active region loop structures in the EUV and soft X-ray regions are also indicated

  16. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  17. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  18. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  19. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  20. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  1. Flexibility of the myosin heavy chain: direct evidence that the region containing SH/sub 1/ and SH/sub 2/ can move 10 /Angstrom/ under the influence of nucleotide binding

    Energy Technology Data Exchange (ETDEWEB)

    Huston, E.E.; Grammer, J.C.; Yount, R.G.

    1988-12-13

    Previous experiments demonstrated that two thiols of skeletal myosin subfragment 1 (SF/sub 1/) could be oxidized to a disulfide bond by treatment with a 2-fold excess of 5,5'-dithiobis (2-nitrobenzoic acid) (DTNB) in the presence of MgADP. The resulting characteristic changes in the ATPase activities of SF/sub 1/ and the fact that MgADP was stably trapped at the active site, suggested that the two thiols cross-linked were SH/sub 1/ (Cys-707) and SH/sub 2/ (Cys-697) from the myosin heavy chain. To verify this suggestion, SF/sub 1/, after DTNB treatment as above, was treated with an excess of N-ethylmaleimide to block all accessible thiols. The single protein disulfide produced by DTNB oxidation was reduced with dithioerythritol and the modified SF/sub 1/ internally cross-linked with equimolar (/sup 14/C)p-phenylenedimaleimide (pPDM) in the presence of MgADP. After extensive trypsinization, the major /sup 14/C-labeled peptide was isolated, characterized, and shown to be Cys-Asn-Gly-Val-Leu-Gly-Ile-Arg-Ile-Cys-Arg, in which the two cysteines were cross-linked by pPDM. This peptide is known to contain SH/sub 2/ and SH/sub 1/ in this order and to come from residues 697-708 in the rabbit skeletal myosin heavy chain. Parallel experiments with (/sup 14/C)pPDM and unmodified SF/sub 1/ similar to those above gave an identical SH/sub 1/, SH/sub 2/ tryptic peptide, verifying earlier labeling results. These combined results demonstrate that SH/sub 1/ and SH/sub 2/ cross-linked by pPDM (12-13 /Angstrom/, S to S) or by oxidation with DTNB (2 /Angstrom/, S to S) can move a minimum of 10 /Angstrom/ under the influence of nucleotide binding. Because these residues are separated by only nine amino acids in the primary sequence, this small section of the heavy chain must possess extraordinary flexibility.

  2. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  3. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  4. Small Coronal Holes Near Active Regions as Sources of Slow Solar Wind

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y.-M., E-mail: yi.wang@nrl.navy.mil [Space Science Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2017-06-01

    We discuss the nature of the small areas of rapidly diverging, open magnetic flux that form in the strong unipolar fields at the peripheries of active regions (ARs), according to coronal extrapolations of photospheric field measurements. Because such regions usually have dark counterparts in extreme-ultraviolet (EUV) images, we refer to them as coronal holes, even when they appear as narrow lanes or contain sunspots. Revisiting previously identified “AR sources” of slow solar wind from 1998 and 1999, we find that they are all associated with EUV coronal holes; the absence of well-defined He i 1083.0 nm counterparts to some of these holes is attributed to the large flux of photoionizing radiation from neighboring AR loops. Examining a number of AR-associated EUV holes during the 2014 activity maximum, we confirm that they are characterized by wind speeds of ∼300–450 km s{sup −1}, O{sup 7+}/O{sup 6+} ratios of ∼0.05–0.4, and footpoint field strengths typically of order 30 G. The close spacing between ARs at sunspot maximum limits the widths of unipolar regions and their embedded holes, while the continual emergence of new flux leads to rapid changes in the hole boundaries. Because of the highly nonradial nature of AR fields, the smaller EUV holes are often masked by the overlying canopy of loops, and may be more visible toward one solar limb than at central meridian. As sunspot activity declines, the AR remnants merge to form much larger, weaker, and longer-lived unipolar regions, which harbor the “classical” coronal holes that produce recurrent high-speed streams.

  5. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  6. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  7. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  8. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  9. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  10. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  11. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  12. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  13. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  14. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  15. Automated Identification of Coronal Holes from Synoptic EUV Maps

    Science.gov (United States)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  16. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    Science.gov (United States)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  17. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  18. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  19. Variability of aerosol optical depth and Angstrom wavelength exponent derived from AERONET observations in recent decades

    International Nuclear Information System (INIS)

    Xia Xiangao

    2011-01-01

    Using aerosol loading data from 79 Aerosol Robotic Network (AERONET) stations with observations from more than six years, changes in aerosol optical depth (AOD) and Angstrom wavelength exponent (AWE) were studied. A statistical method was developed to determine whether AOD changes were due to increased background AOD values and/or an increased number of high AOD events. AOD decreased significantly at AERONET sites in northeastern North American and in Western Europe, which was accompanied by decreased AWE. Reduction of AOD there was mainly due to a decreased frequency of high AOD events and an increased frequency of background AOD events. In addition, decreased AOD values for high AOD events also accounted for ∼ 16–32% of the AOD reduction. This is indicative of significant meteorological effects on AOD variability. AOD trends in other regions were marginal and most were not significant; however, AOD increased significantly at one site in the Sahel and another in Saudi Arabia, predominantly due to the increased frequency of high AOD events and their average AOD.

  20. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  1. Research and development toward a 4.5-1.5{angstrom} linac coherent light source (LCLS) at SLAC

    Energy Technology Data Exchange (ETDEWEB)

    Tatchyn, R.; Arthur, J.; Baltay, M. [Stanford Univ., CA (United States)] [and others

    1995-12-31

    In recent years significant studies have been initiated on the theoretical and technical feasibility of utilizing a portion of the 3km S-band accelerator at the Stanford Linear Accelerator Center (SLAC) to drive a short wavelength (4.5-1.5 {Angstrom}) Linac Coherent Light Source (LCLS), a Free-Electron Laser (FEL) operating in the Self-Amplified Spontaneous Emission (SASE) regime. Electron beam requirements for single-pass saturation include: (1) a peak current in the 3-7 kA range, (2) a relative energy spread of <0.05%, ad (3) a transverse emittance, {epsilon}{le}{lambda}/4{pi}, where {lambda}[m] is the output wavelength. Requirements on the insertion device include field error levels of 0.1-0.2% for keeping the electron bunch centered on and in phase with the amplified photons, and a focusing beta of 4-8 m for inhibiting the dilution of its transverse density. Although much progress techniques necessary for LCLS operation down to {approximately}20 {angstrom}, a substantial amount of research and development is still required in a number of theoretical and experimental areas leading to the construction and operation of a 4.5-1.5 {angstrom} LCLS. In this paper we report on a research and development program underway and in planning at SLAC for addressing critical questions in these areas. These include the construction and operation of a linac test stand for developing laser-driven photocathode rf guns with normalized emittances approaching 1 mm-mr; development of advanced beam compression, stability, an emittance control techniques at multi-GeV energies; the construction and operation of a FEL Amplifier Test Experiment (FATE) for theoretical and experimental studies of SASE at IR wavelengths; an undulator development program to investigate superconducting, hybrid/permanent magnet (hybrid/PM), and pulsed-Cu technologies; theoretical and computational studies of high-gain FEL physics and LCLS component designs.

  2. A comparison of the Angstrom-type correlations and the estimation of monthly average daily global irradiation

    International Nuclear Information System (INIS)

    Jain, S.; Jain, P.C.

    1985-12-01

    Linear regression analysis of the monthly average daily global irradiation and the sunshine duration data of 8 Zambian locations has been performed using the least square technique. Good correlation (r>0.95) is obtained in all the cases showing that the Angstrom equation is valid for Zambian locations. The values of the correlation parameters thus obtained show substantial unsystematic scatter. The analysis was repeated after incorporating the effects of (i) multiple reflections of radiation between the ground and the atmosphere, and (ii) not burning of the sunshine recorder chart, into the Angstrom equation. The surface albedo measurements at Lusaka were used. The scatter in the correlation parameters was investigated by graphical representation, by regression analysis of the data of the individual stations as well as the combined data of the 8 stations. The results show that the incorporation of none of the two effects reduces the scatter significantly. A single linear equation obtained from the regression analysis of the combined data of the 8 stations is found to be appropriate for estimating the global irradiation over Zambian locations with reasonable accuracy from the sunshine duration data. (author)

  3. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  4. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  5. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  6. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  7. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  8. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  9. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  10. Calcium binding in α-amylases: An X-ray diffraction study at 2.1-angstrom resolution of two enzymes from Aspergillus

    International Nuclear Information System (INIS)

    Boel, E.; Jensen, V.J.; Petersen, S.B.; Thim, L.; Woldike, H.F.; Brady, L.; Brzozowski, AM.; Derewenda, Z.; Dodson, G.G.; Swift, H.

    1990-01-01

    X-ray diffraction analysis (at 2.1-angstrom resolution) of an acid alpha-amylase from Aspergillus niger allowed a detailed description of the stereochemistry of the calcium-binding sites. The primary site (which is essential in maintaining proper folding around the active site) contains a tightly bound Ca 2+ with an unusually high number of eight ligands. A secondary binding site was identified at the bottom of the substrate binding cleft; it involves the residues presumed to play a catalytic role (Asp206 and Glu230). This explains the inhibitory effect of calcium observed at higher concentrations. Neutral Aspergillus oryzae (TAKA) α-amylase was also refined in a new crystal at 2.1-angstrom resolution. The structure of this homologous (over 80%) enzyme and addition kinetic studies support all the structural conclusions regarding both calcium-binding sites

  11. Beam-foil study of neon in the EUV with foils of carbon, silver and gold

    International Nuclear Information System (INIS)

    Demarest, J.A.; Watson, R.L.; Texas A and M Univ., College Station

    1988-01-01

    A beam-foil study of 40 MeV neon was conducted in the EUV with a 1-meter grazing incidence spectrometer configured with a position sensitive microchannel plate detector. A number of new lines of Ne IX, mainly from transitions to n = 3 levels, were detected in the wavelength region covering 50-350 A. Comparison of the spectra obtained using the different foils revealed that the average charge state of the neon projectiles was nearly one unit higher with carbon than with either of the two metals. Measurements of line intensities versus distance from the foils showed that cascade contributions were greatly reduced for the metals. It was also found that n = 3 states of low l were overpopulated relative to a statistical distribution, irrespective of the foil material. (orig.)

  12. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  13. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  14. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  15. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  16. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  17. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  18. Angstrom analysis with dynamic in-situ aberration corrected electron microscopy

    International Nuclear Information System (INIS)

    Gai, P L; Boyes, E D

    2010-01-01

    Following the pioneering development of atomic resolution in-situ environmental TEM (ETEM) for direct probing of gas-solid reactions, recent developments are presented of dynamic real time in-situ studies at the Angstrom level in an aberration corrected electron microscope. The in-situ data from Pt-Pd nanoparticles on carbon with the corresponding FFT/optical diffractogram (OD) illustrate an achieved resolution of 0 C and higher, in a double aberration corrected JEOL 2200 FS TEM/STEM employing a wider gap objective pole piece and gas tolerant TMP column pumping system. Direct observations of dynamic biofuel catalysts under controlled calcinations conditions and quantified with catalytic reactivity and physico-chemical studies show the benefits in-situ aberration correction in unveiling the evolution of surface active sites necessary for the development efficient heterogeneous catalysts. The new results open up opportunities for dynamic studies of materials in an aberration corrected environment and direct future development activities.

  19. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  20. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  1. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  2. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  3. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  4. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  5. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  6. TIME-RESOLVED EMISSION FROM BRIGHT HOT PIXELS OF AN ACTIVE REGION OBSERVED IN THE EUV BAND WITH SDO/AIA AND MULTI-STRANDED LOOP MODELING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Petralia, A. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: aastex-help@aas.org [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-01-01

    Evidence of small amounts of very hot plasma has been found in active regions and might be an indication of impulsive heating released at spatial scales smaller than the cross-section of a single loop. We investigate the heating and substructure of coronal loops in the core of one such active region by analyzing the light curves in the smallest resolution elements of solar observations in two EUV channels (94 and 335 Å) from the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. We model the evolution of a bundle of strands heated by a storm of nanoflares by means of a hydrodynamic 0D loop model (EBTEL). The light curves obtained from a random combination of those of single strands are compared to the observed light curves either in a single pixel or in a row of pixels, simultaneously in the two channels, and using two independent methods: an artificial intelligent system (Probabilistic Neural Network) and a simple cross-correlation technique. We explore the space of the parameters to constrain the distribution of the heat pulses, their duration, their spatial size, and, as a feedback on the data, their signatures on the light curves. From both methods the best agreement is obtained for a relatively large population of events (1000) with a short duration (less than 1 minute) and a relatively shallow distribution (power law with index 1.5) in a limited energy range (1.5 decades). The feedback on the data indicates that bumps in the light curves, especially in the 94 Å channel, are signatures of a heating excess that occurred a few minutes before.

  7. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  8. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  9. Thermal energy creation and transport and X-ray/EUV emission in a thermodynamic MHD CME simulation

    Science.gov (United States)

    Reeves, K.; Mikic, Z.; Torok, T.; Linker, J.; Murphy, N. A.

    2017-12-01

    We model a CME using the PSI 3D numerical MHD code that includes coronal heating, thermal conduction and radiative cooling in the energy equation. The magnetic flux distribution at 1 Rs is produced by a localized subsurface dipole superimposed on a global dipole field, mimicking the presence of an active region within the global corona. We introduce transverse electric fields near the neutral line in the active region to form a flux rope, then a converging flow is imposed that causes the eruption. We follow the formation and evolution of the current sheet and find that instabilities set in soon after the reconnection commences. We simulate XRT and AIA EUV emission and find that the instabilities manifest as bright features emanating from the reconnection region. We examine the quantities responsible for plasma heating and cooling during the eruption, including thermal conduction, radiation, adiabatic compression and expansion, coronal heating and ohmic heating due to dissipation of currents. We find that the adiabatic compression plays an important role in heating the plasma around the current sheet, especially in the later stages of the eruption when the instabilities are present. Thermal conduction also plays an important role in the transport of thermal energy away from the current sheet region throughout the reconnection process.

  10. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  11. SEMI-EMPIRICAL MODELING OF THE PHOTOSPHERE, CHROMOPSHERE, TRANSITION REGION, AND CORONA OF THE M-DWARF HOST STAR GJ 832

    Energy Technology Data Exchange (ETDEWEB)

    Fontenla, J. M. [NorthWest Research Associates, Boulder, CO 80301 (United States); Linsky, Jeffrey L. [JILA, University of Colorado and NIST, Boulder, CO 80309-0440 (United States); Witbrod, Jesse [University of Colorado Boulder, CO 80309 (United States); France, Kevin [LASP, University of Colorado Boulder, CO 80309-0600 (United States); Buccino, A.; Mauas, Pablo; Vieytes, Mariela [Instituto de Astronomía y Física del Espacio (CONICET-UBA), C.C. 67, Sucursal 28, C1428EHA, Buenos Aires (Argentina); Walkowicz, Lucianne M., E-mail: johnf@digidyna.com, E-mail: jlinsky@jila.colorado.edu, E-mail: jesse.witbrod@colorado.edu, E-mail: kevin.france@lasp.colorado.edu, E-mail: abuccino@iafe.uba.ar, E-mail: pablo@iafe.uba.ar, E-mail: mariela@iafe.uba.ar, E-mail: LWalkowicz@adlerplanetarium.org [The Adler Planetarium, Chicago, IL 60605 (United States)

    2016-10-20

    Stellar radiation from X-rays to the visible provides the energy that controls the photochemistry and mass loss from exoplanet atmospheres. The important extreme ultraviolet (EUV) region (10–91.2 nm) is inaccessible and should be computed from a reliable stellar model. It is essential to understand the formation regions and physical processes responsible for the various stellar emission features to predict how the spectral energy distribution varies with age and activity levels. We compute a state-of-the-art semi-empirical atmospheric model and the emergent high-resolution synthetic spectrum of the moderately active M2 V star GJ 832 as the first of a series of models for stars with different activity levels. We construct a one-dimensional simple model for the physical structure of the star’s chromosphere, chromosphere-corona transition region, and corona using non-LTE radiative transfer techniques and many molecular lines. The synthesized spectrum for this model fits the continuum and lines across the UV-to-optical spectrum. Particular emphasis is given to the emission lines at wavelengths that are shorter than 300 nm observed with the Hubble Space Telescope , which have important effects on the photochemistry of the exoplanet atmospheres. The FUV line ratios indicate that the transition region of GJ 832 is more biased to hotter material than that of the quiet Sun. The excellent agreement of our computed EUV luminosity with that obtained by two other techniques indicates that our model predicts reliable EUV emission from GJ 832. We find that the unobserved EUV flux of GJ 832, which heats the outer atmospheres of exoplanets and drives their mass loss, is comparable to the active Sun.

  12. Development of XUV-interferometry (155 angstrom) using a soft x-ray laser

    International Nuclear Information System (INIS)

    Da Silva, L.B.; Barbee, T.W.; Cauble, R.

    1995-01-01

    Over the past several years the authors have developed a variety of techniques for probing plasmas with x-ray lasers. These have included direct high resolution plasma imaging to quantify laser produced plasma uniformities and moire deflectometry to measure electron density profiles in one-dimension. Although these techniques have been valuable, a need existed for direct two dimensional measurements of electron densities in large high density plasmas. For this reason the authors have worked on developing a xuv interferometer compatible with the harsh environment of laser produced plasmas. This paper describes the design and presents some results showing excellent fringe visibility using the neon-like yttrium x-ray laser operating at 155 angstrom. The coherence properties of this x-ray laser source were measured using interferometry and are also discussed

  13. CRYSTAL-STRUCTURE OF DEOXYGENATED LIMULUS-POLYPHEMUS SUBUNIT-II HEMOCYANIN AT 2.18-ANGSTROM RESOLUTION - CLUES FOR A MECHANISM FOR ALLOSTERIC REGULATION

    NARCIS (Netherlands)

    HAZES, B; MAGNUS, KA; BONAVENTURA, C; BONAVENTURA, J; DAUTER, Z; KALK, KH; HOL, WGJ

    The crystal structure of Limulus polyphemus subunit type II hemocyanin in the deoxygenated state has been determined to a resolution of 2.18 angstrom. Phase information for this first structure of a cheliceratan hemocyanin was obtained by molecular replacement using the crustacean hemocyanin

  14. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  15. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  16. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  17. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  18. Estimation of monthly solar exposure on horizontal surface by Angstrom-type regression equation

    International Nuclear Information System (INIS)

    Ravanshid, S.H.

    1981-01-01

    To obtain solar flux intensity, solar radiation measuring instruments are the best. In the absence of instrumental data there are other meteorological measurements which are related to solar energy and also it is possible to use empirical relationships to estimate solar flux intensit. One of these empirical relationships to estimate monthly averages of total solar radiation on a horizontal surface is the modified angstrom-type regression equation which has been employed in this report in order to estimate the solar flux intensity on a horizontal surface for Tehran. By comparing the results of this equation with four years measured valued by Tehran's meteorological weather station the values of meteorological constants (a,b) in the equation were obtained for Tehran. (author)

  19. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  20. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  1. Observational Analysis of Coronal Fans

    Science.gov (United States)

    Talpeanu, D.-C.; Rachmeler, L; Mierla, Marilena

    2017-01-01

    Coronal fans (see Figure 1) are bright observational structures that extend to large distances above the solar surface and can easily be seen in EUV (174 angstrom) above the limb. They have a very long lifetime and can live up to several Carrington rotations (CR), remaining relatively stationary for many months. Note that they are not off-limb manifestation of similarly-named active region fans. The solar conditions required to create coronal fans are not well understood. The goal of this research was to find as many associations as possible of coronal fans with other solar features and to gain a better understanding of these structures. Therefore, we analyzed many fans and created an overview of their properties. We present the results of this statistical analysis and also a case study on the longest living fan.

  2. PHOTOSPHERIC PROPERTIES OF WARM EUV LOOPS AND HOT X-RAY LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kano, R. [National Astronomical Observatory of Japan, 2-21-1 Osawa, Mitaka, Tokyo 181-8588 (Japan); Ueda, K. [Department of Astronomy, Graduate School of Science, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Tsuneta, S., E-mail: ryouhei.kano@nao.ac.jp [Institute of Space and Astronautical Science, Japan Aerospace Exploration Agency, 3-1-1 Yoshinodai, Chuo, Sagamihara, Kanagawa 252-5210 (Japan)

    2014-02-20

    We investigate the photospheric properties (vector magnetic fields and horizontal velocity) of a well-developed active region, NOAA AR 10978, using the Hinode Solar Optical Telescope specifically to determine what gives rise to the temperature difference between ''warm loops'' (1-2 MK), which are coronal loops observed in EUV wavelengths, and ''hot loops'' (>3 MK), coronal loops observed in X-rays. We found that outside sunspots, the magnetic filling factor in the solar network varies with location and is anti-correlated with the horizontal random velocity. If we accept that the observed magnetic features consist of unresolved magnetic flux tubes, this anti-correlation can be explained by the ensemble average of flux-tube motion driven by small-scale random flows. The observed data are consistent with a flux tube width of ∼77 km and horizontal flow at ∼2.6 km s{sup –1} with a spatial scale of ∼120 km. We also found that outside sunspots, there is no significant difference between warm and hot loops either in the magnetic properties (except for the inclination) or in the horizontal random velocity at their footpoints, which are identified with the Hinode X-Ray Telescope and the Transition Region and Coronal Explorer. The energy flux injected into the coronal loops by the observed photospheric motion of the magnetic fields is estimated to be 2 × 10{sup 6} erg s{sup –1} cm{sup –2}, which is the same for both warm and hot loops. This suggests that coronal properties (e.g., loop length) play a more important role in giving rise to temperature differences of active-region coronal loops than photospheric parameters.

  3. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  4. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  5. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  6. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  7. Láser de monóxido de carbono : Estudio espectroscópico del sistema Angstrom

    OpenAIRE

    Schinca, Daniel Carlos

    1985-01-01

    En el presente trabajo se intenta resumir la labor desarrollada en láseres gaseosos de moléculas diatómicas de excitación pulsada, particularmente en lo que respecta a láseres de monóxido de carbono de geometría axial. De esta manera, se realiza un detallado análisis espectroscópico tanto de la salida láser de las bandas de emisión del Sistema Angstrom como de la emisión espontánea de las mismas bajo diferentes condiciones experimentales. Es sabido que la molécula de monóxido de car...

  8. Crystallization of Mitochondrial Respiratory Complex II fromChicken Heart: A Membrane-Protein Complex Diffracting to 2.0Angstrom

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Li-shar; Borders, Toni M.; Shen, John T.; Wang, Chung-Jen; Berry, Edward A.

    2004-12-17

    Procedure is presented for preparation of diffraction-quality crystals of a vertebrate mitochondrial respiratory Complex II. The crystals have the potential to diffract to at least 2.0 Angstrom with optimization of post-crystal-growth treatment and cryoprotection. This should allow determination of the structure of this important and medically relevant membrane protein complex at near-atomic resolution and provide great detail of the mode of binding of substrates and inhibitors at the two substrate-binding sites.

  9. Ultra-high accuracy optical testing: creating diffraction-limited short-wavelength optical systems

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman, Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli, Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-01-01

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-(angstrom) and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date

  10. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  11. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  12. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  13. Radiative and magnetic properties of solar active regions. II. Spatially resolved analysis of O V 62.97 nm transition region emission

    Science.gov (United States)

    Fludra, A.; Warren, H.

    2010-11-01

    Context. Global relationships between the photospheric magnetic flux and the extreme ultraviolet emission integrated over active region area have been studied in a previous paper by Fludra & Ireland (2008, A&A, 483, 609). Spatially integrated EUV line intensities are tightly correlated with the total unsigned magnetic flux, and yet these global power laws have been shown to be insufficient for accurately determining the coronal heating mechanism owing to the mathematical ill-conditioning of the inverse problem. Aims: Our aim is to establish a relationship between the EUV line intensities and the photospheric magnetic flux density on small spatial scales in active regions and investigate whether it provides a way of identifying the process that heats the coronal loops. Methods: We compare spatially resolved EUV transition region emission and the photospheric magnetic flux density. This analysis is based on the O V 62.97 nm line recorded by the SOHO Coronal Diagnostic Spectrometer (CDS) and SOHO MDI magnetograms for six solar active regions. The magnetic flux density ϕ is converted to a simulated O V intensity using a model relationship I(ϕ, L) = Cϕδ Lλ, where the loop length L is obtained from potential magnetic field extrapolations. This simulated spatial distribution of O V intensities is convolved with the CDS instrument's point spread function and compared pixel by pixel with the observed O V line intensity. Parameters δ and λ are derived to give the best fit for the observed and simulated intensities. Results: Spatially-resolved analysis of the transition region emission reveals the complex nature of the heating processes in active regions. In some active regions, particularly large, local intensity enhancements up to a factor of five are present. When areas with O V intensities above 3000 erg cm-2 s-1 sr-1 are ignored, a power law has been fitted to the relationship between the local O V line intensity and the photospheric magnetic flux density in each

  14. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  15. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  16. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  17. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  18. The 2.3 {angstrom} crystal structure of cholera toxin B subunit pentamer: Choleragenoid

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Rong-Guang; Westbrook, M.L. [Argonne National Lab., IL (United States); Maulik, P.R.; Reed, R.A.; Shipley, G. [Boston Univ., MA (United States). School of Medicine; Westbrook, E.M. [Argonne National Lab., IL (United States)]|[Northwestern Univ., Evanston, IL (United States); Scott, D.L.; Otwinowski, Z. [Yale Univ., New Haven, CT (United States)

    1996-02-01

    Cholera toxin, a heterohexameric AB{sub 5} enterotoxin released by Vibrio cholera, induces a profuse secretory diarrhea in susceptible hosts. Choleragenoid, the B subunit pentamer of cholera toxin, directs the enzymatic A subunit to its target by binding to GM{sub 1} gangliosides exposed on the luminal surface of intestinal epithelial cells. We have solved the crystal structure of choleragenoid at 2.3 {Angstrom} resolution by combining single isomorphous replacement with non-crystallographic symmetry averaging. The structure of the B subunits, and their pentameric arrangement, closely resembles that reported for the intact holotoxin (choleragen), the heat-labile enterotoxin from E. coli, and for a choleragenoid-GM{sub 1} pentasaccharide complex. In the absence of the A subunit the central cavity of the B pentamer is a highly solvated channel. The binding of the A subunit or the receptor pentasaccharide to choleragenoid has only a modest effect on the local stereochemistry and does not perceptibly alter the subunit interface.

  19. An angstrom equation analysis of solar insolation data in Malaysia

    International Nuclear Information System (INIS)

    Lee Fai Tsen

    2000-01-01

    Solar energy systems rely extensively on the availability of global solar radiation for optimum performances. Standard method of measurements involves the use of sunshine recorders to record the sunshine hours, solarimeters and chart recorders to record the diffuse and direct solar radiation. The method tends to be expensive and time consuming. As a result, fewer stations may be set up to monitor the solar insulation data Linear regression method using Angstrom equation of the type G = G 0 (a +bn/N) has been used extensively to analyze global radiation at the site of the station. The equation gives the linear regression coefficients a and h which are characteristics of the station. The equation may therefore be used to predict global radiation at and around the station, if the area surrounding the station is geographically similar, or if it is not characteristically changed due to developments over the years. We present here an analysis of the solar insulation data of several meteorological stations in West Malaysia to obtain the linear regression coefficient a and b base on yearly analysis. It is interesting to find that the values of a and b have changed over the years. This may have been due to the global warming effect, or extensive land clearing for local developments which have resulted in haze and pollution that could affect the solar insulation data received at the station. (Author)

  20. Compact XFEL and AMO sciences: SACLA and SCSS

    International Nuclear Information System (INIS)

    Yabashi, M; Tanaka, H; Tanaka, T; Tomizawa, H; Nagasono, M; Ishikawa, T; Harries, J R; Hikosaka, Y; Hishikawa, A; Nagaya, K; Saito, N; Shigemasa, E; Yamanouchi, K; Ueda, K; Togashi, T

    2013-01-01

    The concept, design and performance of Japan's compact free-electron laser (FEL) facilities, the SPring-8 Compact SASE Source test accelerator (SCSS) and SPring-8 Angstrom Compact free electron LAser (SACLA), and their applications in mainly atomic, molecular and optical science are reviewed. At SCSS, intense, ultrafast FEL pulses at extreme ultraviolet (EUV) wavelengths have been utilized for investigating various multi-photon processes in atoms, molecules and clusters by means of ion and electron spectroscopy. The quantum optical effect superfluorescence has been observed with EUV excitation. A pump–probe technique combining FEL pulses with near infrared laser pulses has been realized to study the ultrafast dynamics of atoms, molecules and clusters in the sub-picosecond regime. At SACLA, deep inner-shell multi-photon ionization by intense x-ray FEL pulses has been investigated. The development of seeded FEL sources for producing transversely and temporally coherent light, as well as the expected impact on advanced science are discussed. (invited paper)

  1. Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman,Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli,Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-08-03

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.

  2. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  3. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  4. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  5. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  6. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  7. EIT and SXT Observations of a Quiet Region Filament Ejection: First Eruption, Then Reconnection

    Science.gov (United States)

    Sterling, Alphonse C.; Moore, Ronald L.; Thompson, Barbara J.; Six, N. Frank (Technical Monitor)

    2001-01-01

    We observe a slow-onset quiet-region filament eruption with the EUV Imaging Telescope (EIT) on SOHO, and the Soft X-ray Telescope (SXT) on Yohkoh. This event occurred on 1999 April 18, and was likely the origin of a coronal mass ejection (CME) detected by SOHO at 08:30 UT on that day. In EIT, one-half of the filament shows two stages of evolution: Stage I is a slow, roughly constant upward movement at approx. 1 km per second lasting approximately 6.5 hours, and Stage 2 is a rapid upward eruption at approximately 16 kilometers per second occurring just before the filament disappears into interplanetary space. The other half of the filament shows little motion along the line-of-sight during the time of Stage 1, but erupts along with the rest of the filament during Stage 2. There is no obvious emission from the filament in SXT until Stage 2; at that time an arcade of EUV and soft X-ray loops forms first at the central location of the filament, and then expands outward along the length of the filament channel. A plot of EUV intensity versus time of the central portion of the filament (where the postflare loops initially form) shows a flat profile during Stage 1, and a rapid upturn after the start of Stage 2. This lightcurve is delayed from what would be expected if "tether-cutting" reconnection in the core of the erupting region were responsible for the initiation of the eruption. Rather, these observations suggest that a loss of stability of the magnetic field holding the filament initiates the eruption, with reconnection in the core region occurring only as a byproduct.

  8. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  9. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  10. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  11. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  12. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  13. EUV lines observed with EIS/Hinode in a solar prominence

    Science.gov (United States)

    Labrosse, N.; Schmieder, B.; Heinzel, P.; Watanabe, T.

    2011-07-01

    Context. During a multi-wavelength observation campaign with Hinode and ground-based instruments, a solar prominence was observed for three consecutive days as it crossed the western limb of the Sun in April 2007. Aims: We report on observations obtained on 26 April 2007 using EIS (Extreme ultraviolet Imaging Spectrometer) on Hinode. They are analysed to provide a qualitative diagnostic of the plasma in different parts of the prominence. Methods: After correcting for instrumental effects, the rasters at different wavelengths are presented. Several regions within the same prominence are identified for further analysis. Selected profiles for lines with formation temperatures between log (T) = 4.7 and log (T) = 6.3, as well as their integrated intensities, are given. The profiles of coronal, transition region, and He ii lines are discussed. We pay special attention to the He ii line, which is blended with coronal lines. Results: Some quantitative results are obtained by analysing the line profiles. They confirm that depression in EUV lines can be interpreted in terms of two mechanisms: absorption of coronal radiation by the hydrogen and neutral helium resonance continua, and emissivity blocking. We present estimates of the He ii line integrated intensity in different parts of the prominence according to different scenarios for the relative contribution of absorption and emissivity blocking to the coronal lines blended with the He ii line. We estimate the contribution of the He ii 256.32 Å line to the He ii raster image to vary between ~44% and 70% of the raster's total intensity in the prominence according to the different models used to take into account the blending coronal lines. The inferred integrated intensities of the He ii 256 Å line are consistent with the theoretical intensities obtained with previous 1D non-LTE radiative transfer calculations, yielding a preliminary estimate of the central temperature of 8700 K, a central pressure of 0.33 dyn cm-2, and a

  14. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  15. Center-to-Limb Variability of Hot Coronal EUV Emissions During Solar Flares

    Science.gov (United States)

    Thiemann, E. M. B.; Chamberlin, P. C.; Eparvier, F. G.; Epp, L.

    2018-02-01

    It is generally accepted that densities of quiet-Sun and active region plasma are sufficiently low to justify the optically thin approximation, and this is commonly used in the analysis of line emissions from plasma in the solar corona. However, the densities of solar flare loops are substantially higher, compromising the optically thin approximation. This study begins with a radiative transfer model that uses typical solar flare densities and geometries to show that hot coronal emission lines are not generally optically thin. Furthermore, the model demonstrates that the observed line intensity should exhibit center-to-limb variability (CTLV), with flares observed near the limb being dimmer than those occurring near disk center. The model predictions are validated with an analysis of over 200 flares observed by the EUV Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO), which uses six lines, with peak formation temperatures between 8.9 and 15.8 MK, to show that limb flares are systematically dimmer than disk-center flares. The data are then used to show that the electron column density along the line of sight typically increases by 1.76 × 10^{19} cm^{-2} for limb flares over the disk-center flare value. It is shown that the CTLV of hot coronal emissions reduces the amount of ionizing radiation propagating into the solar system, and it changes the relative intensities of lines and bands commonly used for spectral analysis.

  16. Nonstoichiometric complex of gramicidin D with KI at 0.80 (angstrom) resolution

    International Nuclear Information System (INIS)

    Olczak, A.; Glowka, M.L.; Szczesio, M.; Bojarsk, J.; Duax, W.L.; Burkhart, B.M.; Wawrzak, Z.

    2007-01-01

    The crystal structure of a nonstoichiometric complex of gramicidin D (gD) with KI has been determined at 100 K using synchrotron radiation. The final R factor was 0.106 for 83 988 observed reflections (Friedel pairs were not merged) collected to 0.80 (angstrom). The structure consists of four independent pentadecapeptides and numerous solvent molecules and salt ions. The general architecture of the antiparallel double-stranded gramicidin dimers in the crystal (a right-handed antiparallel DSβH R form) closely resembles that of previously published cation complexes of gD. However, a significantly different mixture of gramicidin isomers is found in the crystal of the KI complex, including partial occupancy of phenylalanine at position 11. Only three sites in each of the two crystallographically independent channels are partially occupied by potassium cations instead of the commonly observed seven sites. The sum of the partial occupancies of K + (1.10 per two dimers) is consistent with the sum of the iodide occupancies (1.095 over eight sites), which is also confirmed by the anomalous signal of the iodide. There was a significant asymmetry of the distribution and occupancies of cations in the crystallographically independent gramicidin channels, in contrast to the distribution found in the rubidium chloride complex with gD.

  17. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  18. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  19. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  20. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  1. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  2. Magnetic Field Diagnostics and Spatio-Temporal Variability of the Solar Transition Region

    Science.gov (United States)

    Peter, H.

    2013-12-01

    Magnetic field diagnostics of the transition region from the chromosphere to the corona faces us with the problem that one has to apply extreme-ultraviolet (EUV) spectro-polarimetry. While for the coronal diagnostics techniques already exist in the form of infrared coronagraphy above the limb and radio observations on the disk, one has to investigate EUV observations for the transition region. However, so far the success of such observations has been limited, but various current projects aim to obtain spectro-polarimetric data in the extreme UV in the near future. Therefore it is timely to study the polarimetric signals we can expect from these observations through realistic forward modeling. We employ a 3D magneto-hydrodynamic (MHD) forward model of the solar corona and synthesize the Stokes I and Stokes V profiles of C iv (1548 Å). A signal well above 0.001 in Stokes V can be expected even if one integrates for several minutes to reach the required signal-to-noise ratio, and despite the rapidly changing intensity in the model (just as in observations). This variability of the intensity is often used as an argument against transition region magnetic diagnostics, which requires exposure times of minutes. However, the magnetic field is evolving much slower than the intensity, and therefore the degree of (circular) polarization remains rather constant when one integrates in time. Our study shows that it is possible to measure the transition region magnetic field if a polarimetric accuracy on the order of 0.001 can be reached, which we can expect from planned instrumentation.

  3. Low-k films modification under EUV and VUV radiation

    International Nuclear Information System (INIS)

    Rakhimova, T V; Rakhimov, A T; Mankelevich, Yu A; Lopaev, D V; Kovalev, A S; Vasil'eva, A N; Zyryanov, S M; Kurchikov, K; Proshina, O V; Voloshin, D G; Novikova, N N; Krishtab, M B; Baklanov, M R

    2014-01-01

    Modification of ultra-low-k films by extreme ultraviolet (EUV) and vacuum ultraviolet (VUV) emission with 13.5, 58.4, 106, 147 and 193 nm wavelengths and fluences up to 6 × 10 18  photons cm −2 is studied experimentally and theoretically to reveal the damage mechanism and the most ‘damaging’ spectral region. Organosilicate glass (OSG) and organic low-k films with k-values of 1.8–2.5 and porosity of 24–51% are used in these experiments. The Si–CH 3 bonds depletion is used as a criterion of VUV damage of OSG low-k films. It is shown that the low-k damage is described by two fundamental parameters: photoabsorption (PA) cross-section σ PA and effective quantum yield φ of Si–CH 3 photodissociation. The obtained σ PA and φ values demonstrate that the effect of wavelength is defined by light absorption spectra, which in OSG materials is similar to fused silica. This is the reason why VUV light in the range of ∼58–106 nm having the highest PA cross-sections causes strong Si–CH 3 depletion only in the top part of the films (∼50–100 nm). The deepest damage is observed after exposure to 147 nm VUV light since this emission is located at the edge of Si–O absorption, has the smallest PA cross-section and provides extensive Si–CH 3 depletion over the whole film thickness. The effective quantum yield slowly increases with the increasing porosity but starts to grow quickly when the porosity exceeds the critical threshold located close to a porosity of ∼50%. The high degree of pore interconnectivity of these films allows easy movement of the detached methyl radicals. The obtained results have a fundamental character and can be used for prediction of ULK material damage under VUV light with different wavelengths. (paper)

  4. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  5. Evidence for continuum absorption above the quiet sun transition region

    International Nuclear Information System (INIS)

    Schmahl, E.J.; Orrall, F.Q.

    1979-01-01

    We report new evidence for continuum absorption in the solar transition zone in EUV spectra obtained from OSO 4, OSO 6, ATM, and full Sun measurements. This absorption shortward of 912 A is manifest everywhere on the Sun's disk. It is present within network cells and boundaries of the quiet Sun, in coronal holes, in active regions, above the limb, and in solar prominences. Models of the upper chromosphere and the transition zone must be modified to include an admixture of neutral hydrogen (or possibly singly ionized helium) with the hotter plasma

  6. EUV emission from Kr and Xe capillary discharge plasmas

    International Nuclear Information System (INIS)

    Juschkin, L.; Ellwi, S.; Kunze, H-J.; Chuvatin, A.; Zakharov, S.V.

    2002-01-01

    Kr and Xe plasmas are very intensive emitters in the spectral range of 100-150 A, which is relevant for a number of applications (for example microlithography). We present investigations of the extreme utraviolet (EUV) emission from a slow capillary discharge with Kr and Xe fillings. The emission of Kr ions (Kr VIII to Kr XI) within the range of 70-150 A consists of three bands of lines of about 10 A width with maxima at 116, 103 and 86 A. Xe emission bands of about 15 A width have their maxima at 136 and 115 A (Xe IX to Xe XII). The radiation duration in this spectral range is ∼150 ns for both elements. At the optimum conditions, the Kr emission at 103 A is 2-3 times more intense than the Xe emission at 136 A. The measured spectral energy of Kr radiation is about 0.1 J sr -1 A -1 . Experimental results are compared with numerical modellings of the dynamics and emission of the capillary discharge plasma, which enables the determination of plasma parameters and the future use of the codes as additional instruments for plasma diagnostics. (author)

  7. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H2S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    International Nuclear Information System (INIS)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S.; Nuevo, M.; Jiménez-Escobar, A.; Muñoz Caro, G. M.; Wu, C.-Y. R.; Fung, H.-S.; Ip, W.-H.

    2015-01-01

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H 2 S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H 2 S and CO 2 :H 2 S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS 2 , OCS, SO 2 , etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H 2 S ice mixtures is higher than that of CO 2 :H 2 S ice mixtures; (2) a lower concentration of H 2 S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS 2 differ significantly upon VUV and EUV irradiations. Furthermore, CS 2 was produced only after VUV photoprocessing of CO:H 2 S ices, while the VUV-induced production of SO 2 occurred only in CO 2 :H 2 S ice mixtures. More generally, the production yields of OCS, H 2 S 2 , and CS 2 were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H 2 S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments

  8. Slowly varying component of extreme ultraviolet solar radiation and its relation to solar radio radiation

    Science.gov (United States)

    Chapman, R. D.; Neupert, W. M.

    1974-01-01

    A study of the correlations between solar EUV line fluxes and solar radio fluxes has been carried out. A calibration for the Goddard Space Flight Center EUV spectrum is suggested. The results are used to obtain an equation for the absolute EUV flux for several lines in the 150- to 400-A region and the total flux of 81 intense lines in the region, the 2800-MHz radio flux being used as independent variable.

  9. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  10. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  11. A X-ray diffraction analysis on graphene layers of Assam coal

    Energy Technology Data Exchange (ETDEWEB)

    Saikia, B.K.; Boruah, R.K.; Gogoi, P.K. [CSIR, Jorhat (India)

    2009-01-15

    The so-called turbostatic structure of carbons in coal with randomly oriented stacking of the lamellae (graphene) produces intense peaks, which are the dominant features in its X-ray diffraction profiles. The diffractogram may be conveniently divided into two regions of reciprocal space, the medium S region (1 < S < 3 {angstrom}) and a high S region (S > 3 {angstrom}) where S = 4 {pi} {lambda} {sup -1}sin{theta}. To better understand the molecular level structure of high sulphur Assam coal, two coal samples (Tirap-1 and Tirap-2) from Tirap colliery of Makum coalfield, Assam (India) has been interpreted in this study by using the X-ray diffraction profiles. Random layered (graphene) structural parameters of these coals were determined by using X-ray diffraction technique, which showed that the L{sub a} and L{sub c} are 64.99 angstrom and 22.63 angstrom for Tirap-2 and 55.54 angstrom and 23.80 angstrom for that of Tirap-1 coals respectively. The position of {gamma} band was found to be at 4.34 {angstrom} and 4.13 angstrom for Tirap-2 and Tirap-1 coals respectively. The number of layers and average number of carbon atoms (N) per aromatic graphene were found to be 21 and 8 for both the coal samples. Proximate, ultimate and ash analysis of the two coal samples were also carried out in this investigation.

  12. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  13. MINIFILAMENT ERUPTIONS THAT DRIVE CORONAL JETS IN A SOLAR ACTIVE REGION

    International Nuclear Information System (INIS)

    Sterling, Alphonse C.; Moore, Ronald L.; Falconer, David A.; Panesar, Navdeep K.; Akiyama, Sachiko; Yashiro, Seiji; Gopalswamy, Nat

    2016-01-01

    We present observations of eruptive events in an active region adjacent to an on-disk coronal hole on 2012 June 30, primarily using data from the Solar Dynamics Observatory ( SDO )/Atmospheric Imaging Assembly (AIA), SDO /Helioseismic and Magnetic Imager (HMI), and STEREO - B . One eruption is of a large-scale (∼100″) filament that is typical of other eruptions, showing slow-rise onset followed by a faster-rise motion starting as flare emissions begin. It also shows an “EUV crinkle” emission pattern, resulting from magnetic reconnections between the exploding filament-carrying field and surrounding field. Many EUV jets, some of which are surges, sprays and/or X-ray jets, also occur in localized areas of the active region. We examine in detail two relatively energetic ones, accompanied by GOES M1 and C1 flares, and a weaker one without a GOES signature. All three jets resulted from small-scale (∼20″) filament eruptions consistent with a slow rise followed by a fast rise occurring with flare-like jet-bright-point brightenings. The two more-energetic jets showed crinkle patters, but the third jet did not, perhaps due to its weakness. Thus all three jets were consistent with formation via erupting minifilaments, analogous to large-scale filament eruptions and to X-ray jets in polar coronal holes. Several other energetic jets occurred in a nearby portion of the active region; while their behavior was also consistent with their source being minifilament eruptions, we could not confirm this because their onsets were hidden from our view. Magnetic flux cancelation and emergence are candidates for having triggered the minifilament eruptions.

  14. Reevaluation of the O+(2P) reaction rate coefficients derived from atmosphere explorer C observations

    International Nuclear Information System (INIS)

    Chang, T.; Torr, D.G.; Richards, P.G.; Solomon, S.C.

    1993-01-01

    O + ( 2 P) is an important species for studies of the ionosphere and thermosphere: its emission at 7320 angstrom can be used as a diagnostic of the thermospheric atomic oxygen density. Unfortunately, there are no laboratory measurements of the O and N 2 reaction rates which are needed to determine the major sinks of O + ( 2 P). The reaction rates that are generally used were determined from aeronomic data by Rusch et al. but there is evidence that several important inputs that they used should be changed. The authors have recalculated the O and N 2 reaction rates for O + ( 2 P) using recent improvements in the solar EUV flux, cross sections, and photoelectron fluxes. For the standard solar EUV flux, the new N 2 reaction rate of 3.4 ± 1.5 x 10 -10 cm 3 s -1 is close to the value obtained by Rusch et al., but the new O reaction rate of 4.0 ± 1.9 x 10 -10 cm 3 s -1 is about 8 times larger. These new reaction rates are derived using neutral densities, electron density, and solar EUV fluxes measured by Atmosphere Explorer C in 1974 during solar minimum. The new theoretical emission rates are in good agreement with the data for the two orbits studied by Rusch et al. and they are in reasonable agreement with data from five additional orbits that are used in this study. The authors have also examined the effect of uncertainties in the solar EUV flux on the derived reaction rates and found that 15% uncertainties in the solar flux could cause additional uncertainties of up to a factor of 1.5 in the O quenching rate. 19 refs., 4 figs., 8 tabs

  15. Demonstration of resonant photopumping of Mo VII by Mo XII for a VUV laser near 600 Angstrom

    International Nuclear Information System (INIS)

    Ilcisin, K.J.; Aumayr, F.; Schwob, J.L.; Suckewer, S.

    1993-09-01

    We present data of experiments on the resonant photopumping of Mo VII by Mo XII as a method of generating a coherent VUV source near 600 angstrom. The experiment is based on a scheme proposed by Feldman and Reader in which the 4p 6 -- 4p 5 6s transition in Mo VII in resonantly photopumped by the 5s 2 S 1/2 -- 4p 2 P 1/2 transition in Mo XII. Results of the laser produced plasma experiments show the successful enhancement of the population of the Mo VII 4p 5 6s upper lasing level when pumped by an adjacent Mo VII plasma. No enhancement was seen in a control experiment where the Mo VII plasma was pumped by a Zr X plasma. Improvements of the intensity of the Mo XII pump source, achieved using an additional pump laser, lead to the generation of a population inversion for the VUV transition

  16. HEATING OF FLARE LOOPS WITH OBSERVATIONALLY CONSTRAINED HEATING FUNCTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Qiu Jiong; Liu Wenjuan; Longcope, Dana W. [Department of Physics, Montana State University, Bozeman, MT 59717-3840 (United States)

    2012-06-20

    We analyze high-cadence high-resolution observations of a C3.2 flare obtained by AIA/SDO on 2010 August 1. The flare is a long-duration event with soft X-ray and EUV radiation lasting for over 4 hr. Analysis suggests that magnetic reconnection and formation of new loops continue for more than 2 hr. Furthermore, the UV 1600 Angstrom-Sign observations show that each of the individual pixels at the feet of flare loops is brightened instantaneously with a timescale of a few minutes, and decays over a much longer timescale of more than 30 minutes. We use these spatially resolved UV light curves during the rise phase to construct empirical heating functions for individual flare loops, and model heating of coronal plasmas in these loops. The total coronal radiation of these flare loops are compared with soft X-ray and EUV radiation fluxes measured by GOES and AIA. This study presents a method to observationally infer heating functions in numerous flare loops that are formed and heated sequentially by reconnection throughout the flare, and provides a very useful constraint to coronal heating models.

  17. Analysis of the Gaia RVS Region in ESPaDOnS Spectra of Asteroseismic Calibration Stars

    Science.gov (United States)

    Vesa, Oana; Huber, Daniel; Gaidos, Eric

    2018-01-01

    While surface gravity can be measured from asteroseismology, asteroseismology cannot be applied to every star. Surface gravity is a critical stellar parameter because it can be used to calculate the radii of stars, which is important in the characterization of host stars of exoplanets. Here we present spectroscopic observations from ESPaDOnS on the Canada-France-Hawaii Telescope of 172 benchmark “gold standard” stars observed by the NASA Kepler Mission for which densities and surface gravities have been precisely measured using asteroseismology. The goal is to discover an empirical correlation between the equivalent width of the spectral lines in the infrared Ca II triplet region (from 8470 to 8710 angstroms) against surface gravity and other stellar parameters, such as effective temperature and metallicity. The Mg I line at 8736 angstroms has the best potential to be an indicator of surface gravity so far out of the spectral lines in this region with equivalent width increasing slightly as a function of surface gravity; however, degeneracies with effective temperature and metallicity need to be explored further. If a true indicator for surface gravity can be found, then it can to be applied to the R~11000 Gaia radial velocity spectra, which will be released for millions of stars over the coming years.

  18. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H{sub 2}S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S. [Department of Physics, National Central University, Jhongli City, Taoyuan County 32054, Taiwan (China); Nuevo, M. [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Jiménez-Escobar, A.; Muñoz Caro, G. M. [Centro de Astrobiología, INTA-CSIC, Torrejón de Ardoz, E-28850 Madrid (Spain); Wu, C.-Y. R. [Space Sciences Center and Department of Physics and Astronomy, University of Southern California, Los Angeles, CA 90089-1341 (United States); Fung, H.-S. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Ip, W.-H. [Graduate Institute of Astronomy, National Central University, Jhongli City, Taoyuan County 32049, Taiwan (China)

    2015-01-10

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H{sub 2}S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H{sub 2}S and CO{sub 2}:H{sub 2}S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS{sub 2}, OCS, SO{sub 2}, etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H{sub 2}S ice mixtures is higher than that of CO{sub 2}:H{sub 2}S ice mixtures; (2) a lower concentration of H{sub 2}S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS{sub 2} differ significantly upon VUV and EUV irradiations. Furthermore, CS{sub 2} was produced only after VUV photoprocessing of CO:H{sub 2}S ices, while the VUV-induced production of SO{sub 2} occurred only in CO{sub 2}:H{sub 2}S ice mixtures. More generally, the production yields of OCS, H{sub 2}S{sub 2}, and CS{sub 2} were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H{sub 2}S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments.

  19. A simulation package for soft X-ray and EUV spectroscopy of astrophysical and laboratory plasmas in different environments

    International Nuclear Information System (INIS)

    Liang, G Y; Li, F; Wang, F L; Zhong, J Y; Zhao, G; Wu, Y

    2014-01-01

    Spectroscopic researches in astronomy are significantly dependent on theoretical modelling methods, such as Chianti, Xstar, Cloudy etc. Recently, a different research community - Laboratory Astrophysics tries to benchmark these theoretical models or simulate the astrophysical phenomenon directly in conditions accessed in ground laboratory. Those unavoidable differences between the astrophysical objects and laboratory provide a need for a self-consistent model to make a bridge for the two cases. So we setup a visualized simulation package for soft X-ray and EUV spectroscopy in astrophysical and laboratory plasmas.

  20. Simulated solar wind plasma interaction with the Martian exosphere: influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary

    Directory of Open Access Journals (Sweden)

    R. Modolo

    2006-12-01

    Full Text Available The solar wind plasma interaction with the Martian exosphere is investigated by means of 3-D multi-species hybrid simulations. The influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary is examined by comparing two simulations describing the two extreme states of the solar cycle. The hybrid formalism allows a kinetic description of each ions species and a fluid description of electrons. The ionization processes (photoionization, electron impact and charge exchange are included self-consistently in the model where the production rate is computed locally, separately for each ionization act and for each neutral species. The results of simulations are in a reasonable agreement with the observations made by Phobos 2 and Mars Global Surveyor spacecraft. The position of the bow shock and the magnetic pile-up boundary is weakly dependent of the solar EUV flux. The motional electric field creates strong asymmetries for the two plasma boundaries.

  1. MAGNETIC FLUX CANCELATION AS THE TRIGGER OF SOLAR QUIET-REGION CORONAL JETS

    Energy Technology Data Exchange (ETDEWEB)

    Panesar, Navdeep K.; Sterling, Alphonse C.; Moore, Ronald L. [Heliophysics and Planetary Science Office, ZP13, Marshall Space Flight Center, Huntsville, AL 35812 (United States); Chakrapani, Prithi, E-mail: navdeep.k.panesar@nasa.gov [Hunter College High School, New York, NY (United States)

    2016-11-20

    We report observations of 10 random on-disk solar quiet-region coronal jets found in high-resolution extreme ultraviolet (EUV) images from the Solar Dynamics Observatory ( SDO )/Atmospheric Imaging Assembly and having good coverage in magnetograms from the SDO /Helioseismic and Magnetic Imager (HMI). Recent studies show that coronal jets are driven by the eruption of a small-scale filament (called a minifilament ). However, the trigger of these eruptions is still unknown. In the present study, we address the question: what leads to the jet-driving minifilament eruptions? The EUV observations show that there is a cool-transition-region-plasma minifilament present prior to each jet event and the minifilament eruption drives the jet. By examining pre-jet evolutionary changes in the line of sight photospheric magnetic field, we observe that each pre-jet minifilament resides over the neutral line between majority-polarity and minority-polarity patches of magnetic flux. In each of the 10 cases, the opposite-polarity patches approach and merge with each other (flux reduction between 21% and 57%). After several hours, continuous flux cancelation at the neutral line apparently destabilizes the field holding the cool-plasma minifilament to erupt and undergo internal reconnection, and external reconnection with the surrounding coronal field. The external reconnection opens the minifilament field allowing the minifilament material to escape outward, forming part of the jet spire. Thus, we found that each of the 10 jets resulted from eruption of a minifilament following flux cancelation at the neutral line under the minifilament. These observations establish that magnetic flux cancelation is usually the trigger of quiet-region coronal jet eruptions.

  2. Probing the Quiet Solar Atmosphere from the Photosphere to the Corona

    Science.gov (United States)

    Kontogiannis, Ioannis; Gontikakis, Costis; Tsiropoula, Georgia; Tziotziou, Kostas

    2018-04-01

    We investigate the morphology and temporal variability of a quiet-Sun network region in different solar layers. The emission in several extreme ultraviolet (EUV) spectral lines through both raster and slot time-series, recorded by the EUV Imaging Spectrometer (EIS) on board the Hinode spacecraft is studied along with Hα observations and high-resolution spectropolarimetric observations of the photospheric magnetic field. The photospheric magnetic field is extrapolated up to the corona, showing a multitude of large- and small-scale structures. We show for the first time that the smallest magnetic structures at both the network and internetwork contribute significantly to the emission in EUV lines, with temperatures ranging from 8× 104 K to 6× 105 K. Two components of transition region emission are present, one associated with small-scale loops that do not reach coronal temperatures, and another component that acts as an interface between coronal and chromospheric plasma. Both components are associated with persistent chromospheric structures. The temporal variability of the EUV intensity at the network region is also associated with chromospheric motions, pointing to a connection between transition region and chromospheric features. Intensity enhancements in the EUV transition region lines are preferentially produced by Hα upflows. Examination of two individual chromospheric jets shows that their evolution is associated with intensity variations in transition region and coronal temperatures.

  3. High-resolution bent-crystal spectrometer for the ultra-soft x-ray region

    International Nuclear Information System (INIS)

    Beiersdorfer, P.; von Goeler, S.; Bitter, M.; Hill, K.W.; Hulse, R.A.; Walling, R.S.

    1988-10-01

    A multichannel vacuum Brag-crystal spectrometer has been developed for high-resolution measurements of the line emission from tokamak plasmas in the wavelength region between 4 and 25 /angstrom/. The spectrometer employs a bent crystal in Johann geometry and a microchannel-plate intensified photodiode array. The instrument is capable of measuring high-resolution spectra (λ/Δλ ∼ 3000) with fast time resolution (4 msec per spectrum) and good spatial resolution (3 cm). The spectral bandwidth is Δλ/λ 0 = 8/angstrom/. A simple tilt mechanism allows access to different wavelength intervals. In order to illustrate the utility of the new spectrometer, time- and space-resolved measurements of the n = 3 to n = 2 spectrum of selenium from the Princeton Large Torus tokamak plasmas are presented. The data are used to determine the plasma transport parameters and to infer the radial distribution of fluorinelike, neonlike, and sodiumlike ions of selenium in the plasma. The new ultra-soft x-ray spectrometer has thus enabled us to demonstrate the utility of high-resolution L-shell spectroscopy of neonlike ions as a fusion diagnostic. 43 refs., 23 figs

  4. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    Science.gov (United States)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  5. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  6. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun [Center for Space Science and Applied Research, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Ning [School of Tourism and Geography, Yunnan Normal University, Kunming, Yunnan 650031 (China); Lin, Jun [Yunnan Observatories, Chinese Academy of Sciences, Kunming, Yunnan 650011 (China)

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, which is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.

  7. A structural investigation of complex I and I+III2 supercomplex from Zea mays at 11-13 angstrom resolution : Assignment of the carbonic anhydrase domain and evidence for structural heterogeneity within complex I

    NARCIS (Netherlands)

    Peters, Katrin; Dudkina, Natalya V.; Jaensch, Lothar; Braun, Hans-Peter; Boekema, Egbert J.; Jänsch, Lothar

    The projection structures of complex I and the I+III2 supercomplex from the C-4 plant Zea mays were determined by electron microscopy and single particle image analysis to a resolution of up to 11 angstrom. Maize complex I has a typical L-shape. Additionally, it has a large hydrophilic, extra-domain

  8. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    Czech Academy of Sciences Publication Activity Database

    Makhotkin, I.A.; Sobierajski, R.; Chalupský, J.; Tiedtke, K.; de Vries, G.; Stoermer, M.; Scholze, F.; Siewert, F.; van de Kruijs, R.W.E.; Louis, E.; Jacyna, I.; Jurek, M.; Klinger, D.; Nittler, L.; Syryanyy, Y.; Juha, Libor; Hájková, V.; Vozda, V.; Burian, Tomáš; Saksl, K.; Faatz, B.; Keitel, B.; Ploenjes, E.; Schreiber, S.; Toleikis, S.; Loch, R.; Hermann, M.; Strobel, S.; Nienhuys, H.-K.; Gwalt, G.; Mey, T.; Enkisch, H.

    2018-01-01

    Roč. 25, č. 1 (2018), s. 77-84 ISSN 0909-0495. [Workshop on FEL Photon Diagnostics, Instrumentation and Beamline Design (PhotonDiag2017). Stanford, 01.05.2017-03.05.2017] R&D Projects: GA ČR(CZ) GA14-29772S; GA MŠk LG15013 Institutional support: RVO:61389021 Keywords : free-electron laser induced damage * EUV optics * thin films * FELs Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics)

  9. Spectral filter for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (EUV) or soft X-Ray (Soft X) and the infrared (IR) wavelength range

    NARCIS (Netherlands)

    van Goor, F.A.; Bijkerk, Frederik; van den Boogaard, Toine; van den Boogaard, A.J.R.; van der Meer, R.

    2012-01-01

    Spectral filter for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet (EUV radiation) or soft X-ray (soft X) wavelength range and parasitic radiation having a wavelength in the infrared wavelength range (IR

  10. Time series study of EUV spicules observed by SUMER/SoHO

    Science.gov (United States)

    Xia, L. D.; Popescu, M. D.; Doyle, J. G.; Giannikakis, J.

    2005-08-01

    Here we study the dynamic properties of EUV spicules seen at the solar limb. The selected data were obtained as time series in polar coronal holes by SUMER/SoHO. The short exposure time and the almost fixed position of the spectrometer's slit allow the analysis of spicule properties such as occurrence, lifetime and Doppler velocity. Our data reveal that spicules occur repeatedly at the same location with a birth rate of around 0.16/min as estimated at 10´´ above the limb and a lifetime ranging from 15 down to ≈3 min. We are able to see some spicules showing a process of “falling after rising” indicated by the sudden change of the Doppler velocity sign. A periodicity of ≈5 min is sometimes discernible in their occurrence. Most spicules have a height between 10´´ and 20´´ above the limb. Some can stretch up to 40´´; these “long macro-spicules” seem to be comprised of a group of high spicules. Some of them have an obvious periodicity in the radiance of ≈5 min.

  11. A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab

    Science.gov (United States)

    Singh, SherJang; Yatzor, Brett; Taylor, Ron; Wood, Obert; Mangat, Pawitter

    2017-03-01

    The prospect of EUVL (Extreme Ultraviolet Lithography) insertion into HVM (High Volume Manufacturing) has never been this promising. As technology is prepared for "lab to fab" transition, it becomes important to comprehend challenges associated with integrating EUVL infrastructure within existing high volume chip fabrication processes in a foundry fab. The existing 193nm optical lithography process flow for reticle handling and storage in a fab atmosphere is well established and in-fab reticle contamination concerns are mitigated with the reticle pellicle. However EUVL reticle pellicle is still under development and if available, may only provide protection against particles but not molecular contamination. HVM fab atmosphere is known to be contaminated with trace amounts of AMC's (Atmospheric Molecular Contamination). If such contaminants are organic in nature and get absorbed on the reticle surface, EUV photon cause photo-dissociation resulting into carbon generation which is known to reduce multilayer reflectivity and also degrades exposure uniformity. Chemical diffusion and aggregation of other ions is also reported under the e-beam exposure of a EUV reticle which is known to cause haze issues in optical lithography. Therefore it becomes paramount to mitigate absorbed molecular contaminant concerns on EUVL reticle surface. In this paper, we have studied types of molecular contaminants that are absorbed on an EUVL reticle surface under HVM fab storage and handling conditions. Effect of storage conditions (gas purged vs atmospheric) in different storage pods (Dual pods, Reticle Clamshells) is evaluated. Absorption analysis is done both on ruthenium capping layer as well as TaBN absorber. Ru surface chemistry change as a result of storage is also studied. The efficacy of different reticle cleaning processes to remove absorbed contaminant is evaluated as well.

  12. EIT And SXT Observations of a Quiet-Region Filament Ejection: First Eruption, Then Reconnection

    Science.gov (United States)

    Sterling, Alphonse C.; Moore, Ronald L.; Thompson, Barbara J.

    2001-01-01

    We observe a slow-onset quiet-region filament eruption with the Extreme Ultraviolet Imaging Telescope (EIT) on the Solar Heliospheric Observatory (SOHO) and the Soft X-ray Telescope (SXT) on Yohkoh. This event occurred on 1999 April 18 and was likely the origin of a coronal mass ejection detected by SOHO at 08:30 UT on that day. In the EIT observation, one-half of the filament shows two stages of evolution: stage 1 is a slow, roughly constant upward movement at approximately 1 km/s lasting approximately 0.5 hr, and stage 2 is a rapid upward eruption at approximately 16 km/s occurring just before the filament disappears into interplanetary space. The other half of the filament shows little motion along the line of sight during the time of stage 1 but erupts along with the rest of the filament during stage 2. There is no obvious emission from the filament in the SXT observation until stage 2; at that time, an arcade of EUV and soft X-ray loops forms first at the central location of the filament and then expands outward along the length of the filament channel. A plot of EUV intensity versus time of the central portion of the filament (where the postflare loops initially form) shows a flat profile during stage 1 and a rapid upturn after the start of stage 2. This light curve is delayed from what would be expected if 'tether-cutting' reconnection in the core of the erupting region were responsible for the initiation of the eruption. Rather, these observations suggest that a loss of stability of the magnetic field holding the filament initiates the eruption, with reconnection in the core region occurring only as a by-product.

  13. Soft X-ray spectroscopy measurements of plasma conditions at early times in ICF experiments on OMEGA. Semi-annual report, November 1, 1998-April 30, 1999

    International Nuclear Information System (INIS)

    Griem, H.R.; Elton, R.C.

    1999-01-01

    Since arrival of FY-99 funding in December, the authors have been preparing for the first series of experiments under this grant on the OMEGA laser facility, which just took place (for one day) on April 27, 1999. The campaign was successful and results will be included in the next progress report following analyses. For the first time, they fielded their Ten Inch Manipulator (TIM-) mounted flat-field, grazing-incidence extreme-ultraviolet (euv) spectrograph with a four-channel gated-stripline microchannel plate (MCP) detector. This spectrograph covers the spectral range of 30--250 angstrom (hv = 50--400 eV). As in a previous campaign of May 1998, where the authors used this instrument with time-integrated photographic recording, the spectrograph reached closer to the target than did the previous version mounted on the chamber wall; such that the sensitivity increased by at least a factor-of-10 for viewing weak spectral features. The analysis during this reporting period of the euv spectroscopic results from the October 1998 NLUF/OMEGA campaign of Mg X, XI and XII spectra from n = 3 to n = 2 transitions are shown in Fig. 1 versus time. The data plotted represent a composite between the three most sensitive striplines, delayed relative to each other, for a number of shots. The intended emphasis was on the early portion of the event while the laser intensity is rising to a peak. This measured euv history agrees with that from the x-ray streak spectrographic data shown in Fig. 2 from the same campaign, i.e., the peak period of emission being in the first 1.5 ns

  14. Prominence-corona interface compared with the chromosphere-corona transition region

    Energy Technology Data Exchange (ETDEWEB)

    Orrall, F Q; Schmahl, E J [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1976-11-01

    The intensities of 52 EUV emission lines from each of 9 hedgerow prominences observed at the limb with the Harvard experiment on ATM-Skylab have been compared with intensities from the interior of network cells at the center of the disk, in order to compare the prominence-corona (P-C) interface with the chromosphere-corona (C-C) transition region. The intensity ratio Isub(cell)/Isub(prominence) for each line varies systematically (in all of the prominences observed), with the temperature of formation of the line as approximately Tsup(-0.6). The density sensitive C III (formed at T approximately 9x10/sup 4/ K) line ratio Isub(lambda1175)/Isub(lambda977) implies an average density 1.3x10/sup 9/ electrons cm/sup -3/ in the P-C interface and approximately 4 times this value in the C-C transition of the cells. The total optical thickness at the head of the Lyman continuum is < approximately 10 in most of the prominences studied; in two of the prominences, however, the possibility that tau/sub 0/ is large cannot be rejected. Methods of analysis of these EUV data are developed assuming both a resolved and an unresolved internal prominence structure. Although the systematic differences between the P-C interface and the C-C transition are stressed, the similarities are probably more remarkable and may be a result of fine structure in the C-C transition.

  15. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    Czech Academy of Sciences Publication Activity Database

    Makhotkin, I.; Sobierajski, R.; Chalupský, Jaromír; Tiedtke, K.; de Vries, G.; Stoermer, M.; Scholze, F.; Siewert, F.; van de Kruijs, R.W.E.; Milov, I.; Louis, E.; Jacyna, I.; Jurek, M.; Klinger, D.; Nittler, L.; Syryanyy, Y.; Juha, Libor; Hájková, Věra; Vozda, Vojtěch; Burian, Tomáš; Saksl, Karel; Faatz, B.; Keitel, B.; Ploenjes, E.; Schreiber, S.; Toleikis, S.; Loch, R.A.; Hermann, M.; Strobel, S.; Nienhuys, H.-K.; Gwalt, G.; Mey, T.; Enkisch, H.

    2018-01-01

    Roč. 25, č. 1 (2018), s. 77-84 ISSN 1600-5775. [Workshop on FEL Photon Diagnostics, Instrumentation and Beamline Design (PhotonDiag2017). Stanford, 01.05.2017-03.05.2017] R&D Projects: GA MŠk LG15013; GA ČR(CZ) GA17-05167s; GA ČR(CZ) GA14-29772S Institutional support: RVO:68378271 Keywords : free-electron laser induced damage * EUV optics * thin films * FELs Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.011, year: 2016

  16. Possible interaction between thermal electrons and vibrationally excited N2 in the lower E-region

    Directory of Open Access Journals (Sweden)

    K.-I. Oyama

    2011-03-01

    Full Text Available As one of the tasks to find the energy source(s of thermal electrons, which elevate(s electron temperature higher than neutral temperature in the lower ionosphere E-region, energy distribution function of thermal electron was measured with a sounding rocket at the heights of 93–131 km by the applying second harmonic method. The energy distribution function showed a clear hump at the energy of ~0.4 eV. In order to find the reason of the hump, we conducted laboratory experiment. We studied difference of the energy distribution functions of electrons in thermal energy range, which were measured with and without EUV radiation to plasma of N2/Ar and N2/O2 gas mixture respectively. For N2/Ar gas mixture plasma, the hump is not clearly identified in the energy distribution of thermal electrons. On the other hand for N2/O2 gas mixture, which contains vibrationally excited N2, a clear hump is found when irradiated by EUV. The laboratory experiment seems to suggest that the hump is produced as a result of interaction between vibrationally excited N2 and thermal electrons, and this interaction is the most probable heating source for the electrons of thermal energy range in the lower E-region. It is also suggested that energy distribution of the electrons in high energy part may not be Maxwellian, and DC probe measures the electrons which are non Maxwellian, and therefore "electron temperature" is calculated higher.

  17. Adaptive inversion algorithm for 1 . 5 μm visibility lidar incorporating in situ Angstrom wavelength exponent

    Science.gov (United States)

    Shang, Xiang; Xia, Haiyun; Dou, Xiankang; Shangguan, Mingjia; Li, Manyi; Wang, Chong

    2018-07-01

    An eye-safe 1 . 5 μm visibility lidar is presented in this work considering in situ particle size distribution, which can be deployed in crowded places like airports. In such a case, the measured extinction coefficient at 1 . 5 μm should be converted to that at 0 . 55 μm for visibility retrieval. Although several models have been established since 1962, the accurate wavelength conversion remains a challenge. An adaptive inversion algorithm for 1 . 5 μm visibility lidar is proposed and demonstrated by using the in situ Angstrom wavelength exponent, which is derived from an aerosol spectrometer. The impact of the particle size distribution of atmospheric aerosols and the Rayleigh backscattering of atmospheric molecules are taken into account. Using the 1 . 5 μm visibility lidar, the visibility with a temporal resolution of 5 min is detected over 48 h in Hefei (31 . 83∘ N, 117 . 25∘ E). The average visibility error between the new method and a visibility sensor (Vaisala, PWD52) is 5.2% with the R-square value of 0.96, while the relative error between another reference visibility lidar at 532 nm and the visibility sensor is 6.7% with the R-square value of 0.91. All results agree with each other well, demonstrating the accuracy and stability of the algorithm.

  18. MODELING MAGNETIC FIELD STRUCTURE OF A SOLAR ACTIVE REGION CORONA USING NONLINEAR FORCE-FREE FIELDS IN SPHERICAL GEOMETRY

    International Nuclear Information System (INIS)

    Guo, Y.; Ding, M. D.; Liu, Y.; Sun, X. D.; DeRosa, M. L.; Wiegelmann, T.

    2012-01-01

    We test a nonlinear force-free field (NLFFF) optimization code in spherical geometry using an analytical solution from Low and Lou. Several tests are run, ranging from idealized cases where exact vector field data are provided on all boundaries, to cases where noisy vector data are provided on only the lower boundary (approximating the solar problem). Analytical tests also show that the NLFFF code in the spherical geometry performs better than that in the Cartesian one when the field of view of the bottom boundary is large, say, 20° × 20°. Additionally, we apply the NLFFF model to an active region observed by the Helioseismic and Magnetic Imager on board the Solar Dynamics Observatory (SDO) both before and after an M8.7 flare. For each observation time, we initialize the models using potential field source surface (PFSS) extrapolations based on either a synoptic chart or a flux-dispersal model, and compare the resulting NLFFF models. The results show that NLFFF extrapolations using the flux-dispersal model as the boundary condition have slightly lower, therefore better, force-free, and divergence-free metrics, and contain larger free magnetic energy. By comparing the extrapolated magnetic field lines with the extreme ultraviolet (EUV) observations by the Atmospheric Imaging Assembly on board SDO, we find that the NLFFF performs better than the PFSS not only for the core field of the flare productive region, but also for large EUV loops higher than 50 Mm.

  19. EUV Spectra of High Z Impurities from Large Helical Device and Atomic Data

    International Nuclear Information System (INIS)

    Kato, T.; Suzuki, C.; Funaba, H.; Sato, K.; Murakami, I.; Kato, D.; Sakaue, H.; O’Sullivan, G.; Harte, C.; White, J.; D’Arcy, R.; Tanuma, H.; Nakamura, N.

    2017-01-01

    The results of experiments on high Z impurity injection in the Large Helical Device at the National Institute for Fusion Science are described. Spectra from Xe, Sn and W ions were recorded in the extreme ultraviolet spectral region. Two different situations were observed in the case of Xe and Sn, depending on whether or not the plasma underwent radiative collapse. If the plasma was stable, the spectrum consisted of a number of strong lines and in both cases the strongest contribution was from 4p - 4d transitions of Cu-like ions. If the plasma underwent radiative collapse in each case it was dominated by an intense unresolved transition array with some strong lines overlapping it resulting from 4p 6 4d m - 4p 5 4d m+1 + 4p 6 4d m-1 4f transitions. For tungsten, radiative collapse was not observed though the spectrum here was dominated by the same array which lies between 4.5 and 7 nm with some additional contribution at the same wavelength from 4d 10 4f m - 4d 9 4f m+1 and 4d 10 4f m - 4d 10 4f m-1 5d transitions in lower stages also. From observation and comparison with other sources, it is shown that the spectra are dominated by resonance transitions to the ground state of the emitting ions, in marked contrast to results from charge exchange spectra that had been recorded to assist with ion stage separation. In the case of tungsten, no sharp lines are seen though the profile of the unresolved array structure changes with plasma temperature and the origin of these changes can be traced to differences in the populations of contributing ions. New assignments for lines of Xe XVIII, Sn XIX and Sn XVII of 4p - 4d transitions are listed in Tables. Strong lines of W, Xe and Sn ions in EUV range are also tabulated. (author)

  20. Non-Potential Magnetic Fields and Magnetic Reconnection In Low Collisional Plasmas-Discovery of Solar EUV Mini-Sigmoids and Development of Novel In-Space Propulsion Systems

    Science.gov (United States)

    Chesny, David

    Magnetic reconnection is the source of many of the most powerful explosions of astrophysical plasmas in the universe. Blazars, magnetars, stellar atmospheres, and planetary magnetic fields have all been shown to be primary sites of strong reconnection events. For studying the fundamental physics behind this process, the solar atmosphere is our most accessible laboratory setting. Magnetic reconnection resulting from non-potential fields leads to plasma heating and particle acceleration, often in the form of explosive activity, contributing to coronal heating and the solar wind. Large-scale non-potential (sigmoid) fields in the solar atmosphere are poorly understood due to their crowded neighborhoods. For the first time, small-scale, non-potential loop structures have been observed in quiet Sun EUV observations. Fourteen unique mini-sigmoid events and three diffuse non-potential loops have been discovered, suggesting a multi-scaled self-similarity in the sigmoid formation process. These events are on the order of 10 arcseconds in length and do not appear in X-ray emissions, where large-scale sigmoids are well documented. We have discovered the first evidence of sigmoidal structuring in EUV bright point phenomena, which are prolific events in the solar atmosphere. Observations of these mini-sigmoids suggest that they are being formed via tether-cutting reconnection, a process observed to occur at active region scales. Thus, tether-cutting is suggested to be ubiquitous throughout the solar atmosphere. These dynamics are shown to be a function of the free magnetic energy in the quiet Sun network. Recently, the reconnection process has been reproduced in Earth-based laboratory tokamaks. Easily achievable magnetic field configurations can induce reconnection and result in ion acceleration. Here, magnetic reconnection is utilized as the plasma acceleration mechanism for a theoretical propulsion system. The theory of torsional spine reconnection is shown to result in ion

  1. EMC3-eIRENE simulation of impurity transport in comparison with EUV emission measurements in the stochastic layer of LHD: effects of force balance and transport coefficients

    Energy Technology Data Exchange (ETDEWEB)

    Dai, S. [National Institute for Fusion Science, Toki (Japan); Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Kobayashi, M.; Morita, S.; Oishi, T.; Suzuki, Y. [National Institute for Fusion Science, Toki (Japan); Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Kawamura, G. [National Institute for Fusion Science, Toki (Japan); Zhang, H.M.; Huang, X.L. [Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Feng, Y. [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Wang, D.Z. [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Collaboration: The LHD experiment group

    2016-08-15

    The transport properties and line emissions of the intrinsic carbon in the stochastic layer of the Large Helical Device have been investigated with the three-dimensional edge transport code EMC3-EIRENE. The simulations of impurity transport and emissivity have been performed to study the dedicated experiment in which the carbon emission distributions are measured by a space-resolved EUV spectrometer system. A discrepancy of the CIV impurity emission between the measurement and simulation is obtained, which is studied with the variation of the ion thermal force, friction force and the perpendicular diffusivity in the impurity transport model. An enhanced ion thermal force or a reduced friction force in the modelling can increase the CIV impurity emission at the inboard X-point region. Furthermore, the impact of the perpendicular diffusivity Dimp is studied which shows that the CIV impurity emission pattern is very sensitive to Dimp. It is found that the simulation results with the increased Dimp tend to be closer to the experimental observation. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Automated Temperature and Emission Measure Analysis of Coronal Loops and Active Regions Observed with the Atmospheric Imaging Assembly on the Solar Dynamics Observatory (SDO/AIA)

    Science.gov (United States)

    Aschwanden, Markus J.; Boerner, Paul; Schrijver, Carolus J.; Malanushenko, Anna

    2013-03-01

    We developed numerical codes designed for automated analysis of SDO/AIA image datasets in the six coronal filters, including: i) coalignment test between different wavelengths with measurements of the altitude of the EUV-absorbing chromosphere, ii) self-calibration by empirical correction of instrumental response functions, iii) automated generation of differential emission measure [DEM] distributions with peak-temperature maps [ T p( x, y)] and emission measure maps [ EM p( x, y)] of the full Sun or active region areas, iv) composite DEM distributions [d EM( T)/d T] of active regions or subareas, v) automated detection of coronal loops, and vi) automated background subtraction and thermal analysis of coronal loops, which yields statistics of loop temperatures [ T e], temperature widths [ σ T], emission measures [ EM], electron densities [ n e], and loop widths [ w]. The combination of these numerical codes allows for automated and objective processing of numerous coronal loops. As an example, we present the results of an application to the active region NOAA 11158, observed on 15 February 2011, shortly before it produced the largest (X2.2) flare during the current solar cycle. We detect 570 loop segments at temperatures in the entire range of log( T e)=5.7 - 7.0 K and corroborate previous TRACE and AIA results on their near-isothermality and the validity of the Rosner-Tucker-Vaiana (RTV) law at soft X-ray temperatures ( T≳2 MK) and its failure at lower EUV temperatures.

  3. OUTFLOWS AND DARK BANDS AT ARCADE-LIKE ACTIVE REGION CORE BOUNDARIES

    Energy Technology Data Exchange (ETDEWEB)

    Scott, J. T.; Martens, P. C. H.; Tarr, L. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States)

    2013-03-10

    Observations from the EUV Imaging Spectrometer (EIS) on board Hinode have revealed outflows and non-thermal line broadening in low intensity regions at the edges of active regions (ARs). We use data from Hinode's EIS, Solar Dynamic Observatory's Atmospheric Imaging Assembly and Helioseismic and Magnetic Imager, and the Transition Region and Coronal Explorer instrument to investigate the boundaries of arcade-like AR cores for NOAA ARs 11112, 10978, and 9077. A narrow, low intensity region that is observed at the core's periphery as a dark band shows outflows and increased spectral line broadening. This dark band is found to exist for days and appears between the bright coronal loop structures of different coronal topologies. We find a case where the dark band region is formed between the magnetic field from emerging flux and the field of the pre-existing flux. A magnetic field extrapolation indicates that this dark band is coincident with the spine lines or magnetic separatrices in the extrapolated field. This occurs over unipolar regions where the brightened coronal field is separated in connectivity and topology. This separation does not appear to be infinitesimal and an initial estimate of the minimum distance of separation is found to be Almost-Equal-To 1.5-3.5 Mm.

  4. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode/EUV Imaging Spectrometer (EIS)

    Science.gov (United States)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Morita, Shigeru; Suzuki, Chihiro; Tamura, Naoki; Yamamoto, Norimasa; Nakamura, Nobuyuki

    2017-06-01

    Line intensities emerging from the Ne-sequence iron ion (Fe XVII) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe XVII λ 204.6/λ 254.8 are derived in the laboratory by unblending the contributions of the Fe XIII and XII line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  5. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Tetsuya; Hara, Hirohisa [National Astronomical Observatory, National Institutes of Natural Sciences 2-21-1 Osawa Mitaka Tokyo, 181-8588 (Japan); Murakami, Izumi; Kato, Daiji; Morita, Shigeru [SOKENDAI (Graduate University for Advanced Studies) Hayama, Miura-gun, Kanagawa, 240-0193 (Japan); Sakaue, Hiroyuki A.; Suzuki, Chihiro; Tamura, Naoki [National Institute for Fusion Science, National Institutes of Natural Sciences 322-6 Oroshi-cho, Toki Gifu, 509-5292 (Japan); Yamamoto, Norimasa [Chubu University 1200 Matsumoto-cho, Kasugai Aichi, 487-0027 (Japan); Nakamura, Nobuyuki, E-mail: watanabe@uvlab.mtk.nao.ac.jp [The University of Electro-Communications 1-5-1 Chofugaoka, Chofu Tokyo, 182-8585 (Japan)

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  6. The discrete and localized nature of the variable emission from active regions

    Science.gov (United States)

    Arndt, Martina Belz; Habbal, Shadia Rifai; Karovska, Margarita

    1994-01-01

    Using data from the Extreme Ultraviolet (EUV) Spectroheliometer on Skylab, we study the empirical characteristics of the variable emission in active regions. These simultaneous multi-wavelength observations clearly confirm that active regions consist of a complex of loops at different temperatures. The variable emission from this complex has very well-defined properties that can be quantitatively summarized as follows: (1) It is localized predominantly around the footpoints where it occurs at discrete locations. (2) The strongest variability does not necessarily coincide with the most intense emission. (3) The fraction of the area of the footpoints, (delta n)/N, that exhibits variable emission, varies by +/- 15% as a function of time, at any of the wavelengths measured. It also varies very little from footpoint to footpoint. (4) This fractional variation is temperature dependent with a maximum around 10(exp 5) K. (5) The ratio of the intensity of the variable to the average background emission, (delta I)/(bar-I), also changes with temperature. In addition, we find that these distinctive characteristics persist even when flares occur within the active region.

  7. THE INFLUENCE OF THE EXTREME ULTRAVIOLET SPECTRAL ENERGY DISTRIBUTION ON THE STRUCTURE AND COMPOSITION OF THE UPPER ATMOSPHERE OF EXOPLANETS

    Energy Technology Data Exchange (ETDEWEB)

    Guo, J. H. [Yunnan Observatories, Chinese Academy of Sciences, P.O. Box 110, Kunming 650011 (China); Ben-Jaffel, Lotfi, E-mail: guojh@ynao.ac.cn, E-mail: bjaffel@iap.fr [Sorbonne Universités, UPMC Univ. Paris 6 et CNRS, UMR 7095, Institut Astrophysique de Paris, F-75014 Paris (France)

    2016-02-20

    By varying the profiles of stellar extreme ultraviolet (EUV) spectral energy distributions (SEDs), we tested the influences of stellar EUV SEDs on the physical and chemical properties of an escaping atmosphere. We apply our model to study four exoplanets: HD 189733b, HD 209458b, GJ 436b, and Kepler-11b. We find that the total mass loss rates of an exoplanet, which are determined mainly by the integrated fluxes, are moderately affected by the profiles of the EUV SED, but the composition and species distributions in the atmosphere can be dramatically modified by the different profiles of the EUV SED. For exoplanets with a high hydrodynamic escape parameter (λ), the amount of atomic hydrogen produced by photoionization at different altitudes can vary by one to two orders of magnitude with the variation of stellar EUV SEDs. The effect of photoionization of H is prominent when the EUV SED is dominated by the low-energy spectral region (400–900 Å), which pushes the transition of H/H{sup +} to low altitudes. In contrast, the transition of H/H{sup +} moves to higher altitudes when most photons are concentrated in the high-energy spectral region (50–400 Å). For exoplanets with a low λ, the lower temperatures of the atmosphere make many chemical reactions so important that photoionization alone can no longer determine the composition of the escaping atmosphere. For HD 189733b, it is possible to explain the time variability of Lyα between 2010 and 2011 by a change in the EUV SED of the host K-type star, yet invoking only thermal H i in the atmosphere.

  8. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  9. The effects of age on red giant metallicities derived from the near-infrared CaII triplet

    NARCIS (Netherlands)

    Cole, AA; Smecker-Hane, TA; Tolstoy, E; Bosler, TL; Gallagher, JS

    2004-01-01

    We have obtained spectra with a resolution of similar to2.5 Angstrom in the region of approximate to7500-9500 Angstrom for 116 red giants in five galactic globular clusters and six old open clusters (five with published metallicities and one previously unmeasured). The signal-to-noise (S/N) ratio

  10. NEW SOLAR EXTREME-ULTRAVIOLET IRRADIANCE OBSERVATIONS DURING FLARES

    International Nuclear Information System (INIS)

    Woods, Thomas N.; Hock, Rachel; Eparvier, Frank; Jones, Andrew R.; Chamberlin, Phillip C.; Klimchuk, James A.; Didkovsky, Leonid; Judge, Darrell; Mariska, John; Warren, Harry; Schrijver, Carolus J.; Webb, David F.; Bailey, Scott; Tobiska, W. Kent

    2011-01-01

    New solar extreme-ultraviolet (EUV) irradiance observations from the NASA Solar Dynamics Observatory (SDO) EUV Variability Experiment provide full coverage in the EUV range from 0.1 to 106 nm and continuously at a cadence of 10 s for spectra at 0.1 nm resolution and even faster, 0.25 s, for six EUV bands. These observations can be decomposed into four distinct characteristics during flares. First, the emissions that dominate during the flare's impulsive phase are the transition region emissions, such as the He II 30.4 nm. Second, the hot coronal emissions above 5 MK dominate during the gradual phase and are highly correlated with the GOES X-ray. A third flare characteristic in the EUV is coronal dimming, seen best in the cool corona, such as the Fe IX 17.1 nm. As the post-flare loops reconnect and cool, many of the EUV coronal emissions peak a few minutes after the GOES X-ray peak. One interesting variation of the post-eruptive loop reconnection is that warm coronal emissions (e.g., Fe XVI 33.5 nm) sometimes exhibit a second large peak separated from the primary flare event by many minutes to hours, with EUV emission originating not from the original flare site and its immediate vicinity, but rather from a volume of higher loops. We refer to this second peak as the EUV late phase. The characterization of many flares during the SDO mission is provided, including quantification of the spectral irradiance from the EUV late phase that cannot be inferred from GOES X-ray diagnostics.

  11. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    Science.gov (United States)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A. K.; Mohan, Man

    2015-08-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac-Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications.

  12. Avoiding unstable regions in the design space of EUV mirror systems comprising high-order aspheric surfaces

    NARCIS (Netherlands)

    Marinescu, O.; Bociort, F.; Braat, J.

    2004-01-01

    When Extreme Ultraviolet mirror systems having several high-order aspheric surfaces are optimized, the configurations often enter into highly unstable regions of the parameter space. Small changes of system parameters lead then to large changes in ray paths, and therefore optimization algorithms

  13. Structure of the solar transition region and inner corona

    International Nuclear Information System (INIS)

    Mariska, J.T.

    1977-01-01

    Emission gradient curves for extreme ultraviolet (EUV) resonance lines of lithium-like ions were constructed from spectroheliograms of quiet limb regions and a north polar coronal hole observed with the Harvard experiment on Skylab. The observations are interpreted with simple coronal models. Comparison of the theoretical and observed emission gradients for quiet regions indicates that for these areas the temperature rises throughout the inner corona (1.03 less than or equal to r less than or equal to 1.20 R/sub mass/). In the coronal hole the temperature rises in a manner consistent with a constant conductive flux to an isothermal corona at a temperature of 1.1 x 10 6 K at 1.08/sub mass/. The geometry of the coronal hole boundary is also determined. The boundary geometry and density distribution are combined with typical solar wind parameters at the north to determine an outflow velocity of 15 km s -1 at 1.08 R/sub mass/. The energy balance implications of the models are examined. It was found that in the transition region both conduction and radiation are important in determining the energy balance in network regions in both quiet areas and coronal holes. Additional energy sources are required in the network in coronal holes. In the corona it is found that, to within the errors of the determination, the energy losses, and hence the requirements for mechanical heating, are the same in quiet regions and coronal holes

  14. Geometry of the chromosphere-corona transition region inferred from the center-to-limb variation of the radio emission

    Energy Technology Data Exchange (ETDEWEB)

    Kanno, M [Kyoto Univ. (Japan); Tanaka, R [Niigata Univ. (Japan)

    1975-07-01

    Based on the observations of the EUV spectroheliograms, the effective chromosphere-corona transition region is assumed to be restricted in a small volume element in the boundaries of the supergranular network. The center-to-limb variation of the quiet Sun at cm and dm wavelengths is analyzed to determine where the transition region is located in the network boundaries. Expressions are derived for the theoretical center-to-limb variation of the hypothetical brightness temperature only from the transition region, taking into account the orientation of the spicules. Comparison with the observations shows that the spicule-sheath model (Brueckner and Nicolas, 1973) and the hot plagette model (Foukal, 1974) are not compatible with the observations, because the limb brighening predicted by these models is too great. A new picture is therefore proposed that thin platelet transition regions are placed on top of the chromosphere and scattered between the network boundaries (platlet transition-region model). This model is in accord with the observed center to limb variation of the radio emission.

  15. Determining the source region of auroral emissions in the prenoon oval using coordinated Polar BEAR UV-imaging and DMSP particle measurements

    International Nuclear Information System (INIS)

    Newell, P.T.; Meng, C.I.; Huffman, R.E.

    1992-01-01

    The Polar Beacon Experiment and Auroral Research (Polar BEAR) satellite included the capability for imaging the dayside auroral oval in full sunlight at several wavelengths. The authors compare particle observations from the DMSP F7 satellite during dayside auroral oval crossings with approximately simultaneous Polar BEAR 1,356-angstrom images to determine the magnetospheric source region of the dayside auroral oval. The source region is determined from the Defense Meteorological Satellite Program (DMSP) particle data, according to recent work concerning the classification and identification of precipitation source regions. The close DMSP/Polar BEAR coincidences all occur when the former satellite is located between 0945 and 1,000 MLT. The authors found instances of auroral arcs mapping to each of several different regions, including the boundary plasma sheet, the low-latitude boundary layer, and the plasma mantle. However, the results indicate that about half the time the most prominent auroral arcs are located at the interfaces between distinct plasma regions, at least at the local time studied here

  16. THE FORMATION OF AN INVERSE S-SHAPED ACTIVE-REGION FILAMENT DRIVEN BY SUNSPOT MOTION AND MAGNETIC RECONNECTION

    Energy Technology Data Exchange (ETDEWEB)

    Yan, X. L.; Xue, Z. K.; Wang, J. C.; Yang, L. H. [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Priest, E. R. [Mathematics Institute, University of St Andrews, St Andrews, KY16 9SS (United Kingdom); Guo, Q. L., E-mail: yanxl@ynao.ac.cn [College of Mathematics Physics and Information Engineering, Jiaxing University, Jiaxing 314001 (China)

    2016-11-20

    We present a detailed study of the formation of an inverse S-shaped filament prior to its eruption in active region NOAA 11884 from 2013 October 31 to November 2. In the initial stage, clockwise rotation of a small positive sunspot around the main negative trailing sunspot formed a curved filament. Then the small sunspot cancelled with the negative magnetic flux to create a longer active-region filament with an inverse S-shape. At the cancellation site a brightening was observed in UV and EUV images and bright material was transferred to the filament. Later the filament erupted after cancellation of two opposite polarities below the upper part of the filament. Nonlinear force-free field extrapolation of vector photospheric fields suggests that the filament may have a twisted structure, but this cannot be confirmed from the current observations.

  17. The All-Wavelength Extended Groth Strip International Survey(AEGIS) Data Sets

    Energy Technology Data Exchange (ETDEWEB)

    Davis, M.; Guhathakurta, P.; Konidaris, N.P.; Newman, J.A.; Ashby, M.L.N.; Biggs, A.D.; Barmby, P.; Bundy, K.; Chapman, S.C.; Coil,A.L.; Conselice, C.J.; Cooper, M.C.; Croton, D.J.; Eisenhardt, P.R.M.; Ellis, R.S.; Faber, S.M.; Fang, T.; Fazio, G.G.; Georgakakis, A.; Gerke,B.F.; Goss, W.M.; Gwyn, S.; Harker, J.; Hopkins, A.M.; Huang, J.-S.; Ivison, R.J.; Kassin, S.A.; Kirby, E.N.; Koekemoer, A.M.; Koo, D.C.; Laird, E.S.; Le Floc' h, E.; Lin, L.; Lotz, J.M.; Marshall, P.J.; Martin,D.C.; Metevier, A.J.; Moustakas, L.A.; Nandra, K.; Noeske, K.G.; Papovich, C.; Phillips, A.C.; Rich,R. M.; Rieke, G.H.; Rigopoulou, D.; Salim, S.; Schiminovich, D.; Simard, L.; Smail, I.; Small,T.A.; Weiner,B.J.; Willmer, C.N.A.; Willner, S.P.; Wilson, G.; Wright, E.L.; Yan, R.

    2006-10-13

    In this the first of a series of Letters, we present a description of the panchromatic data sets that have been acquired in the Extended Groth Strip region of the sky. Our survey, the All-wavelength Extended Groth Strip International Survey (AEGIS), is intended to study the physical properties and evolutionary processes of galaxies at z{approx}1. It includes the following deep, wide-field imaging data sets: Chandra/ACIS X-ray (0.5-10 keV), GALEX ultraviolet (1200-2500 Angstroms), CFHT/MegaCam Legacy Survey optical (3600-9000 Angstroms), CFHT/CFH12K optical (4500-9000 Angstroms), Hubble Space Telescope/ACS optical (4400-8500 Angstroms), Palomar/WIRC near-infrared (1.2-2.2 {micro}m), Spitzer/IRAC mid-infrared (3.6-8.0 {micro}m), Spitzer/MIPS far-infrared (24-70 {micro}m), and VLA radio continuum (6-20 cm). In addition, this region of the sky has been targeted for extensive spectroscopy using the DEIMOS spectrograph on the Keck II 10 m telescope. Our survey is compared to other large multiwavelength surveys in terms of depth and sky coverage.

  18. Magnetic Characteristics of Active Region Heating Observed with TRACE, SOHO/EIT, and Yohkoh/SXT

    Science.gov (United States)

    Porter, J. G.; Falconer, D. A.; Moore, R. L.; Rose, M. Franklin (Technical Monitor)

    2001-01-01

    Over the past several years, we have reported results from studies that have compared the magnetic structure and heating of the transition region and corona (both in active regions and in the quiet Sun) by combining X-ray and EUV images from Yohkoh and Solar and Heliospheric Observatory (SOHO) with photospheric magnetograms from ground-based observatories. Our findings have led us to the hypothesis that most heating throughout the corona is driven from near and below the base of the corona by eruptive microflares occurring in compact low-lying "core magnetic fields (i.e., fields rooted along and closely enveloping polarity inversion lines in the photospheric magnetic flux). We now extend these studies, comparing sequences of UV images from Transition Region and Coronal Explorer (TRACE) with longitudinal magnetograms from Kitt Peak and vector magnetograms from MUSIC. These comparisons confirm the previous results regarding the importance of core-field activity to active region heating. Activity in fields associated with satellite polarity inclusions and/or magnetically sheared configurations is especially prominent. This work is funded by NASA's Office of Space Science through the Sun-Earth Connection Guest Investigator Program and the Solar Physics Supporting Research and Technology Program.

  19. Dispersion interaction between an atom and linear molecule

    International Nuclear Information System (INIS)

    Carvalho, I.L. de

    1987-01-01

    The Jacobi-Csanak method is adapted to the calculation of the dipole-dipole, dipole-quadrupole, quadrupole-dipole, and quadrupole-quadrupole terms of the dispersion energy of an atom-linear molecule system. The angle-dependent parts of the Born amplitudes for the linear molecule are represented by real spherical harmonics. The dispersion energy is finite at all distances and reproduces the usual expression in the asymptotic region (R≥4.7 (angstrom)). In the intermediary region (2.4(angstrom) ≤ R [pt

  20. Structure of Acostatin, a Dimeric Disintegrin From Southern Copperhead (Agkistrodon Contortrix Contortrix), at 1.7 Angstrom Resolution

    Energy Technology Data Exchange (ETDEWEB)

    Moiseeva, N.; Bau, R.; Swenson, S.D.; Marklund, F.S.; Jr.; Choe, J.-Y.; Liu, Z.-J.; Allaire, M.

    2009-05-26

    Disintegrins are a family of small (4-14 kDa) proteins that bind to another class of proteins, integrins. Therefore, as integrin inhibitors, they can be exploited as anticancer and antiplatelet agents. Acostatin, an {alpha}{beta} heterodimeric disintegrin, has been isolated from the venom of Southern copperhead (Agkistrodon contortrix contortrix). The three-dimensional structure of acostatin has been determined by macromolecular crystallography using the molecular-replacement method. The asymmetric unit of the acostatin crystals consists of two heterodimers. The structure has been refined to an R{sub work} and R{sub free} of 18.6% and 21.5%, respectively, using all data in the 20-1.7 {angstrom} resolution range. The structure of all subunits is similar and is well ordered into N-terminal and C-terminal clusters with four intramolecular disulfide bonds. The overall fold consists of short {beta}-sheets, each of which is formed by a pair of antiparallel {beta}-strands connected by {beta}-turns and flexible loops of different lengths. Conformational flexibility is found in the RGD loops and in the C-terminal segment. The interaction of two N-terminal clusters via two intermolecular disulfide bridges anchors the {alpha}{beta}chains of the acostatin dimers. The C-terminal clusters of the heterodimer project in opposite directions and form a larger angle between them in comparison with other dimeric disintegrins. Extensive interactions are observed between two heterodimers, revealing an {alpha}{beta}{beta}{alpha} acostatin tetramer. Further experiments are required to identify whether the {alpha}{beta}{beta}{alpha} acostatin complex plays a functional role in vivo.

  1. Metamagnetism, sign reversal and low temperature magnetocaloric effect in single-crystalline EuV2Al20

    Science.gov (United States)

    Ramesh Kumar, K.; Nair, Harikrishnan S.; Bhattacharyya, A.; Thamizhavel, A.; Strydom, André M.

    2018-04-01

    The Frank-Kasper cage compound EuV2Al20 crystallizes in the cubic structure with Fd 3 ‾ m space group and exhibits unusual magnetic and transport properties. The system undergoes an antiferromagnetic transition below 5.6 K wherein the Eu2+ moments are aligned anti-parallel along 〈1 1 1〉 direction and the system exhibits a weak metamagetic transition at the field of 1 T. Arrott plots (M2 vs H / M) show a "S" shaped variation in the low fields below TN and the plausible reason for the occurrence of negative slope is discussed. Isothermal magnetic entropy change is estimated from both magnetization and heat capacity measurements invoking the Maxwell's thermodynamic relations. Temperature variation of ΔSm showed a weak negative minimum and a sign reversal at the field value of 1 T due to field induced metamagnetic transition. Universal master curve is constructed by rescaling the ΔSm vs T curves in the context of analysing the nature of the magnetic transition.

  2. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources

    International Nuclear Information System (INIS)

    Hecquet, Ch.

    2009-03-01

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  3. Signatures of Slow Solar Wind Streams from Active Regions in the Inner Corona

    Science.gov (United States)

    Slemzin, V.; Harra, L.; Urnov, A.; Kuzin, S.; Goryaev, F.; Berghmans, D.

    2013-08-01

    The identification of solar-wind sources is an important question in solar physics. The existing solar-wind models ( e.g., the Wang-Sheeley-Arge model) provide the approximate locations of the solar wind sources based on magnetic field extrapolations. It has been suggested recently that plasma outflows observed at the edges of active regions may be a source of the slow solar wind. To explore this we analyze an isolated active region (AR) adjacent to small coronal hole (CH) in July/August 2009. On 1 August, Hinode/EUV Imaging Spectrometer observations showed two compact outflow regions in the corona. Coronal rays were observed above the active-region coronal hole (ARCH) region on the eastern limb on 31 July by STEREO-A/EUVI and at the western limb on 7 August by CORONAS- Photon/TESIS telescopes. In both cases the coronal rays were co-aligned with open magnetic-field lines given by the potential field source surface model, which expanded into the streamer. The solar-wind parameters measured by STEREO-B, ACE, Wind, and STEREO-A confirmed the identification of the ARCH as a source region of the slow solar wind. The results of the study support the suggestion that coronal rays can represent signatures of outflows from ARs propagating in the inner corona along open field lines into the heliosphere.

  4. A Long-Term Dissipation of the EUV He ii (30.4 nm) Segmentation in Full-Disk Solar Images

    Science.gov (United States)

    Didkovsky, Leonid

    2018-06-01

    Some quiet-Sun days observed by the Atmospheric Imaging Assembly (AIA) on-board the Solar Dynamics Observatory (SDO) during the time interval in 2010 - 2017 were used to continue our previous analyses reported by Didkovsky and Gurman ( Solar Phys. 289, 153, 2014a) and Didkovsky, Wieman, and Korogodina ( Solar Phys. 292, 32, 2017). The analysis consists of determining and comparing spatial spectral ratios (spectral densities over some time interval) from spatial (segmentation-cell length) power spectra. The ratios were compared using modeled compatible spatial frequencies for spectra from the Extreme ultraviolet Imaging Telescope (EIT) on-board the Solar and Heliospheric Observatory (SOHO) and from AIA images. With the new AIA data added to the EIT data we analyzed previously, the whole time interval from 1996 to 2017 reported here is approximately the length of two "standard" solar cycles (SC). The spectral ratios of segmentation-cell dimension structures show a significant and steady increase with no detected indication of SC-related returns to the values that characterize the SC minima. This increase in spatial power at high spatial frequencies is interpreted as a dissipation of medium-size EUV network structures to smaller-size structures in the transition region. Each of the latest ratio changes for 2010 through 2017 spectra calculated for a number of consecutive short-term intervals has been converted into monthly mean ratio (MMR) changes. The MMR values demonstrate variable sign and magnitudes, thus confirming the solar nature of the changes. These changes do not follow a "typical" trend of instrumental degradation or a long-term activity profile from the He ii (30.4 nm) irradiance measured by the Extreme ultraviolet Spectrophotometer (ESP) either. The ESP is a channel of the Extreme ultraviolet Variability Experiment (EVE) on-board SDO.

  5. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources; Conception, realisation et metrologie de miroirs multicouches pour l'extreme ultraviolet resistants aux environnements du spatial et des sources EUV

    Energy Technology Data Exchange (ETDEWEB)

    Hecquet, Ch.

    2009-03-15

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  6. Configuration interaction calculations and excitation rates of X-ray and EUV transitions in sulfurlike manganese

    Energy Technology Data Exchange (ETDEWEB)

    El-Maaref, A.A., E-mail: ahmed.maaref@azhar.edu.eg; Saddeek, Y.B.; Abou halaka, M.M.

    2017-02-15

    Highlights: • Fine-structure calculations of sulfurlike Mn have been performed using configuration interaction technique, CI. • The relativistic effects, Breit-Pauli Hameltonian, have been correlated to the CI calculations. • Excitation rates by electron impact of the Mn X ion have been evaluated up to ionization potential. - Abstract: Fine-structure calculations of energies and transition parameters have been performed using the configuration interaction technique (CI) as implemented in CIV3 code for sulfurlike manganese, Mn X. The calculations are executed in an intermediate coupling scheme using the Breit-Pauli Hamiltonian. As well as, energy levels and oscillator strengths are calculated using LANL code, where the calculations by LANL have been used to estimate the accuracy of the present CI calculations. The calculated energy levels, oscillator strengths, and lifetimes are in reasonable agreement with the published experimental and theoretical values. Electron impact excitation rates of the transitions emit soft X-ray and extreme ultraviolet (EUV) wavelengths have been evaluated. The level population densities are calculated using the collisional radiative model (CRM), as well. The collisional excitation rates and collision strengths have been calculated in the electron temperature range ≤ the ionization potential, ∼1–250 eV.

  7. EVIDENCE OF THE DYNAMICS OF RELATIVISTIC JET LAUNCHING IN QUASARS

    Energy Technology Data Exchange (ETDEWEB)

    Punsly, Brian, E-mail: brian.punsly1@verizon.net [1415 Granvia Altamira, Palos Verdes Estates CA, USA 90274 and ICRANet, Piazza della Repubblica 10 Pescara I-65100 (Italy)

    2015-06-10

    Hubble Space Telescope (HST) spectra of the EUV, the optically thick emission from the innermost accretion flow onto the central supermassive black hole, indicate that radio loud quasars (RLQs) tend to be EUV weak compared to the radio-quiet quasars; yet the remainder of the optically thick thermal continuum is indistinguishable. The deficit of EUV emission in RLQs has a straightforward interpretation as a missing or a suppressed innermost region of local energy dissipation in the accretion flow. This article is an examination of the evidence for a distribution of magnetic flux tubes in the innermost accretion flow that results in magnetically arrested accretion (MAA) and creates the EUV deficit. These same flux tubes and possibly the interior magnetic flux that they encircle are the sources of the jet power as well. In the MAA scenario, islands of large-scale vertical magnetic flux perforate the innermost accretion flow of RLQs. The first prediction of the theory that is supported by the HST data is that the strength of the (large-scale poloidal magnetic fields) jets in the MAA region is regulated by the ram pressure of the accretion flow in the quasar environment. The second prediction that is supported by the HST data is that the rotating magnetic islands remove energy from the accretion flow as a Poynting flux dominated jet in proportion to the square of the fraction of the EUV emitting gas that is displaced by these islands.

  8. Identification of X-ray spectra in the Na-like to O-like rubidium ions in the range of 3.8-7.3 Angstroms

    International Nuclear Information System (INIS)

    Denis-Petit, D.; Bonnet, T.; Hannachi, F.; Gobet, F.; Tarisien, M.; Versteegen, M.; Comet, M.; Gosselin, G.; Meot, V.; Morel, P.; Pain, J.Ch.; Gilleron, F.; Frank, A.; Bagnoud, V.; Blazevic, A.; Dorchies, F.; Peyrusse, O.; Cayzac, W.; Roth, M.

    2014-01-01

    The X-rays emitted by a rubidium plasma source created by the PHELIX laser at an intensity of about 6*10"1"4 W/cm"2 were studied. The lines have been measured using Bragg crystals in the wavelength range between 3.8 and 7.3 Angstroms and identified by means of a numerical method developed to describe highly charged rubidium ions in LTE plasma. The experimental plasma temperature, density and charge state distributions have been estimated using non-LTE codes such as CHIVAS and AVERROES. The LTE plasma temperature and density used in the calculations are those allowing to reproduce the calculated NLTE charge state distribution. In order to optimize the use of computational resources, a criterion is established to select the configurations contributing most to the spectra among all those obtained in detailed level accounting based on the MCDF code. Seventy Rb-X-rays have been identified among which forty-nine are reported for the first time. The capabilities of our method are demonstrated by the good agreement of our identifications with previously published data when available. (authors)

  9. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  10. Validation of Earth atmosphere models using solar EUV observations from the CORONAS and PROBA2 satellites in occultation mode

    Science.gov (United States)

    Slemzin, Vladimir; Ulyanov, Artyom; Gaikovich, Konstantin; Kuzin, Sergey; Pertsov, Andrey; Berghmans, David; Dominique, Marie

    2016-02-01

    Aims: Knowledge of properties of the Earth's upper atmosphere is important for predicting the lifetime of low-orbit spacecraft as well as for planning operation of space instruments whose data may be distorted by atmospheric effects. The accuracy of the models commonly used for simulating the structure of the atmosphere is limited by the scarcity of the observations they are based on, so improvement of these models requires validation under different atmospheric conditions. Measurements of the absorption of the solar extreme ultraviolet (EUV) radiation in the upper atmosphere below 500 km by instruments operating on low-Earth orbits (LEO) satellites provide efficient means for such validation as well as for continuous monitoring of the upper atmosphere and for studying its response to the solar and geomagnetic activity. Method: This paper presents results of measurements of the solar EUV radiation in the 17 nm wavelength band made with the SPIRIT and TESIS telescopes on board the CORONAS satellites and the SWAP telescope on board the PROBA2 satellite in the occulted parts of the satellite orbits. The transmittance profiles of the atmosphere at altitudes between 150 and 500 km were derived from different phases of solar activity during solar cycles 23 and 24 in the quiet state of the magnetosphere and during the development of a geomagnetic storm. We developed a mathematical procedure based on the Tikhonov regularization method for solution of ill-posed problems in order to retrieve extinction coefficients from the transmittance profiles. The transmittance profiles derived from the data and the retrieved extinction coefficients are compared with simulations carried out with the NRLMSISE-00 atmosphere model maintained by Naval Research Laboratory (USA) and the DTM-2013 model developed at CNES in the framework of the FP7 project ATMOP. Results: Under quiet and slightly disturbed magnetospheric conditions during high and low solar activity the extinction coefficients

  11. Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    The level of flare present in a 0.3-NA EUV optic (the MET optic) at the Advanced Light Source at Lawrence Berkeley National Laboratory is measured using a lithographic method. Photoresist behavior at high exposure doses makes analysis difficult. Flare measurement analysis under scanning electron microscopy (SEM) and optical microscopy is compared, and optical microscopy is found to be a more reliable technique. In addition, the measured results are compared with predictions based on surface roughness measurement of the MET optical elements. When the fields in the exposure matrix are spaced far enough apart to avoid influence from surrounding fields and the data is corrected for imperfect mask contrast and aerial image proximity effects, the results match predicted values quite well. The amount of flare present in this optic ranges from 4.7% for 2 (micro)m features to 6.8% for 500 nm features

  12. EVIDENCE FOR WIDESPREAD COOLING IN AN ACTIVE REGION OBSERVED WITH THE SDO ATMOSPHERIC IMAGING ASSEMBLY

    International Nuclear Information System (INIS)

    Viall, Nicholeen M.; Klimchuk, James A.

    2012-01-01

    A well-known behavior of EUV light curves of discrete coronal loops is that the peak intensities of cooler channels or spectral lines are reached at progressively later times than hotter channels. This time lag is understood to be the result of hot coronal loop plasma cooling through these lower respective temperatures. However, loops typically comprise only a minority of the total emission in active regions (ARs). Is this cooling pattern a common property of AR coronal plasma, or does it only occur in unique circumstances, locations, and times? The new Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) data provide a wonderful opportunity to answer this question systematically for an entire AR. We measure the time lag between pairs of SDO/AIA EUV channels using 24 hr of images of AR 11082 observed on 2010 June 19. We find that there is a time-lag signal consistent with cooling plasma, just as is usually found for loops, throughout the AR including the diffuse emission between loops for the entire 24 hr duration. The pattern persists consistently for all channel pairs and choice of window length within the 24 hr time period, giving us confidence that the plasma is cooling from temperatures of greater than 3 MK, and sometimes exceeding 7 MK, down to temperatures lower than ∼0.8 MK. This suggests that the bulk of the emitting coronal plasma in this AR is not steady; rather, it is dynamic and constantly evolving. These measurements provide crucial constraints on any model which seeks to describe coronal heating.

  13. Eta Carinae: Linelist for the Emission Spectrum of the Weigelt Blobs in the 1700-10400Angstrom Wavelength Region

    Science.gov (United States)

    Zethson, T.; Johansson, S.; Hartman, H.; Gull, T. R.

    2011-01-01

    Aims. We present line identifications in the 1700 to 10400A region for the Weigelt Blobs B and D, located 0.1 to 0.3" NNW of Eta Carinae. The aim of this work is to characterize the behavior of these luminous, dense gas condensations in response to the broad maximum and short minimum states of Eta Carinae during its 5.54-year spectroscopic period. Methods. The observations were carried out during March 1998, the minimum spectrum, and in February 1999, early maximum spectrum, with the Hubble Space Telescope/Space Telescope Imaging Spectrograph (HST/STIS) from 1640 to 10400A using the 52"x0.1" aperture centered on Eta Carinae at position angle -28 degrees. Extractions of the reduced spectrum centered on Weigelt B and D, 0.28: in length along the slit, were used to identify the narrow, nebular emission lines, measure their wavelengths and estimate their fluxes. Results. A linelist of 1500 lines is presented for the maximum and minimum states of combined Weigelt blobs B and D. The spectra are dominated by emission lines from the iron-group elements, but include lines from lighter elements. They include parity permitted and forbidden lines. A number of lines are fluorescent lines pumped by H Ly alpha. Other lines show anomalous excitation.

  14. Structure of the protein core of the glypican Dally-like and localization of a region important for hedgehog signaling

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min-Sung; Saunders, Adam M.; Hamaoka, Brent Y.; Beachy, Philip A.; Leahy, Daniel J. (Stanford-MED); (JHU)

    2011-09-20

    Glypicans are heparan sulfate proteoglycans that modulate the signaling of multiple growth factors active during animal development, and loss of glypican function is associated with widespread developmental abnormalities. Glypicans consist of a conserved, approximately 45-kDa N-terminal protein core region followed by a stalk region that is tethered to the cell membrane by a glycosyl-phosphatidylinositol anchor. The stalk regions are predicted to be random coil but contain a variable number of attachment sites for heparan sulfate chains. Both the N-terminal protein core and the heparan sulfate attachments are important for glypican function. We report here the 2.4-{angstrom} crystal structure of the N-terminal protein core region of the Drosophila glypican Dally-like (Dlp). This structure reveals an elongated, {alpha}-helical fold for glypican core regions that does not appear homologous to any known structure. The Dlp core protein is required for normal responsiveness to Hedgehog (Hh) signals, and we identify a localized region on the Dlp surface important for mediating its function in Hh signaling. Purified Dlp protein core does not, however, interact appreciably with either Hh or an Hh:Ihog complex.

  15. Joint NuSTAR and IRIS observation of a microflaring active region

    Science.gov (United States)

    Hannah, I. G.; Kleint, L.; Krucker, S.; Glesener, L.; Grefenstette, B.

    2017-12-01

    We present observations of a weakly microflaring active region observed in X-rays with NuSTAR, UV with IRIS and EUV with SDO/AIA. NuSTAR was pointed at this unnamed active region near the East limb between 23:27UT and 23:37UT 26-July-2016, finding mostly quiescent emission except for a small microflare about 23:35UT. The NuSTAR spectrum for the pre-microflare time (23:27UT to 23:34UT) is well fitted by a single thermal component of about 3MK and combined with SDO/AIA we can determine the differential emission measure (DEM), finding it, as expected, drops very sharply to higher temperatures. During the subsequent microflare, the increase in NuSTAR counts matches a little brightening loop observed with IRIS SJI 1400Å and SDO/AIA. Fortuitously the IRIS slit crosses this microflaring loop and we find an increased emission in Si IV 1394Å, Si IV 1403Å and O IV 1402Å but only average line widths and velocities. The NuSTAR microflare spectrum shows heating to higher temperatures and also allows us to investigate the energetics of this event.

  16. A study of the terrestrial thermosphere by remote sensing of OI dayglow in the far and extreme ultraviolet

    International Nuclear Information System (INIS)

    Cotton, D.M.

    1991-01-01

    The upper region of the Earth's atmosphere, the thermosphere, is a key part of the coupled solar-terrestrial system. An important method of obtaining information in the this region is through analysis of radiation excited through the interactions of the thermosphere with solar ionizing, extreme and far ultraviolet radiation. This dissertation presents one such study by the remote sensing of OI in the far and extreme ultraviolet dayglow. The research program included the development construction, and flight of a sounding rocket spectrometer to test this current understanding of the excitation and transport mechanisms of the OI 1356, 1304, 1027, and 989 angstrom emissions. This data set was analyzed using current electron and radiative transport models with the purpose of checking the viability of OI remote sensing; that is, whether existing models and input parameters are adequate to predict these detailed measurements. From discrepancies between modeled and measured emissions, inferences about these input parameters were made. Among other things, the data supports a 40% optically thick cascade contribution to the 1304 angstrom emission. From upper lying states corresponding to 1040, 1027 and 989 angstrom about half of this cascade has been accounted for in this study. There is also evidence that the Lyman β airglow from the geo-corona contributes a significant proportion (30-50%) to the OI 1027 angstrom feature. Furthermore, the photoelectron contribution to the 1027 angstrom feature appears to be underestimated in the current models by a factor of 20

  17. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  18. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  19. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  20. GLOBAL ENERGETICS OF SOLAR FLARES. IV. CORONAL MASS EJECTION ENERGETICS

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.

    2016-01-01

    This study entails the fourth part of a global flare energetics project, in which the mass m cme , kinetic energy E kin , and the gravitational potential energy E grav of coronal mass ejections (CMEs) is measured in 399 M and X-class flare events observed during the first 3.5 years of the Solar Dynamics Observatory (SDO) mission, using a new method based on the EUV dimming effect. EUV dimming is modeled in terms of a radial adiabatic expansion process, which is fitted to the observed evolution of the total emission measure of the CME source region. The model derives the evolution of the mean electron density, the emission measure, the bulk plasma expansion velocity, the mass, and the energy in the CME source region. The EUV dimming method is truly complementary to the Thomson scattering method in white light, which probes the CME evolution in the heliosphere at r ≳ 2 R ⊙ , while the EUV dimming method tracks the CME launch in the corona. We compare the CME parameters obtained in white light with the LASCO/C2 coronagraph with those obtained from EUV dimming with the Atmospheric Imaging Assembly onboard the SDO for all identical events in both data sets. We investigate correlations between CME parameters, the relative timing with flare parameters, frequency occurrence distributions, and the energy partition between magnetic, thermal, nonthermal, and CME energies. CME energies are found to be systematically lower than the dissipated magnetic energies, which is consistent with a magnetic origin of CMEs.

  1. Extreme ultraviolet spectral irradiance measurements since 1946

    Science.gov (United States)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  2. Global solar radiation estimation in Lavras region, Minas Gerais

    International Nuclear Information System (INIS)

    Dantas, A.A.A.; Carvalho, L.G. de; Ferreira, E.

    2003-01-01

    The objective of this work was the determination of the ''a'' and '' b'' constants of the Angstrom linear model in order to estimate the global solar radiation in Lavras, MG. The work was carried out in the Climatological Station of Lavras (ECP/INMET/UFLA), at the Federal University of Lavras, from December 2001 to November 2002, through insolation daily data and global solar radiation daily records. The ''a'' and '' b'' constants, that express the atmospheric transmitance, were obtained by regression analysis of those data. The obtained equation, Qg/Qt = 0,23 + 0,49 presented a determination coefficient of 0,89. The results are smaller than those suggested by the recommendations that uses the local latitude. According to the results, its possible to indicate the values of 0,23 and 0,49 to be used as the ''a'' and '' b'' constants on the Angstrom equation to estimate the global solar radiation in Lavras, MG. (author) [pt

  3. Constraining reconnection region conditions using imaging and spectroscopic analysis of a coronal jet

    Science.gov (United States)

    Brannon, Sean; Kankelborg, Charles

    2017-08-01

    Coronal jets typically appear as thin, collimated structures in EUV and X-ray wavelengths, and are understood to be initiated by magnetic reconnection in the lower corona or upper chromosphere. Plasma that is heated and accelerated upward into coronal jets may therefore carry indirect information on conditions in the reconnection region and current sheet located at the jet base. On 2017 October 14, the Interface Region Imaging Spectrograph (IRIS) and Solar Dynamics Observatory Atmospheric Imaging Assembly (SDO/AIA) observed a series of jet eruptions originating from NOAA AR 12599. The jet structure has a length-to-width ratio that exceeds 50, and remains remarkably straight throughout its evolution. Several times during the observation bright blobs of plasma are seen to erupt upward, ascending and subsequently descending along the structure. These blobs are cotemporal with footpoint and arcade brightenings, which we believe indicates multiple episodes of reconnection at the structure base. Through imaging and spectroscopic analysis of jet and footpoint plasma we determine a number of properties, including the line-of-sight inclination, the temperature and density structure, and lift-off velocities and accelerations of jet eruptions. We use these properties to constrain the geometry of the jet structure and conditions in reconnection region.

  4. A solar tornado triggered by flares?

    OpenAIRE

    Panesar, N. K.; Innes, D. E.; Tiwari, S. K.; Low, B. C.

    2013-01-01

    Context. Solar tornados are dynamical, conspicuously helical magnetic structures that are mainly observed as a prominence activity. Aims. We investigate and propose a triggering mechanism for the solar tornado observed in a prominence cavity by SDO/AIA on September 25, 2011. Methods. High-cadence EUV images from the SDO/AIA and the Ahead spacecraft of STEREO/EUVI are used to correlate three flares in the neighbouring active-region (NOAA 11303) and their EUV waves with the dynamical de...

  5. Laser-produced multi-charged heavy ions as efficient soft x-ray sources

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Suzuki, Yuhei; Kawasaki, Masato

    2016-01-01

    We demonstrate EUV and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6x nm and a water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on a high-Z plasma UTA source, coupled to x-ray optics. We will discuss the progress and Z-scaling of UTA emission spectra to achieve lab-scale table-top, efficient, high-brightness high-Z plasma EUV-soft x-ray sources for in vivo bio-imaging applications. (author)

  6. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  7. On the Link between the Release of Solar Energetic Particles Measured at Widespread Heliolongitudes and the Properties of the Associated Coronal Shocks

    Energy Technology Data Exchange (ETDEWEB)

    Lario, D.; Kwon, R.-Y.; Raouafi, N. E. [The Johns Hopkins University Applied Physics Laboratory, 11100 Johns Hopkins Road Laurel, MD 20723 (United States); Riley, P., E-mail: david.lario@jhuapl.edu, E-mail: Nour.Eddine.Raouafi@jhuapl.edu, E-mail: ryunyoung.kwon@gmail.com, E-mail: pete@predsci.com [Predictive Science, 9990 Mesa Rim Road, Suite 170 San Diego, CA 92121 (United States)

    2017-10-01

    Under the paradigm that the main agents in the acceleration of solar energetic particles (SEPs) are shocks initially driven by coronal mass ejections, we analyze whether the properties of the shocks in the corona inferred from combining extreme-ultraviolet (EUV) and white-light (WL) observations from multiple vantage points together with magnetohydrodynamic (MHD) simulations of the corona can be used to determine the release of SEPs into different regions of the heliosphere and hence determine the longitudinal extent of the SEP events. We analyze the SEP events observed on 2011 November 3, 2013 April 11, and 2014 February 25 over a wide range of heliolongitudes. MHD simulations provide the characteristics of the background medium where shocks propagate, in particular the Alfvén and sound speed profiles that allow us to determine both the extent of the EUV waves in the low corona and the fast magnetosonic Mach number ( M {sub FM}) of the shocks. The extent of the EUV waves in the low corona is controlled by this background medium and does not coincide with the extent of the SEP events in the heliosphere. Within the uncertainties of (i) the extent and speed of the shock inferred from EUV and WL images and (ii) the assumptions made in the MHD models, we follow the evolution of M {sub FM} at the region of the shock magnetically connected to each spacecraft. The estimated release times of the first SEPs measured by each spacecraft does not coincide with the time when the M {sub FM} at this region exceeds a given threshold.

  8. On the Link between the Release of Solar Energetic Particles Measured at Widespread Heliolongitudes and the Properties of the Associated Coronal Shocks

    Science.gov (United States)

    Lario, D.; Kwon, R.-Y.; Riley, P.; Raouafi, N. E.

    2017-10-01

    Under the paradigm that the main agents in the acceleration of solar energetic particles (SEPs) are shocks initially driven by coronal mass ejections, we analyze whether the properties of the shocks in the corona inferred from combining extreme-ultraviolet (EUV) and white-light (WL) observations from multiple vantage points together with magnetohydrodynamic (MHD) simulations of the corona can be used to determine the release of SEPs into different regions of the heliosphere and hence determine the longitudinal extent of the SEP events. We analyze the SEP events observed on 2011 November 3, 2013 April 11, and 2014 February 25 over a wide range of heliolongitudes. MHD simulations provide the characteristics of the background medium where shocks propagate, in particular the Alfvén and sound speed profiles that allow us to determine both the extent of the EUV waves in the low corona and the fast magnetosonic Mach number (M FM) of the shocks. The extent of the EUV waves in the low corona is controlled by this background medium and does not coincide with the extent of the SEP events in the heliosphere. Within the uncertainties of (I) the extent and speed of the shock inferred from EUV and WL images and (II) the assumptions made in the MHD models, we follow the evolution of M FM at the region of the shock magnetically connected to each spacecraft. The estimated release times of the first SEPs measured by each spacecraft does not coincide with the time when the M FM at this region exceeds a given threshold.

  9. Variations of aerosol optical depth and Angstrom parameters at a ...

    Indian Academy of Sciences (India)

    E, 1700 m), in the north–west of Iran, using meteorological and sun .... However, a few works have been carried out in the Middle-East region .... 3.1.2 Diurnal behaviour of AOD ... work, showed a prevailing pattern of the optical depth in which ...

  10. In vitro erythemal UV-A protection factors of inorganic sunscreens distributed in aqueous media using carnauba wax-decyl oleate nanoparticles.

    Science.gov (United States)

    Villalobos-Hernández, J R; Müller-Goymann, C C

    2007-01-01

    This paper describes the in vitro photoprotection in the UV-A range, i.e. 320-400 nm obtained by the use of carnauba wax-decyl oleate nanoparticles either as encapsulation systems or as accompanying vehicles for inorganic sunscreens such as barium sulfate, strontium carbonate and titanium dioxide. Lipid-free inorganic sunscreen nanosuspensions, inorganic sunscreen-free wax-oil nanoparticle suspensions and wax-oil nanoparticle suspensions containing inorganic sunscreens dispersed either in their oil phase or their aqueous phase were prepared by high pressure homogenization. The in vitro erythemal UV-A protection factors (EUV-A PFs) of the nanosuspensions were calculated by means of a sun protection analyzer. EUV-A PFs being no higher than 4 were obtained by the encapsulation of barium sulfate and strontium carbonate, meanwhile by the distribution of titanium dioxide in presence of wax-oil nanoparticles, the EUV-A PFs varied between 2 and 19. The increase in the EUV-A PFs of the titanium dioxide obtained by the use of wax-oil nanoparticles demonstrated a better performance of the sun protection properties of this pigment in the UV-A region.

  11. FLARE-GENERATED SHOCK WAVE PROPAGATION THROUGH SOLAR CORONAL ARCADE LOOPS AND AN ASSOCIATED TYPE II RADIO BURST

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Innes, D. E., E-mail: pankaj@kasi.re.kr [Max-Planck Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-09-01

    This paper presents multiwavelength observations of a flare-generated type II radio burst. The kinematics of the shock derived from the type II burst closely match a fast extreme ultraviolet (EUV) wave seen propagating through coronal arcade loops. The EUV wave was closely associated with an impulsive M1.0 flare without a related coronal mass ejection, and was triggered at one of the footpoints of the arcade loops in active region NOAA 12035. It was initially observed in the 335 Å images from the Atmospheric Image Assembly with a speed of ∼800 km s{sup −1} and it accelerated to ∼1490 km s{sup −1} after passing through the arcade loops. A fan–spine magnetic topology was revealed at the flare site. A small, confined filament eruption (∼340 km s{sup −1}) was also observed moving in the opposite direction to the EUV wave. We suggest that breakout reconnection in the fan–spine topology triggered the flare and associated EUV wave that propagated as a fast shock through the arcade loops.

  12. FLARE-GENERATED SHOCK WAVE PROPAGATION THROUGH SOLAR CORONAL ARCADE LOOPS AND AN ASSOCIATED TYPE II RADIO BURST

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Innes, D. E.

    2016-01-01

    This paper presents multiwavelength observations of a flare-generated type II radio burst. The kinematics of the shock derived from the type II burst closely match a fast extreme ultraviolet (EUV) wave seen propagating through coronal arcade loops. The EUV wave was closely associated with an impulsive M1.0 flare without a related coronal mass ejection, and was triggered at one of the footpoints of the arcade loops in active region NOAA 12035. It was initially observed in the 335 Å images from the Atmospheric Image Assembly with a speed of ∼800 km s −1 and it accelerated to ∼1490 km s −1 after passing through the arcade loops. A fan–spine magnetic topology was revealed at the flare site. A small, confined filament eruption (∼340 km s −1 ) was also observed moving in the opposite direction to the EUV wave. We suggest that breakout reconnection in the fan–spine topology triggered the flare and associated EUV wave that propagated as a fast shock through the arcade loops.

  13. Complex EUV imaging reflectometry: spatially resolved 3D composition determination and dopant profiling with a tabletop 13nm source

    Science.gov (United States)

    Porter, Christina L.; Tanksalvala, Michael; Gerrity, Michael; Miley, Galen P.; Esashi, Yuka; Horiguchi, Naoto; Zhang, Xiaoshi; Bevis, Charles S.; Karl, Robert; Johnsen, Peter; Adams, Daniel E.; Kapteyn, Henry C.; Murnane, Margaret M.

    2018-03-01

    With increasingly 3D devices becoming the norm, there is a growing need in the semiconductor industry and in materials science for high spatial resolution, non-destructive metrology techniques capable of determining depth-dependent composition information on devices. We present a solution to this problem using ptychographic coherent diffractive imaging (CDI) implemented using a commercially available, tabletop 13 nm source. We present the design, simulations, and preliminary results from our new complex EUV imaging reflectometer, which uses coherent 13 nm light produced by tabletop high harmonic generation. This tool is capable of determining spatially-resolved composition vs. depth profiles for samples by recording ptychographic images at multiple incidence angles. By harnessing phase measurements, we can locally and nondestructively determine quantities such as device and thin film layer thicknesses, surface roughness, interface quality, and dopant concentration profiles. Using this advanced imaging reflectometer, we can quantitatively characterize materials-sciencerelevant and industry-relevant nanostructures for a wide variety of applications, spanning from defect and overlay metrology to the development and optimization of nano-enhanced thermoelectric or spintronic devices.

  14. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources

    International Nuclear Information System (INIS)

    Gaufridy de Dortan, F. de

    2006-01-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  15. High resolution medium energy ion scattering study of silicon oxidation and oxy nitridation

    International Nuclear Information System (INIS)

    Gusev, E.P.; Lu, H.C.; Garfunkel, E.; Gustafsson, T.

    1998-01-01

    Full text: Silicon oxide is likely to remain the material of choice for gate oxides in microelectronics for the foreseeable future. As device become ever smaller and faster, the thickness of these layers in commercial products is predicted to be less than 50 Angstroms in just a few years. An understanding of such devices will therefore likely to be based on microscopic concepts and should now be investigated by atomistic techniques. With medium energy ion scattering (MEIS) using an electrostatic energy analyzer, depth profiling of thin (<60 Angstroms) silicon oxide films on Si(100) with 3 - 5 Angstroms depth resolution in the near region has been done. The growth mechanism of thin oxide films on Si(100) has been studied, using sequential oxygen isotope exposures. It is found that the oxide films are stoichiometric to within approx. 10 Angstroms of the interface. It is also found that the oxidation reactions occur at the surface, in the transition region and at interface, with only the third region being included in the conventional (Deal-Grove) model for oxide formation. Nitrogen is sometimes added to gate oxides, as it has been found empirically that his improves some of the electrical properties. The role, location and even the amount of nitrogen that exists in such films are poorly understood, and represent interesting analytical challenges. MEIS data will be presented that address these questions, measured for a number of different processing conditions. We have recently demonstrated how to perform nitrogen nano-engineering in such ultrathin gate dielectrics, and these results will also be discussed

  16. Martian Electron Temperatures in the Sub Solar Region.

    Science.gov (United States)

    Fowler, C. M.; Peterson, W. K.; Andersson, L.; Thiemann, E.; Mayyasi, M.; Yelle, R. V.; Benna, M.; Espley, J. R.

    2017-12-01

    Observations from Viking, and MAVEN have shown that the observed ionospheric electron temperatures are systematically higher than those predicted by many models. Because electron temperature is a balance between heating, cooling, and heat transport, we systematically compare the magnitude of electron heating from photoelectrons, electron cooling and heat transport, as a function of altitude within 30 degrees of the sub solar point. MAVEN observations of electron temperature and density, EUV irradiance, neutral and ion composition are used to evaluate terms in the heat equation following the framework of Matta et al. (Icarus, 2014, doi:10.1016/j.icarus.2013.09.006). Our analysis is restricted to inbound orbits where the magnetic field is within 30 degrees of horizontal. MAVEN sampled the sub solar region in May 2015 and again in May 2017, in near northern spring equinoctial conditions. Solar activity was higher and the spacecraft sampled altitudes down to 120 km in 2015, compared to 160 km in 2017. We find that between 160 and 200 km the Maven electron temperatures are in thermal equilibrium, in the sub solar region, on field lines inclined less than 30 degrees to the horizontal. Above 200km the data suggest that heating from other sources, such as wave heating are significant. Below 160 km some of the discrepancy comes from measurement limitations. This is because the MAVEN instrument cannot resolve the lowest electron temperatures, and because some cooling rates scale as the difference between the electron and neutral temperatures.

  17. Magnetic Flux Cancellation as the Origin of Solar Quiet-region Pre-jet Minifilaments

    Energy Technology Data Exchange (ETDEWEB)

    Panesar, Navdeep K.; Sterling, Alphonse C.; Moore, Ronald L., E-mail: navdeep.k.panesar@nasa.gov [NASA Marshall Space Flight Center, Huntsville, AL 35812 (United States)

    2017-08-01

    We investigate the origin of 10 solar quiet-region pre-jet minifilaments , using EUV images from the Solar Dynamics Observatory ( SDO )/Atmospheric Imaging Assembly (AIA) and magnetograms from the SDO Helioseismic and Magnetic Imager (HMI). We recently found that quiet-region coronal jets are driven by minifilament eruptions, where those eruptions result from flux cancellation at the magnetic neutral line under the minifilament. Here, we study the longer-term origin of the pre-jet minifilaments themselves. We find that they result from flux cancellation between minority-polarity and majority-polarity flux patches. In each of 10 pre-jet regions, we find that opposite-polarity patches of magnetic flux converge and cancel, with a flux reduction of 10%–40% from before to after the minifilament appears. For our 10 events, the minifilaments exist for periods ranging from 1.5 hr to 2 days before erupting to make a jet. Apparently, the flux cancellation builds a highly sheared field that runs above and traces the neutral line, and the cool transition region plasma minifilament forms in this field and is suspended in it. We infer that the convergence of the opposite-polarity patches results in reconnection in the low corona that builds a magnetic arcade enveloping the minifilament in its core, and that the continuing flux cancellation at the neutral line finally destabilizes the minifilament field so that it erupts and drives the production of a coronal jet. Thus, our observations strongly support that quiet-region magnetic flux cancellation results in both the formation of the pre-jet minifilament and its jet-driving eruption.

  18. IMPLOSION OF CORONAL LOOPS DURING THE IMPULSIVE PHASE OF A SOLAR FLARE

    Energy Technology Data Exchange (ETDEWEB)

    Simões, P. J. A.; Fletcher, L.; Hudson, H. S.; Russell, A. J. B., E-mail: paulo.simoes@glasgow.ac.uk, E-mail: lyndsay.fletcher@glasgow.ac.uk, E-mail: arussell@maths.dundee.ac.uk, E-mail: hhudson@ssl.berkeley.edu [SUPA, School of Physics and Astronomy, University of Glasgow, Glasgow G12 8QQ (United Kingdom)

    2013-11-10

    We study the relationship between implosive motions in a solar flare, and the energy redistribution in the form of oscillatory structures and particle acceleration. The flare SOL2012-03-09T03:53 (M6.4) shows clear evidence for an irreversible (stepwise) coronal implosion. Extreme-ultraviolet (EUV) images show at least four groups of coronal loops at different heights overlying the flaring core undergoing fast contraction during the impulsive phase of the flare. These contractions start around a minute after the flare onset, and the rate of contraction is closely associated with the intensity of the hard X-ray and microwave emissions. They also seem to have a close relationship with the dimming associated with the formation of the coronal mass ejection and a global EUV wave. Several studies now have detected contracting motions in the corona during solar flares that can be interpreted as the implosion necessary to release energy. Our results confirm this, and tighten the association with the flare impulsive phase. We add to the phenomenology by noting the presence of oscillatory variations revealed by Geostationary Operational Environmental Satellite soft X-rays (SXR) and spatially integrated EUV emission at 94 and 335 Å. We identify pulsations of ≈60 s in SXR and EUV data, which we interpret as persistent, semi-regular compressions of the flaring core region which modulate the plasma temperature and emission measure. The loop oscillations, observed over a large region, also allow us to provide rough estimates of the energy temporarily stored in the eigenmodes of the active-region structure as it approaches its new equilibrium.

  19. Simulation study of CD variation caused by field edge effects and out-of-band radiation in EUVL

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2013-09-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1x nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask,also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on CD uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multi-layer (ML)at the image border region of the EUV mask. In this paper, we present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the imec's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation we can also determine the OoB effect rigorouslyusing the methodology of an "effective mask blank". The study in this paper demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  20. Study of CD variation caused by the black border effect and out-of-band radiation in extreme ultraviolet lithography

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2014-04-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1× nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask, also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on critical dimension (CD) uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multilayer at the image border region of the EUV mask. We present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the IMEC's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation, we can also determine the OoB effect rigorously using the methodology of an "effective mask blank." The study demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  1. The EUI Data Center at the Royal Observatory of Belgium: challenges and solutions

    Science.gov (United States)

    Verbeeck, Cis; Stegen, Koen; Berghmans, David; West, Matthew; Kraaikamp, Emil; Gissot, Samuel; Giordanengo, Boris; Nicula, Bogdan

    ESA’s Solar Orbiter mission is conceived to perform a close-up study of the Sun and the inner heliosphere. With a perihelion close at 0.29 AU and a maximum aphelion at about 0.85 AU, Solar Orbiter ventures closer to the Sun than any spacecraft before. Furthermore, about 3 years after launch, the spacecraft will begin an out-of-ecliptic phase, with inclinations gradually increasing up to 30 degrees. Solar Orbiter will be launched in 2017 and its nominal mission will last until 2024 (with the possibility of an extended mission until 2026). It will provide unique data and imagery of the Sun. The Extreme Ultraviolet Imager (EUI) suite onboard Solar Orbiter is composed of two High Resolution Imagers (HRI, one at Lyman-alpha and one at 174 Angstrom), and one dual band Full-Sun imager (FSI) working alternatively at the 174 and 304 Angstrom EUV passbands. The EUI Data Center (EDC), under PI supervision and located at the Royal Observatory of Belgium (Brussels), will be the single point of contact for instrument planning activities and for data deliveries to the Solar Orbiter archive at ESAC (Madrid), and is currently in its design phase. The EUI Data Center will be responsible for delivery to ESAC and the maintenance of the pipeline software that will process EUI data from telemetry packets to higher level data products. It will also ensure the delivery of these data products to the Solar Orbiter Data Archive, and maintain the instrument user manual that documents the processing of EUI non-science TM packets at ESOC (Darmstadt). The EDC will monitor any anomaly affecting the EUI instrument and produce on a regular basis the Instrument Operations Reports including an instrument health and science performance review. Here, we present an overview of the EDC and how it envisages to tackle some specific challenges. Since EUI will only observe during three “science windows” of 10 days each per orbit of about 165 days and in view of a severely limited telemetry, it is

  2. Characteristics of extreme ultraviolet emission from high-Z plasmas

    International Nuclear Information System (INIS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-01-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics. (paper)

  3. Characteristics of extreme ultraviolet emission from high-Z plasmas

    Science.gov (United States)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  4. The Dual-channel Extreme Ultraviolet Continuum Experiment: Sounding Rocket EUV Observations of Local B Stars to Determine Their Potential for Supplying Intergalactic Ionizing Radiation

    Science.gov (United States)

    Erickson, Nicholas; Green, James C.; France, Kevin; Stocke, John T.; Nell, Nicholas

    2018-06-01

    We describe the scientific motivation and technical development of the Dual-channel Extreme Ultraviolet Continuum Experiment (DEUCE). DEUCE is a sounding rocket payload designed to obtain the first flux-calibrated spectra of two nearby B stars in the EUV 650-1150Å bandpass. This measurement will help in understanding the ionizing flux output of hot B stars, calibrating stellar models and commenting on the potential contribution of such stars to reionization. DEUCE consists of a grazing incidence Wolter II telescope, a normal incidence holographic grating, and the largest (8” x 8”) microchannel plate detector ever flown in space, covering the 650-1150Å band in medium and low resolution channels. DEUCE will launch on December 1, 2018 as NASA/CU sounding rocket mission 36.331 UG, observing Epsilon Canis Majoris, a B2 II star.

  5. Spectrophotometry of carbon stars

    International Nuclear Information System (INIS)

    Gow, C.E.

    1975-01-01

    Observations of over one hundred carbon stars have been made with the Indiana rapid spectral scanner in the red and, when possible, in the visual and blue regions of the spectrum. Five distinct subtypes of carbon stars (Barium, CH, R, N, and hydrogen deficient) are represented in the list of observed stars, although the emphasis was placed on the N stars when the observations were made. The rapid scanner was operated in the continuous sweep mode with the exit slit set at twenty angstroms, however, seeing fluctuations and guiding errors smear the spectrum to an effective resolution of approximately thirty angstroms. Nightly observations of Hayes standard stars yielded corrections for atmospheric extinction and instrumental response. The reduction scheme rests on two assumptions, that thin clouds are gray absorbers and the wavelength dependence of the sky transparency does not change during the course of the night. Several stars have been observed in the blue region of the spectrum with the Indiana SIT vidicon spectrometer at two angstroms resolution. It is possible to derive a color temperature for the yellow--red spectral region by fitting a black-body curve through two chosen continuum points. Photometric indices were calculated relative to the blackbody curve to measure the C 2 Swan band strength, the shape of the CN red (6,1) band to provide a measure of the 12 C/ 13 C isotope ratio, and in the hot carbon stars (Barium, CH, and R stars) the strength of an unidentified feature centered at 400 angstroms. An extensive abundance grid of model atmospheres was calculated using a modified version of the computer code ATLAS

  6. Universal time dependence of nighttime F region densities at high latitudes

    International Nuclear Information System (INIS)

    Beaujardiere, O.D.L.; Wickwar, V.B.; Caudal, G.

    1985-01-01

    Coordinated EISCAT, Chatanika, and Millstone Hill incoherent scatter radar observations have revealed that in the auroral zone, the nighttime F region densities vary substantially with the longitude of the observing site: EISCAT's densities are the largest and Millstone Hill's are the lowest. The nighttime F region densities measured by the individual radars are not uniform: the regions where the densities are maximum are the so-called ''blobs'' or ''patches'' that have been reported previously. The observations are consistent with the hypothesis that the nighttime densities are produced in significant amounts not by particle precipitation, but by solar EUV radiation, and that they have been transported across the polar cap. The observed differences can be explained by the offset of the geographic and geomagnetic poles. A larger portion of the magnetospheric convection pattern is sunlit when EISCAT is in the midnight sector than when Chatanika is. In winter, when Millstone Hill is in the midnight sector, almost all the auroral oval is in darkness. This universal time effect, which was observed on all coordinated three-radar experiments (September 1981 to February 1982), is illustrated using two periods of coincident radar and satellite observations: November 18--19, and December 15--16, 1981. These two periods were selected because they corresponded to relatively steady conditions. Dynamics Explorer (DE) measurements are used to aid in interpreting the radar observations. De 1 auroral images show what portion of the oval was sunlit. DE 2 data are used to measure the ion drift across the polar cap. Because the altitude of the ionization peak was high, the decay time of the F region density was substantially longer than the transit time across the polar cap

  7. A very small and super strong zebra pattern burst at the beginning of a solar flare

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Baolin; Tan, Chengming; Zhang, Yin; Huang, Jing; Yan, Yihua [Key Laboratory of Solar Activity, National Astronomical Observatories of Chinese Academy of Sciences, Beijing 100012 (China); Mészárosová, Hana; Karlický, Marian, E-mail: bltan@nao.cas.cn [Astronomical Institute of the Academy of Sciences of the Czech Republic, Ondřejov 15165 (Czech Republic)

    2014-08-01

    Microwave emission with spectral zebra pattern structures (ZPs) is frequently observed in solar flares and the Crab pulsar. The previous observations show that ZP is a structure only overlapped on the underlying broadband continuum with slight increments and decrements. This work reports an unusually strong ZP burst occurring at the beginning of a solar flare observed simultaneously by two radio telescopes located in China and the Czech Republic and by the EUV telescope on board NASA's satellite Solar Dynamics Observatory on 2013 April 11. It is a very short and super strong explosion whose intensity exceeds several times that of the underlying flaring broadband continuum emission, lasting for just 18 s. EUV images show that the flare starts from several small flare bursting points (FBPs). There is a sudden EUV flash with extra enhancement in one of these FBPs during the ZP burst. Analysis indicates that the ZP burst accompanying an EUV flash is an unusual explosion revealing a strong coherent process with rapid particle acceleration, violent energy release, and fast plasma heating simultaneously in a small region with a short duration just at the beginning of the flare.

  8. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources; SCRIC: un code pour calculer l'absorption et l'emission detaillees de plasmas hors equilibre, inhomogenes et etendus; application aux sources EUV a base de xenon

    Energy Technology Data Exchange (ETDEWEB)

    Gaufridy de Dortan, F. de

    2006-07-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  9. An extreme ultraviolet wave associated with a failed eruption observed by the Solar Dynamics Observatory

    Science.gov (United States)

    Zheng, R.; Jiang, Y.; Yang, J.; Bi, Y.; Hong, J.; Yang, B.; Yang, D.

    2012-05-01

    Aims: Taking advantage of the high temporal and spatial resolution of the Solar Dynamics Observatory (SDO) observations, we present an extreme ultraviolet (EUV) wave associated with a failed filament eruption that generated no coronal mass ejection (CME) on 2011 March 1. We aim at understanding the nature and origin of this EUV wave. Methods: Combining the high-quality observations in the photosphere, the chromosphere, and the corona, we studied the characteristics of the wave and its relations to the associated eruption. Results: The event occurred at an ephemeral region near a small active region. The continuous magnetic flux cancelation in the ephemeral region produced pre-eruption brightenings and two EUV jets, and excited the filament eruption, accompanying it with a microflare. After the eruption, the filament material appeared far from the eruption center, and the ambient loops seemed to be intact. It was evident that the filament eruption had failed and was not associated with a CME. The wave happened just after the north jet arrived, and apparently emanated ahead of the north jet, far from the eruption center. The wave propagated at nearly constant velocities in the range of 260-350 km s-1, with a slight negative acceleration in the last phase. Remarkably, the wave continued to propagate, and a loop in its passage was intact when wave and loop met. Conclusions: Our analysis confirms that the EUV wave is a true wave, which we interpret as a fast-mode wave. In addition, the close temporal and spatial relationship between the wave and the jet provides evidence that the wave was likely triggered by the jet when the CME failed to happen. Three movies are available in electronic form at http://www.aanda.org

  10. Set of instruments for solar EUV and soft X-ray monitoring onboard satellite Coronas-Photon

    Science.gov (United States)

    Kotov, Yury; Kochemasov, Alexey; Kuzin, Sergey; Kuznetsov, Vladimir; Sylwester, Janusz; Yurov, Vitaly

    Coronas-Photon mission is the third satellite of the Russian Coronas program on solar activity observation. The main goal of the "Coronas-Photon" is the study of solar hard electromagnetic radiation in the wide energy range from UV up to high energy gamma-radiation (2000MeV). Scientific payload for solar radiation observation consists of three types of instruments: Monitors (Natalya-2M, Konus-RF, RT-2, Penguin-M, BRM, PHOKA, Sphin-X, SOKOL spectral and timing measurements of full solar disk radiation have timing in flare/burst mode up to one msec. Instruments Natalya-2M, Konus-RF, RT-2 will cover the wide energy range of hard X-rays and soft gamma-rays (15keV to 2000MeV) and will together constitute the largest area detectors ever used for solar observations. Detectors of gamma-ray monitors are based on structured inorganic scintillators. For X-ray and EUV monitors the scintillation phoswich detectors, gas proportional counter, CdZnTe assembly and filter-covered Si-diodes are used. Telescope-spectrometer TESIS for imaging solar spectroscopy in X-rays has angular resolution up to 1arcsec in three spectral lines. Satellite platform and scientific payload is under construction to be launched in autumn 2008. Satellite orbit is circular with initial height 550km and inclination 82.5degrees. Accuracy of the spacecraft orientation to the Sun is better 3arcmin. In the report the capability of PHOKA, SphinX, SOKOL and TESIS as well as the observation program are described and discussed.

  11. Current Sheet Structures Observed by the TESIS EUV Telescope during a Flux Rope Eruption on the Sun

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Kuzin, S. V.

    2016-11-01

    We use the TESIS EUV telescope to study the current sheet signatures observed during flux rope eruption. The special feature of the TESIS telescope was its ability to image the solar corona up to a distance of 2 {R}⊙ from the Sun’s center in the Fe 171 Å line. The Fe 171 Å line emission illuminates the magnetic field lines, and the TESIS images reveal the coronal magnetic structure at high altitudes. The analyzed coronal mass ejection (CME) had a core with a spiral—flux rope—structure. The spiral shape indicates that the flux rope radius varied along its length. The flux rope had a complex temperature structure: cold legs (70,000 K, observed in He 304 Å line) and a hotter core (0.7 MK, observed in Fe 171 Å line). Such a structure contradicts the common assumption that the CME core is a cold prominence. When the CME impulsively accelerated, a dark double Y-structure appeared below the flux rope. The Y-structure timing, location, and morphology agree with the previously performed MHD simulations of the current sheet. We interpreted the Y-structure as a hot envelope of the current sheet and hot reconnection outflows. The Y-structure had a thickness of 6.0 Mm. Its length increased over time from 79 Mm to more than 411 Mm.

  12. Sparkling extreme-ultraviolet bright dots observed with Hi-C

    International Nuclear Information System (INIS)

    Régnier, S.; Alexander, C. E.; Walsh, R. W.; Winebarger, A. R.; Cirtain, J.; Golub, L.; Korreck, K. E.; Weber, M.; Mitchell, N.; Platt, S.; De Pontieu, B.; Title, A.; Kobayashi, K.; Kuzin, S.; DeForest, C. E.

    2014-01-01

    Observing the Sun at high time and spatial scales is a step toward understanding the finest and fundamental scales of heating events in the solar corona. The high-resolution coronal (Hi-C) instrument has provided the highest spatial and temporal resolution images of the solar corona in the EUV wavelength range to date. Hi-C observed an active region on 2012 July 11 that exhibits several interesting features in the EUV line at 193 Å. One of them is the existence of short, small brightenings 'sparkling' at the edge of the active region; we call these EUV bright dots (EBDs). Individual EBDs have a characteristic duration of 25 s with a characteristic length of 680 km. These brightenings are not fully resolved by the SDO/AIA instrument at the same wavelength; however, they can be identified with respect to the Hi-C location of the EBDs. In addition, EBDs are seen in other chromospheric/coronal channels of SDO/AIA, which suggests a temperature between 0.5 and 1.5 MK. Based on their frequency in the Hi-C time series, we define four different categories of EBDs: single peak, double peak, long duration, and bursty. Based on a potential field extrapolation from an SDO/HMI magnetogram, the EBDs appear at the footpoints of large-scale, trans-equatorial coronal loops. The Hi-C observations provide the first evidence of small-scale EUV heating events at the base of these coronal loops, which have a free magnetic energy of the order of 10 26 erg.

  13. Pervasive faint Fe XIX emission from a solar active region observed with EUNIS-13: Evidence for nanoflare heating

    International Nuclear Information System (INIS)

    Brosius, Jeffrey W.; Daw, Adrian N.; Rabin, D. M.

    2014-01-01

    We present spatially resolved EUV spectroscopic measurements of pervasive, faint Fe XIX 592.2 Å line emission in an active region observed during the 2013 April 23 flight of the Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS-13) sounding rocket instrument. With cooled detectors, high sensitivity, and high spectral resolution, EUNIS-13 resolves the lines of Fe XIX at 592.2 Å (formed at temperature T ≈ 8.9 MK) and Fe XII at 592.6 Å (T ≈ 1.6 MK). The Fe XIX line emission, observed over an area in excess of 4920 arcsec 2 (2.58 × 10 9 km 2 , more than 60% of the active region), provides strong evidence for the nanoflare heating model of the solar corona. No GOES events occurred in the region less than 2 hr before the rocket flight, but a microflare was observed north and east of the region with RHESSI and EUNIS during the flight. The absence of significant upward velocities anywhere in the region, particularly the microflare, indicates that the pervasive Fe XIX emission is not propelled outward from the microflare site, but is most likely attributed to localized heating (not necessarily due to reconnection) consistent with the nanoflare heating model of the solar corona. Assuming ionization equilibrium we estimate Fe XIX/Fe XII emission measure ratios of ∼0.076 just outside the AR core and ∼0.59 in the core.

  14. Pervasive faint Fe XIX emission from a solar active region observed with EUNIS-13: Evidence for nanoflare heating

    Energy Technology Data Exchange (ETDEWEB)

    Brosius, Jeffrey W. [Catholic University of America at NASA Goddard Space Flight Center, Solar Physics Laboratory, Code 671, Greenbelt, MD 20771 (United States); Daw, Adrian N. [NASA Goddard Space Flight Center, Solar Physics Laboratory, Code 671, Greenbelt, MD 20771 (United States); Rabin, D. M., E-mail: Jeffrey.W.Brosius@nasa.gov [NASA Goddard Space Flight Center, Heliophysics Science Division, Code 670, Greenbelt, MD 20771 (United States)

    2014-08-01

    We present spatially resolved EUV spectroscopic measurements of pervasive, faint Fe XIX 592.2 Å line emission in an active region observed during the 2013 April 23 flight of the Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS-13) sounding rocket instrument. With cooled detectors, high sensitivity, and high spectral resolution, EUNIS-13 resolves the lines of Fe XIX at 592.2 Å (formed at temperature T ≈ 8.9 MK) and Fe XII at 592.6 Å (T ≈ 1.6 MK). The Fe XIX line emission, observed over an area in excess of 4920 arcsec{sup 2} (2.58 × 10{sup 9} km{sup 2}, more than 60% of the active region), provides strong evidence for the nanoflare heating model of the solar corona. No GOES events occurred in the region less than 2 hr before the rocket flight, but a microflare was observed north and east of the region with RHESSI and EUNIS during the flight. The absence of significant upward velocities anywhere in the region, particularly the microflare, indicates that the pervasive Fe XIX emission is not propelled outward from the microflare site, but is most likely attributed to localized heating (not necessarily due to reconnection) consistent with the nanoflare heating model of the solar corona. Assuming ionization equilibrium we estimate Fe XIX/Fe XII emission measure ratios of ∼0.076 just outside the AR core and ∼0.59 in the core.

  15. Contributed Review: The novel gas puff targets for laser-matter interaction experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wachulak, Przemyslaw W., E-mail: wachulak@gmail.com [Institute of Optoelectronics, Military University of Technology, Ul. Gen. S. Kaliskiego 2, 00-908 Warsaw (Poland)

    2016-09-15

    Various types of targetry are used nowadays in laser matter interaction experiments. Such targets are characterized using different methods capable of acquiring information about the targets such as density, spatial distribution, and temporal behavior. In this mini-review paper, a particular type of target will be presented. The targets under consideration are gas puff targets of various and novel geometries. Those targets were investigated using extreme ultraviolet (EUV) and soft X-ray (SXR) imaging techniques, such as shadowgraphy, tomography, and pinhole camera imaging. Details about characterization of those targets in the EUV and SXR spectral regions will be presented.

  16. Silicon photodiode with selective Zr/Si coating for extreme ultraviolet spectral range

    International Nuclear Information System (INIS)

    Aruev, P N; Barysheva, Mariya M; Ber, B Ya; Zabrodskaya, N V; Zabrodskii, V V; Lopatin, A Ya; Pestov, Alexey E; Petrenko, M V; Polkovnikov, V N; Salashchenko, Nikolai N; Sukhanov, V L; Chkhalo, Nikolai I

    2012-01-01

    The procedure of manufacturing silicon photodiodes with an integrated Zr/Si filter for extreme ultraviolet (EUV) spectral range is developed. A setup for measuring the sensitivity profile of detectors with spatial resolution better than 100 μm is fabricated. The optical properties of silicon photodiodes in the EUV and visible spectral ranges are investigated. Some characteristics of SPD-100UV diodes with Zr/Si coating and without it, as well as of AXUV-100 diodes, are compared. In all types of detectors a narrow region beyond the operating aperture is found to be sensitive to the visible light. (photodetectors)

  17. Approaches to mitigate the impact of dissolved organic matter on the adsorption of synthetic organic contaminants by porous carbonaceous sorbents

    Energy Technology Data Exchange (ETDEWEB)

    Yanping Guo; Abhishek Yadav; Tanju Karanfil [Clemson University, Anderson, SC (United States). Department of Environmental Engineering and Earth Sciences

    2007-11-15

    Adsorption of trichloroethylene (TCE) and atrazine, two synthetic organic contaminants (SOCs) having different optimum adsorption pore regions, by four activated carbons and an activated carbon fiber (ACF) was examined. Adsorbents included two coconut-shell based granular activated carbons (GACs), two coal-based GACs (F400 and HD4000) and a phenol formaldehyde-based activated carbon fiber. The selected adsorbents had a wide range of pore size distributions but similar surface acidity and hydrophobicity. Single solute and preloading (with a dissolved organic matter (DOM)) isotherms were performed. Single solute adsorption results showed that (i) the adsorbents having higher amounts of pores with sizes about the dimensions of the adsorbate molecules exhibited higher uptakes, (ii) there were some pore structure characteristics, which were not completely captured by pore size distribution analysis, that also affected the adsorption, and (iii) the BET surface area and total pore volume were not the primary factors controlling the adsorption of SOCs. The preloading isotherm results showed that for TCE adsorbing primarily in pores <10 {angstrom}, the highly microporous ACF and GACs, acting like molecular sieves, exhibited the highest uptakes. For atrazine with an optimum adsorption pore region of 10-20 {angstrom}, which overlaps with the adsorption region of some DOM components, the GACs with a broad pore size distribution and high pore volumes in the 10-20 {angstrom} region had the least impact of DOM on the adsorption. 25 refs., 3 figs., 3 tabs.

  18. Physics of the Solar Active Regions from Radio Observations

    Science.gov (United States)

    Gelfreikh, G. B.

    1999-12-01

    Localized increase of the magnetic field observed by routine methods on the photosphere result in the growth of a number of active processes in the solar atmosphere and the heliosphere. These localized regions of increased magnetic field are called active regions (AR). The main processes of transfer, accumulation and release of energy in an AR is, however, out of scope of photospheric observations being essentially a 3D-process and happening either under photosphere or up in the corona. So, to investigate these plasma structures and processes we are bound to use either extrapolation of optical observational methods or observations in EUV, X-rays and radio. In this review, we stress and illustrate the input to the problem gained from radio astronomical methods and discuss possible future development of their applicatications. Historically speaking each new step in developing radio technique of observations resulted in detecting some new physics of ARs. The most significant progress in the last few years in radio diagnostics of the plasma structures of magnetospheres of the solar ARs is connected with the developing of the 2D full disk analysis on regular basis made at Nobeyama and detailed multichannel spectral-polarization (but one-dimensional and one per day) solar observations at the RATAN-600. In this report the bulk of attention is paid to the new approach to the study of solar activity gained with the Nobeyama radioheliograph and analyzing the ways for future progress. The most important new features of the multicomponent radio sources of the ARs studied using Nobeyama radioheliograph are as follow: 1. The analysis of magnetic field structures in solar corona above sunspot with 2000 G. Their temporal evolution and fluctuations with the periods around 3 and 5 minutes, due to MHD-waves in sunspot magnetic tubes and surrounding plasma. These investigations are certainly based on an analysis of thermal cyclotron emission of lower corona and CCTR above sunspot

  19. Light Absorption of Brown Carbon Aerosol in the Pearl River Delta Region of China

    Science.gov (United States)

    Huang, X.

    2015-12-01

    X.F. Huang, J.F. Yuan, L.M. Cao, J. Cui, C.N. Huang, Z.J. Lan and L.Y. He Key Laboratory for Urban Habitat Environmental Science and Technology, School of Environment and Energy, Peking University Shenzhen Graduate School, Shenzhen 518055, ChinaCorresponding author. Tel.: +86 755 26032532; fax: +86 755 26035332. E-mail address: huangxf@pku.edu.cn (X. F. Huang). Abstract: The strong spectral dependence of light absorption of brown carbon (BrC) aerosol has been recognized in recent decades. The Absorption Angstrom Exponent (AAE) of ambient aerosol was widely used in previous studies to attribute light absorption of brown carbon at shorter wavelengths, with a theoretical assumption that the AAE of black carbon (BC) aerosol equals to unit. In this study, the AAE method was improved by statistical extrapolation based on ambient measurements in the polluted seasons in typical urban and rural areas in the Pearl River Delta (PRD) region of China. A three-wavelength photoacoustic soot spectrometer (PASS-3) and an aerosol mass spectrometer (AMS) were used to explore the relationship between the ambient measured AAE and the ratio of organic aerosol to BC aerosol, in order to extract the more realistic AAE by pure BC aerosol, which were found to be 0.86, 0.82 and 1.02 at 405nm and 0.70, 0.71, and 0.86 at 532nm in the campaigns of urban-winter, urban-fall, and rural-fall, respectively. Roadway tunnel experiment results further supported the effectiveness of the obtained AAE for pure BC aerosol. In addition, biomass burning experiments proved higher spectral dependence of more-BrC environment and further verified the reliability of the instruments' response. Then, the average light absorption contribution of BrC aerosol was calculated to be 11.7, 6.3 and 12.1% (with total relative uncertainty of 7.5, 6.9 and 10.0%) at 405nm and 10.0, 4.1 and 5.5% (with total relative uncertainty of 6.5, 8.6 and 15.4%) at 532nm of the three campaigns, respectively. These results indicate that the

  20. Estimate of the global-scale joule heating rates in the thermosphere due to time mean currents

    International Nuclear Information System (INIS)

    Roble, R.G.; Matsushita, S.

    1975-01-01

    An estimate of the global-scale joule heating rates in the thermosphere is made based on derived global equivalent overhead electric current systems in the dynamo region during geomagnetically quiet and disturbed periods. The equivalent total electric field distribution is calculated from Ohm's law. The global-scale joule heating rates are calculated for various monthly average periods in 1965. The calculated joule heating rates maximize at high latitudes in the early evening and postmidnight sectors. During geomagnetically quiet times the daytime joule heating rates are considerably lower than heating by solar EUV radiation. However, during geomagnetically disturbed periods the estimated joule heating rates increase by an order of magnitude and can locally exceed the solar EUV heating rates. The results show that joule heating is an important and at times the dominant energy source at high latitudes. However, the global mean joule heating rates calculated near solar minimum are generally small compared to the global mean solar EUV heating rates. (auth)

  1. Synthesis and Structure Determination of Di-tert-butyltin (IV) Dithiocarbamate)

    International Nuclear Information System (INIS)

    Amirah Faizah Abdul Muthalib; Ibrahim Baba; Yang Farina Abdul Aziz; Mohd Wahid Samsudin

    2013-01-01

    New diorganotin (IV) dithiocarbamate complexes have been synthesized from di-tert-butyltin (IV) dichloride, N-dialkylamine and carbon disulphide. Elemental and gravimetric analysis confirmed the general formula of these complexes as (t- C 4 H 9 ) 2 Sn[S 2 CNR 1 R 2 ] 2 (R 1 = CH 3 , C 2 H 5 , C 7 H 7 dan R 2 = C 2 H 5 , C 6 H 11 , iC 3 H 7 , CH 3 , C 2 H 5 , C 4 H 9 , C 7 H 7 ). The structures of these complexes have been elucidated on the basis of infrared, ultraviolet, 1 H, 13 C and 119 Sn NMR spectroscopy and X-ray crystallography. The infrared spectra of these complexes showed three main peaks for v(C-N), v(C-S) and v(Sn-S) bands that appeared in the region of 1447-1496, 947-988 and 352-370 cm -1 , respectively. The 13 C NMR spectrum showed the chemical shift for ?(N 13 CS 2 ) in the range of 199.1-201.8 ppm. X-ray single crystal structure (C 4 H 9 ) 2 Sn[S 2 CN(CH 3 )(iC 3 H 7 )] 2 demonstrated a six-coordination geometry around the tin atom adopting a monoclinic system with a space group of P2/n with a = 11.2934(11) Angstrom, b = 7.0175(7) Angstrom, c = 15.6894(15) Angstrom; β = 95.016(1) degree. The (N-benzyl-N-ethyl dithiocarbamato)chloride di-tert-butyltin(IV) and (N,N-dibenzyl dithiocarbamato)chloride-di-(tert-butyl)tin(IV) formed a different geometry with one dithiocarbamate ligand and one chlorine atom attached to the tin centre to form a five-coordinate structure. Crystal of (N-benzyl-N-ethyl dithiocarbamato)chloride di-tert-butyltin(IV) adopts a triclinic system with space group of P1 and cell parameter of a = 8.6140 (2) Angstrom, b = 10.9604 (3) Angstrom, c = 11.4765 (3) Angstrom; α = 91.858 (2) degree, β = 96.193 (2) degree, γ = 96.011 (2) degree, while (N,N-dibenzyl dithiocarbamato)chloride-di-(tert-butyl)tin(IV) adopts a monoclinic system with space group of P2 i with cell parameter a = 9.0600 (2) Angstrom, b = 10.9238 (2) Angstrom, c = 12.7845 (3) Angstrom; β= 102.759 (2) degree. (author)

  2. Crystal structures of Th(OH)PO4, U(OH)PO4 and Th2O(PO4)2. Condensation mechanism of M(IV)(OH)PO4 (M= Th, U) into M2O(PO4)2

    International Nuclear Information System (INIS)

    Dacheux, N.; Clavier, N.; Wallez, G.; Quarton, M.

    2007-01-01

    Three new crystal structures, isotypic with β-Zr 2 O(PO 4 ) 2 , have been resolved by the Rietveld method. All crystallize with an orthorhombic cell (S.G.: Cmca) with a = 7.1393(2) Angstroms, b = 9.2641(2) Angstroms, c 12.5262(4) Angstroms, V = 828.46(4) (Angstroms) 3 and Z = 8 for Th(OH)PO 4 ; a = 7.0100(2) Angstroms, b = 9.1200(2) Angstroms, c = 12.3665(3) Angstroms, V 790.60(4) (Angstroms) 3 and Z = 8 for U(OH)PO 4 ; a 7.1691(3) Angstroms, b 9.2388(4) Angstroms, c = 12.8204(7) Angstroms, V 849.15(7) (Angstroms) 3 and Z = 4 for Th 2 O(PO 4 ) 2 . By heating, the M(OH)PO 4 (M Th, U) compounds condense topotactically into M 2 O(PO 4 ) 2 , with a change of the environment of the tetravalent cation that lowers from 8 to 7 oxygen atoms. The lower stability of Th 2 O(PO 4 ) 2 compared to that of U 2 O(PO 4 ) 2 seems to result from this unusual environment for tetravalent thorium. (authors)

  3. Improvements on coronal hole detection in SDO/AIA images using supervised classification

    Directory of Open Access Journals (Sweden)

    Reiss Martin A.

    2015-01-01

    Full Text Available We demonstrate the use of machine learning algorithms in combination with segmentation techniques in order to distinguish coronal holes and filaments in SDO/AIA EUV images of the Sun. Based on two coronal hole detection techniques (intensity-based thresholding, SPoCA, we prepared datasets of manually labeled coronal hole and filament channel regions present on the Sun during the time range 2011–2013. By mapping the extracted regions from EUV observations onto HMI line-of-sight magnetograms we also include their magnetic characteristics. We computed shape measures from the segmented binary maps as well as first order and second order texture statistics from the segmented regions in the EUV images and magnetograms. These attributes were used for data mining investigations to identify the most performant rule to differentiate between coronal holes and filament channels. We applied several classifiers, namely Support Vector Machine (SVM, Linear Support Vector Machine, Decision Tree, and Random Forest, and found that all classification rules achieve good results in general, with linear SVM providing the best performances (with a true skill statistic of ≈ 0.90. Additional information from magnetic field data systematically improves the performance across all four classifiers for the SPoCA detection. Since the calculation is inexpensive in computing time, this approach is well suited for applications on real-time data. This study demonstrates how a machine learning approach may help improve upon an unsupervised feature extraction method.

  4. NO TRACE LEFT BEHIND: STEREO OBSERVATION OF A CORONAL MASS EJECTION WITHOUT LOW CORONAL SIGNATURES

    International Nuclear Information System (INIS)

    Robbrecht, Eva; Patsourakos, Spiros; Vourlidas, Angelos

    2009-01-01

    The availability of high-quality synoptic observations of the extreme-ultraviolet (EUV) and visible corona during the SOHO mission has advanced our understanding of the low corona manifestations of coronal mass ejections (CMEs). The EUV imager/white light coronagraph connection has been proven so powerful, it is routinely assumed that if no EUV signatures are present when a CME is observed by a coronagraph, then the event must originate behind the visible limb. This assumption carries strong implications for space weather forecasting but has not been put to the test. This paper presents the first detailed analysis of a frontside, large-scale CME that has no obvious counterparts in the low corona as observed in EUV and Hα wavelengths. The event was observed by the SECCHI instruments onboard the STEREO mission. The COR2A coronagraph observed a slow flux-rope-type CME, while an extremely faint partial halo was observed in COR2B. The event evolved very slowly and is typical of the streamer-blowout CME class. EUVI A 171 A images show a concave feature above the east limb, relatively stable for about two days before the eruption, when it rises into the coronagraphic fields and develops into the core of the CME. None of the typical low corona signatures of a CME (flaring, EUV dimming, filament eruption, waves) were observed in the EUVI B images, which we attribute to the unusually large height from which the flux rope lifted off. This interpretation is supported by the CME mass measurements and estimates of the expected EUV dimming intensity. Only thanks to the availability of the two viewpoints we were able to identify the likely source region. The event originated along a neutral line over the quiet-Sun. No active regions were present anywhere on the visible (from STEREO B) face of the disk. Leaving no trace behind on the solar disk, this observation shows unambiguously that a CME eruption does not need to have clear on-disk signatures. Also it sheds light on the

  5. Performance of the Multi-Spectral Solar Telescope Array. III - Optical characteristics of the Ritchey-Chretien and Cassegrain telescopes

    Science.gov (United States)

    Hoover, Richard B.; Baker, Phillip C.; Hadaway, James B.; Johnson, R. B.; Peterson, Cynthia; Gabardi, David R.; Walker, Arthur B., Jr.; Lindblom, J. F.; Deforest, Craig; O'Neal, R. H.

    1991-12-01

    The Multi-Spectral Solar Telescope Array (MSSTA), which is a sounding-rocket-borne observatory for investigating the sun in the soft X-ray/EUV and FUV regimes of the electromagnetic spectrum, utilizes single reflection multilayer coated Herschelian telescopes for wavelengths below 100 A, and five doubly reflecting multilayer coated Ritchey-Chretien and two Cassegrain telescopes for selected wavelengths in the EUV region between 100 and 1000 A. The paper discusses the interferometric alignment, testing, focusing, visible light testing, and optical performance characteristics of the Ritchey-Chretien and Cassegrain telescopes of MSSTA. A schematic diagram of the MSSTA Ritchey-Chretien telescope is presented together with diagrams of the system autocollimation testing.

  6. Laser-plasma source parameters for Kr, Gd, and Tb ions at 6.6 nm

    Energy Technology Data Exchange (ETDEWEB)

    Masnavi, Majid; Szilagyi, John; Parchamy, Homaira; Richardson, Martin C. [The Townes Laser Institute, College of Optics and Photonics, University of Central Florida, 4000 Central Florida Blvd., Orlando, Florida 32816 (United States)

    2013-04-22

    There is increasing interest in extreme-ultraviolet (EUV) laser-based lamps for sub-10-nm lithography operating in the region of 6.6 nm. A collisional-radiative model is developed as a post-processor of a hydrodynamic code to investigate emission from resonance lines in Kr, Gd, and Tb ions under conditions typical for mass-limited EUV sources. The analysis reveals that maximum conversion efficiencies of Kr occur at 5 Multiplication-Sign 10{sup 10}W/cm{sup 2}, while for Gd and Tb it was Asymptotically-Equal-To 0.9%/2{pi}sr for laser intensities of (2-5) Multiplication-Sign 10{sup 12}W/cm{sup 2}.

  7. Plasma Treatment to Remove Carbon from Indium UV Filters

    Science.gov (United States)

    Greer, Harold F.; Nikzad, Shouleh; Beasley, Matthew; Gantner, Brennan

    2012-01-01

    The sounding rocket experiment FIRE (Far-ultraviolet Imaging Rocket Experiment) will improve the science community fs ability to image a spectral region hitherto unexplored astronomically. The imaging band of FIRE (.900 to 1,100 Angstroms) will help fill the current wavelength imaging observation hole existing from approximately equal to 620 Angstroms to the GALEX band near 1,350 Angstroms. FIRE is a single-optic prime focus telescope with a 1.75-m focal length. The bandpass of 900 to 1100 Angstroms is set by a combination of the mirror coating, the indium filter in front of the detector, and the salt coating on the front of the detector fs microchannel plates. Critical to this is the indium filter that must reduce the flux from Lymanalpha at 1,216 Angstroms by a minimum factor of 10(exp -4). The cost of this Lyman-alpha removal is that the filter is not fully transparent at the desired wavelengths of 900 to 1,100 Angstroms. Recently, in a project to improve the performance of optical and solar blind detectors, JPL developed a plasma process capable of removing carbon contamination from indium metal. In this work, a low-power, low-temperature hydrogen plasma reacts with the carbon contaminants in the indium to form methane, but leaves the indium metal surface undisturbed. This process was recently tested in a proof-of-concept experiment with a filter provided by the University of Colorado. This initial test on a test filter showed improvement in transmission from 7 to 9 percent near 900 with no process optimization applied. Further improvements in this performance were readily achieved to bring the total transmission to 12% with optimization to JPL's existing process.

  8. Plasma composition in a sigmoidal anemone active region

    International Nuclear Information System (INIS)

    Baker, D.; Van Driel-Gesztelyi, L.; Green, L. M.; Carlyle, J.; Brooks, D. H.; Démoulin, P.; Steed, K.

    2013-01-01

    Using spectra obtained by the EUV Imaging Spectrometer (EIS) instrument onboard Hinode, we present a detailed spatially resolved abundance map of an active region (AR)-coronal hole (CH) complex that covers an area of 359'' × 485''. The abundance map provides first ionization potential (FIP) bias levels in various coronal structures within the large EIS field of view. Overall, FIP bias in the small, relatively young AR is 2-3. This modest FIP bias is a consequence of the age of the AR, its weak heating, and its partial reconnection with the surrounding CH. Plasma with a coronal composition is concentrated at AR loop footpoints, close to where fractionation is believed to take place in the chromosphere. In the AR, we found a moderate positive correlation of FIP bias with nonthermal velocity and magnetic flux density, both of which are also strongest at the AR loop footpoints. Pathways of slightly enhanced FIP bias are traced along some of the loops connecting opposite polarities within the AR. We interpret the traces of enhanced FIP bias along these loops to be the beginning of fractionated plasma mixing in the loops. Low FIP bias in a sigmoidal channel above the AR's main polarity inversion line, where ongoing flux cancellation is taking place, provides new evidence of a bald patch magnetic topology of a sigmoid/flux rope configuration.

  9. The solar flare of 18 August 1979: Incoherent scatter radar data and photochemical model comparisons

    International Nuclear Information System (INIS)

    Zinn, J.; Sutherland, C.D.; Fenimore, E.E.; Ganguly, S.

    1988-04-01

    Measurements of electron density at seven D-region altidues were made with the Arecibo radar during a Class-X solar flare on 18 August 1979. Measurements of solar x-ray fluxes during the same period were available from the GOES-2 satellite (0.5 to 4 /angstrom/ and 1 to 8 /angstrom/) and from ISEE-3 (in four bands between 26 and 400 keV). From the x-ray flux data we computed ionization rates in the D-region and the associated chemical changes, using a coupled atmospheric chemistry and diffusion model (with 836 chemical reactions and 19 vertical levels). The computed electron densities matched the data fairly well after we had adjusted the rate coefficients of two reactions. We discuss the hierarchies among the many flare-induced chemical reactions in two altitude ranges within the D-region and the effects of adjusting several other rate coefficients. 51 refs., 6 figs., 3 tabs

  10. Analysis of an Anemone-Type Eruption in an On-Disk Coronal Hole

    Science.gov (United States)

    Adams, Mitzi; Tennant, Allyn; Alexander, Caroline; Sterling, Alphonse; Moore, Ronald; Woolley, Robert

    2016-01-01

    We report on an eruption seen in a very small coronal hole (about 120 arcseconds across), beginning at approximately 19:00 Universal Time on March 3, 2016. The event was initially observed by an amateur astronomer (RW) in an H-alpha movie from the Global Oscillation Network Group (GONG); the eruption attracted the attention of the observer because there was no nearby active region. To examine the region in detail, we use data from the Solar Dynamics Observatory (SDO), provided by the Atmospheric Imaging Assembly (AIA) in wavelengths 193 angstroms, 304 angstroms, and 94 angstroms, and the Helioseismic and Magnetic Imager (HMI). Data analysis and calibration activities such as scaling, rotation so that north is up, and removal of solar rotation are accomplished with SunPy. The eruption in low-cadence HMI data begins with the appearance of a bipole in the location of the coronal hole, followed by (apparent) expansion outwards when the intensity of the AIA wavelengths brighten; as the event proceeds, the coronal hole disappears. From high-cadence data, we will present results on the magnetic evolution of this structure, how it is related to intensity brightenings seen in the various SDO/AIA wavelengths, and how this event compares with the standard-anemone picture.

  11. The All-wavelength Extended Groth Strip International Survey (AEGIS) Data Sets

    Energy Technology Data Exchange (ETDEWEB)

    Davis, M.; Guhathakurta, P.; Konidaris, N.; Newman, J.A.; Ashby, M.L.N.; Biggs, A.D.; Barmby, P.; Bundy, K.; Chapman, S.; Coil, A.L.; Conselice, C.; Cooper, M.; Croton,; Eisenhardt, P.; Ellis, R.; Faber, S.; Fang, T.; Fazio, G.G.; Georgakakis, A.; Gerke, B.; Goss, W.M.; /UC, Berkeley, Astron. Dept. /Lick Observ. /LBL, Berkeley

    2006-07-21

    In this the first of a series of ''Letters'', we present a description of the panchromatic data sets that have been acquired in the Extended Groth Strip region of the sky. Our survey, the All-wavelength Extended Groth Strip International Survey (AEGIS), is intended to study the physical properties and evolutionary processes of galaxies at z {approx} 1. It includes the following deep, wide-field imaging data sets: Chandra/ACIS{sup 30} X-ray (0.5-10 keV), GALEX{sup 31} ultraviolet (1200-2500 A), CFHT/MegaCam Legacy Survey{sup 32} optical (3600-9000 {angstrom}), CFHT/CFH12K optical (4500-9000 {angstrom}), Hubble Space Telescope/ACS{sup 33} optical (4400-8500 {angstrom}), Palomar/WIRC{sup 34} near-infrared (1.2-2.2 {micro}m), Spitzer/IRAC{sup 35} mid-infrared (3.6-8.0 {micro}m), Spitzer/MIPS far-infrared (24-70 {micro}m), and VLA{sup 36} radio continuum (6-20 cm). In addition, this region of the sky has been targeted for extensive spectroscopy using the DEIMOS spectrograph on the Keck II 10 m telescope{sup 37}. Our survey is compared to other large multiwavelength surveys in terms of depth and sky coverage.

  12. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  13. The UV (IUE) spectrum of the planetary nebula PC 11 (HD 149427)

    NARCIS (Netherlands)

    Parthasarathy, M; Garcia-Lario, P; Pottasch, [No Value; de Martino, D; Surendiranath, R

    The UV spectrum of PC 11 is found to show variations in the strength of the O III] 1666 Angstrom, N III] 1750 Angstrom and C III] 1909 Angstrom emission lines. The detection of continuum emission in the wavelength interval 2650 Angstrom to 3200 Angstrom indicates that the central star of PC 11 has

  14. The Structures of Coiled-Coil Domains from Type III Secretion System Translocators Reveal Homology to Pore-Forming Toxins

    Energy Technology Data Exchange (ETDEWEB)

    Barta, Michael L.; Dickenson, Nicholas E.; Patil, Mrinalini; Keightley, Andrew; Wyckoff, Gerald J.; Picking, William D.; Picking, Wendy L.; Geisbrecht, Brian V. (UMKC); (OKLU)

    2012-03-26

    Many pathogenic Gram-negative bacteria utilize type III secretion systems (T3SSs) to alter the normal functions of target cells. Shigella flexneri uses its T3SS to invade human intestinal cells to cause bacillary dysentery (shigellosis) that is responsible for over one million deaths per year. The Shigella type III secretion apparatus is composed of a basal body spanning both bacterial membranes and an exposed oligomeric needle. Host altering effectors are secreted through this energized unidirectional conduit to promote bacterial invasion. The active needle tip complex of S. flexneri is composed of a tip protein, IpaD, and two pore-forming translocators, IpaB and IpaC. While the atomic structure of IpaD has been elucidated and studied, structural data on the hydrophobic translocators from the T3SS family remain elusive. We present here the crystal structures of a protease-stable fragment identified within the N-terminal regions of IpaB from S. flexneri and SipB from Salmonella enterica serovar Typhimurium determined at 2.1 {angstrom} and 2.8 {angstrom} limiting resolution, respectively. These newly identified domains are composed of extended-length (114 {angstrom} in IpaB and 71 {angstrom} in SipB) coiled-coil motifs that display a high degree of structural homology to one another despite the fact that they share only 21% sequence identity. Further structural comparisons also reveal substantial similarity to the coiled-coil regions of pore-forming proteins from other Gram-negative pathogens, notably, colicin Ia. This suggests that these mechanistically separate and functionally distinct membrane-targeting proteins may have diverged from a common ancestor during the course of pathogen-specific evolutionary events.

  15. Spatiotemporal Analysis of Coronal Loops Using Seismology of Damped Kink Oscillations and Forward Modeling of EUV Intensity Profiles

    Science.gov (United States)

    Pascoe, D. J.; Anfinogentov, S. A.; Goddard, C. R.; Nakariakov, V. M.

    2018-06-01

    The shape of the damping profile of kink oscillations in coronal loops has recently allowed the transverse density profile of the loop to be estimated. This requires accurate measurement of the damping profile that can distinguish the Gaussian and exponential damping regimes, otherwise there are more unknowns than observables. Forward modeling of the transverse intensity profile may also be used to estimate the width of the inhomogeneous layer of a loop, providing an independent estimate of one of these unknowns. We analyze an oscillating loop for which the seismological determination of the transverse structure is inconclusive except when supplemented by additional spatial information from the transverse intensity profile. Our temporal analysis describes the motion of a coronal loop as a kink oscillation damped by resonant absorption, and our spatial analysis is based on forward modeling the transverse EUV intensity profile of the loop under the isothermal and optically thin approximations. We use Bayesian analysis and Markov chain Monte Carlo sampling to apply our spatial and temporal models both individually and simultaneously to our data and compare the results with numerical simulations. Combining the two methods allows both the inhomogeneous layer width and density contrast to be calculated, which is not possible for the same data when each method is applied individually. We demonstrate that the assumption of an exponential damping profile leads to a significantly larger error in the inferred density contrast ratio compared with a Gaussian damping profile.

  16. Development of a heterodyne laser interferometer for very small high frequency displacements detection

    International Nuclear Information System (INIS)

    Baarmann, P.

    1992-10-01

    A heterodyne laser interferometer with detection electronics has been developed for measuring very small amplitude high frequency vibrations. A laser beam from HeNe-laser is focused and reflected in the vibrating surface and the generated phase shifts are after interference with a reference beam detected with a photo detector and evaluated in a demodulation system. The set-up is a prototype and techniques to improve the accuracy and sensitivity of the system are presented. The present system can detect vibration amplitude from around 1 Angstrom and is linear up to 250 Angstrom (±4%). Frequencies from a few tens of kHz up to tens of MHz are covered. The low frequency region can be greatly improved. The minimum detectable displacement may be improved by narrowing the bandwidth of the detection system to the region of interest

  17. Excitation of the inner 4d shell of neutral iodine

    Energy Technology Data Exchange (ETDEWEB)

    Pettini, M; Tozzi, G P [Osservatorio Astrofisico di Arcetri, Florence (Italy); Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia

    1981-03-23

    The absorption spectrum of neutral atomic iodine has been photographed in the EUV region and three strong autoionized resonances have been identified. A broad absorption feature has been observed and is ascribed to a collective exitation of the 4d inner shell.

  18. SPECTROSCOPIC ANALYSIS OF AN EIT WAVE/DIMMING OBSERVED BY HINODE/EIS

    International Nuclear Information System (INIS)

    Chen, F.; Ding, M. D.; Chen, P. F.

    2010-01-01

    EUV Imaging Telescope (EIT) waves are a wavelike phenomenon propagating outward from the coronal mass ejection source region, with expanding dimmings following behind. We present a spectroscopic study of an EIT wave/dimming event observed by the Hinode/Extreme-ultraviolet Imaging Spectrometer. Although the identification of the wave front is somewhat affected by the pre-existing loop structures, the expanding dimming is well defined. We investigate the line intensity, width, and Doppler velocity for four EUV lines. In addition to the significant blueshift implying plasma outflows in the dimming region as revealed in previous studies, we find that the widths of all four spectral lines increase at the outer edge of the dimmings. We illustrate that this feature can be well explained by the field line stretching model, which claims that EIT waves are apparently moving brightenings that are generated by the successive stretching of the closed field lines.

  19. Anomalous small-angle x-ray scattering of a femtosecond irradiated germano silicate fibre preform.

    Energy Technology Data Exchange (ETDEWEB)

    Hindle, F.; Fertein, E.; Seifert, S.; Przygodski, C.S.; Bocquet, R.; Douay, M.; Bychkov, E.; Experimental Facilities Division (APS); LPCA, CNRS; PhLAM; Univ. des Sciences et Tech. de Lille

    2005-01-01

    RADIATION is shown to induce significant mesoscopic structure. The scattering intensity for irradiated glasses is close to two orders of magnitude greater than that of unexposed material. Anomalous small-angle X-ray scattering (ASAXS) around the germanium K-edge for the silica and germanium doped silica regions of a fiber preform is used to demonstrate that identical structures are induced in both glass materials, with germanium displaying a capacity to isomorphically replace silicon in the case of the germanium doped silica. Analysis of measured scattering indicates that photo-inscribed features are produced at two distinct scales with typical radii of R {approx} 20 Angstroms and R{sub min} {approx} 200 Angstroms.

  20. OH+ emission from cometary knots in planetary nebulae

    Science.gov (United States)

    Priestley, F. D.; Barlow, M. J.

    2018-05-01

    We model the molecular emission from cometary knots in planetary nebulae (PNe) using a combination of photoionization and photodissociation region (PDR) codes, for a range of central star properties and gas densities. Without the inclusion of ionizing extreme ultraviolet (EUV) radiation, our models require central star temperatures T* to be near the upper limit of the range investigated in order to match observed H2 and OH+ surface brightnesses consistent with observations - with the addition of EUV flux, our models reproduce observed OH+ surface brightnesses for T* ≥ 100 kK. For T* non-detection of this molecule in PNe with such central star temperatures. Our predicted level of H2 emission is somewhat weaker than commonly observed in PNe, which may be resolved by the inclusion of shock heating or fluorescence due to UV photons. Some of our models also predict ArH+ and HeH+ rotational line emission above detection thresholds, despite neither molecule having been detected in PNe, although the inclusion of photodissociation by EUV photons, which is neglected by our models, would be expected to reduce their detectability.

  1. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    Science.gov (United States)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  2. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    International Nuclear Information System (INIS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-01-01

    We demonstrated efficacy of a CO 2 -laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5 nm at variable laser pulse widths between 200 ps and 25 ns. The plasma target was a 30 μm liquid xenon microjet. To ensure the optimum coupling of CO 2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5 nm EUV emission for different pulse widths of the CO 2 laser. A maximum CE of 0.6% was obtained for a CO 2 laser pulse width of 25 ns at an intensity of 5x10 10 W/cm 2

  3. A Series of Jets that Drove Streamer-Puff CMEs from Giant Active Region of 2014

    Science.gov (United States)

    Panesar, Navdeep K.; Sterling, Alphonse C.; Moore, Ronald L.

    2016-01-01

    We investigate characteristics of solar coronal jets that originated from active region NOAA 12192 and produced coronal mass ejections (CMEs). This active region produced many non­-jet major flare eruptions (X and M class) that made no CME. A multitude of jets occurred from the southeast edge of the active region, and in contrast to the major-­flare eruptions in the core, six of these jets resulted in CMEs. Our jet observations are from SDO/AIA EUV channels and from Hinode/XRT, and CME observations are from the SOHO/LASCO C2 coronograph. Each jet-­driven CME was relatively slow-­moving (approx. 200 - 300 km/s) compared to most CMEs; had angular width (20deg - 50deg) comparable to that of the streamer base; and was of the "streamer­-puff" variety, whereby a pre-existing streamer was transiently inflated but not removed (blown out) by the passage of the CME. Much of the chromospheric-­temperature plasma of the jets producing the CMEs escaped from the Sun, whereas relatively more of the chromospheric plasma in the non-CME-producing jets fell back to the solar surface. We also found that the CME-producing jets tended to be faster in speed and longer in duration than the non-CME-­producing jets. We expect that the jets result from eruptions of mini-filaments. We further propose that the CMEs are driven by magnetic twist injected into streamer-­base coronal loops when erupting twisted mini-filament field reconnects with the ambient field at the foot of those loops.

  4. Phases and structural characteristics of high Tc superconducting oxide in (Bi, Pb)-Sr-Ca-Cu-O system

    International Nuclear Information System (INIS)

    Chen, Zuyano; Li, Zhengrong; Qian, Yitai; Zhou, Quien; Cheng, Tingzhu

    1989-01-01

    The various phases, which are responsible for variant maximum d-value including 18.5 angstrom, 15.4 angstrom, 12.2 angstrom, 6.2 angstrom, 3.2 angstrom and possible 9.1 angstrom respectively, observed in high Tc superconducting complex oxide of (Bi,Pb)-Sr-Ca-Cu-O system are reported in this paper according to the result of X-ray diffraction on platelike crystals or crystallites synthesized under different preparation conditions. The phase of tetragonal system with c=3.21 angstrom, a=3.86 angstrom is possible parent structural unit and it is of great significance to the structure constitution of various phases with large lattice parameter c and structural characteristics of superconducting oxide. In view of the above a model of two-dimension stack-up which causes a stack in variant styles along c-axis and constitute various phases with different lattice parameter c is proposed and discussed

  5. Synthesis and Structural Characterization of Magnesium Based Coordination Networks in Different Solvents

    Energy Technology Data Exchange (ETDEWEB)

    D Banerjee; J Finkelstein; A Smirnov; P Forster; L Borkowski; S Teat; J Parise

    2011-12-31

    Three magnesium based metal-organic frameworks, Mg{sub 3}(3,5-PDC){sub 3}(DMF){sub 3} {center_dot} DMF [1], Mg(3,5-PDC)(H{sub 2}O) {center_dot} (H{sub 2}O) [3], and Mg{sub 4}(3,5-PDC){sub 4}(DMF){sub 2}(H{sub 2}O){sub 2} {center_dot} 2DMF {center_dot} 4.5H{sub 2}O [4], and a 2-D coordination polymer, [Mg(3,5-PDC)(H{sub 2}O){sub 2}] [2] [PDC = pyridinedicarboxylate], were synthesized using a combination of DMF, methanol, ethanol, and water. Compound 1 [space group P2{sub 1}/n, a = 12.3475(5) {angstrom}, b = 11.1929(5) {angstrom}, c = 28.6734(12) {angstrom}, {beta} = 98.8160(10){sup o}, V = 3916.0(3) {angstrom}{sup 3}] consists of a combination of isolated and corner-sharing magnesium octahedra connected by the organic linkers to form a 3-D network with a 12.2 {angstrom} x 4.6 {angstrom} 1-D channel. The channel contains coordinated and free DMF molecules. In compound 2 [space group C2/c, a = 9.964(5) {angstrom}, b = 12.0694(6) {angstrom}, c = 7.2763(4) {angstrom}, {beta} = 106.4970(6){sup o}, V = 836.70(6) {angstrom}{sup 3}], PDC connects isolated seven coordinated magnesium polyhedra into a layered structure. Compound 3 [space group P6{sub 1}22, a = 11.479(1) {angstrom}, c = 14.735(3) {angstrom}, V = 1681.7(4) {angstrom}{sup 3}] (previously reported) contains isolated magnesium octahedra connected by the organic linker with each other forming a 3D network. Compound 4 [space group P2{sub 1}/c, a = 13.7442(14) {angstrom}, b = 14.2887(15) {angstrom}, c = 14.1178(14) {angstrom}, {beta} = 104.912(2){sup o}, V = 2679.2(5) {angstrom}{sup 3}] also exhibits a 3D network based on isolated magnesium octahedra with square cavities containing both disordered DMF and water molecules. The structural topologies originate due to the variable coordination ability of solvent molecules with the metal center. Water molecules coordinate with the magnesium metal centers preferably over other polar solvents (DMF, methanol, ethanol) used to synthesize the coordination networks. Despite

  6. Surface erosion issues and analysis for dissipative divertors

    International Nuclear Information System (INIS)

    Brooks, J.N.; Ruzic, D.N.; Hayden, D.B.; Turkot, R.B. Jr.

    1994-05-01

    Erosion/redeposition is examined for the sidewall of a dissipative divertor using coupled impurity transport, charge exchange, and sputtering codes, applied to a plasma solution for the ITER design. A key issue for this regime is possible runaway self-sputtering, due to the effect of a low boundary density and nearly parallel field geometry on redeposition parameters. Net erosion rates, assuming finite self-sputtering, vary with wall location, boundary conditions, and plasma solution, and are roughly of the following order: 200--2000 angstrom/s for beryllium, 10--100 angstrom/s for vanadium, and 0.3--3 angstrom/s for tungsten

  7. Estimates of SASE power in the short wavelength region

    International Nuclear Information System (INIS)

    Kim, Kwang-Je.

    1992-03-01

    Given a sufficiently bright electron beam, the self-amplified-spontaneous emission (SASE) can provide gigawatts of short wavelength coherent radiation. The advantages of SASE approach are that is requires neither optical cavity nor an imput seed laser. In this note, we estimate the peak power performance of SASE for wavelengths shorter than 1000 Angstrom. At each wavelength, we calculate the saturated power from a uniform parameter undulator and the enhanced power from a tapered undulator. The method described here is an adaptation of that discussed by L.H. Yu, who discussed the harmonic generation scheme with seeded laser, to the case of SASE

  8. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    Science.gov (United States)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  9. PARALLEL EVOLUTION OF QUASI-SEPARATRIX LAYERS AND ACTIVE REGION UPFLOWS

    Energy Technology Data Exchange (ETDEWEB)

    Mandrini, C. H.; Cristiani, G. D.; Nuevo, F. A.; Vásquez, A. M. [Instituto de Astronomía y Física del Espacio (IAFE), UBA-CONICET, CC. 67, Suc. 28 Buenos Aires, 1428 (Argentina); Baker, D.; Driel-Gesztelyi, L. van [UCL-Mullard Space Science Laboratory, Holmbury St. Mary, Dorking, Surrey, RH5 6NT (United Kingdom); Démoulin, P.; Pick, M. [Observatoire de Paris, LESIA, UMR 8109 (CNRS), F-92195 Meudon Principal Cedex (France); Vargas Domínguez, S. [Observatorio Astronómico Nacional, Universidad Nacional de Colombia, Bogotá (Colombia)

    2015-08-10

    Persistent plasma upflows were observed with Hinode’s EUV Imaging Spectrometer (EIS) at the edges of active region (AR) 10978 as it crossed the solar disk. We analyze the evolution of the photospheric magnetic and velocity fields of the AR, model its coronal magnetic field, and compute the location of magnetic null-points and quasi-sepratrix layers (QSLs) searching for the origin of EIS upflows. Magnetic reconnection at the computed null points cannot explain all of the observed EIS upflow regions. However, EIS upflows and QSLs are found to evolve in parallel, both temporarily and spatially. Sections of two sets of QSLs, called outer and inner, are found associated to EIS upflow streams having different characteristics. The reconnection process in the outer QSLs is forced by a large-scale photospheric flow pattern, which is present in the AR for several days. We propose a scenario in which upflows are observed, provided that a large enough asymmetry in plasma pressure exists between the pre-reconnection loops and lasts as long as a photospheric forcing is at work. A similar mechanism operates in the inner QSLs; in this case, it is forced by the emergence and evolution of the bipoles between the two main AR polarities. Our findings provide strong support for the results from previous individual case studies investigating the role of magnetic reconnection at QSLs as the origin of the upflowing plasma. Furthermore, we propose that persistent reconnection along QSLs does not only drive the EIS upflows, but is also responsible for the continuous metric radio noise-storm observed in AR 10978 along its disk transit by the Nançay Radio Heliograph.

  10. DETECTION OF SUPERSONIC DOWNFLOWS AND ASSOCIATED HEATING EVENTS IN THE TRANSITION REGION ABOVE SUNSPOTS

    Energy Technology Data Exchange (ETDEWEB)

    Kleint, L.; Martínez-Sykora, J. [Bay Area Environmental Research Institute, 625 2nd Street, Ste. 209, Petaluma, CA (United States); Antolin, P. [National Astronomical Observatory of Japan, 2-21-1 Osawa, Mitaka, Tokyo 181-8588 (Japan); Tian, H.; Testa, P.; Reeves, K. K.; McKillop, S.; Saar, S.; Golub, L. [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Judge, P. [High Altitude Observatory/NCAR, P.O. Box 3000, Boulder, CO 80307 (United States); De Pontieu, B.; Wuelser, J. P.; Boerner, P.; Hurlburt, N.; Lemen, J.; Tarbell, T. D.; Title, A. [Lockheed Martin Solar and Astrophysics Laboratory, 3251 Hanover St., Org. ADBS, Bldg. 252, Palo Alto, CA 94304 (United States); Carlsson, M.; Hansteen, V. [Institute of Theoretical Astrophysics, University of Oslo, P.O. Box 1029, Blindern, NO-0315 Oslo (Norway); Jaeggli, S., E-mail: lucia.kleint@fhnw.ch [Department of Physics, Montana State University, Bozeman, P.O. Box 173840, Bozeman, MT 59717 (United States); and others

    2014-07-10

    Interface Region Imaging Spectrograph data allow us to study the solar transition region (TR) with an unprecedented spatial resolution of 0.''33. On 2013 August 30, we observed bursts of high Doppler shifts suggesting strong supersonic downflows of up to 200 km s{sup –1} and weaker, slightly slower upflows in the spectral lines Mg II h and k, C II 1336, Si IV 1394 Å, and 1403 Å, that are correlated with brightenings in the slitjaw images (SJIs). The bursty behavior lasts throughout the 2 hr observation, with average burst durations of about 20 s. The locations of these short-lived events appear to be the umbral and penumbral footpoints of EUV loops. Fast apparent downflows are observed along these loops in the SJIs and in the Atmospheric Imaging Assembly, suggesting that the loops are thermally unstable. We interpret the observations as cool material falling from coronal heights, and especially coronal rain produced along the thermally unstable loops, which leads to an increase of intensity at the loop footpoints, probably indicating an increase of density and temperature in the TR. The rain speeds are on the higher end of previously reported speeds for this phenomenon, and possibly higher than the free-fall velocity along the loops. On other observing days, similar bright dots are sometimes aligned into ribbons, resembling small flare ribbons. These observations provide a first insight into small-scale heating events in sunspots in the TR.

  11. Sub-50-as isolated extreme ultraviolet continua generated by 1.6-cycle near-infrared pulse combined with double optical gating scheme

    Science.gov (United States)

    Oguri, Katsuya; Mashiko, Hiroki; Ogawa, Tatsuya; Hanada, Yasutaka; Nakano, Hidetoshi; Gotoh, Hideki

    2018-04-01

    We demonstrate the generation of ultrabroad bandwidth attosecond continua extending to sub-50-as duration in the extreme ultraviolet (EUV) region based on a 1.6-cycle Ti:sapphire laser pulse. The combination of the amplitude gating scheme with a sub-two-cycle driver pulse and the double optical gating scheme achieves the continuum generation with a bandwidth of 70 eV at the full width at half maximum near the peak photon energy of 140 eV, which supports a Fourier-transform-limited pulse duration as short as 32 as. The carrier-envelope-phase (CEP) dependence of the attosecond continua shows a single-peak structure originating from the half-cycle cut-off at appropriate CEP values, which strongly indicates the generation of a single burst of an isolated attosecond pulse. Our approach suggests a possibility for isolated sub-50-as pulse generation in the EUV region by compensating for the intrinsic attosecond chirp with a Zr filter.

  12. Structural Analysis of ADP-Glucose Pyrophosphorylase From the Bacterium Agrobacterium Tumefaciens

    Energy Technology Data Exchange (ETDEWEB)

    Cupp-Vickery, J.R.; Igarashi, R.Y.; Perez, M.; Poland, M.; Meyer, C.R.

    2009-05-14

    ADP-glucose pyrophosphorylase (ADPGlc PPase) catalyzes the conversion of glucose 1-phosphate and ATP to ADP-glucose and pyrophosphate. As a key step in glucan synthesis, the ADPGlc PPases are highly regulated by allosteric activators and inhibitors in accord with the carbon metabolism pathways of the organism. Crystals of Agrobacterium tumefaciens ADPGlc PPase were obtained using lithium sulfate as a precipitant. A complete anomalous selenomethionyl derivative X-ray diffraction data set was collected with unit cell dimensions a = 85.38 {angstrom}, b = 93.79 {angstrom}, and c = 140.29 {angstrom} ({alpha} = {beta} = {gamma} = 90{sup o}) and space group I{sub 222}. The A. tumefaciens ADPGlc PPase model was refined to 2.1 {angstrom} with an R{sub factor} = 22% and R{sub free} = 26.6%. The model consists of two domains: an N-terminal {alpha}{beta}{alpha} sandwich and a C-terminal parallel {beta}-helix. ATP and glucose 1-phosphate were successfully modeled in the proposed active site, and site-directed mutagenesis of conserved glycines in this region (G20, G21, and G23) resulted in substantial loss of activity. The interface between the N- and the C-terminal domains harbors a strong sulfate-binding site, and kinetic studies revealed that sulfate is a competitive inhibitor for the allosteric activator fructose 6-phosphate. These results suggest that the interface between the N- and C-terminal domains binds the allosteric regulator, and fructose 6-phosphate was modeled into this region. The A. tumefaciens ADPGlc PPase/fructose 6-phosphate structural model along with sequence alignment analysis was used to design mutagenesis experiments to expand the activator specificity to include fructose 1,6-bisphosphate. The H379R and H379K enzymes were found to be activated by fructose 1,6-bisphosphate.

  13. Structure of bacteriophage [phi]29 head fibers has a supercoiled triple repeating helix-turn-helix motif

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Ye; Rossmann, Michael G. (Purdue)

    2011-12-22

    The tailed bacteriophage {phi}29 capsid is decorated with 55 fibers attached to quasi-3-fold symmetry positions. Each fiber is a homotrimer of gene product 8.5 (gp8.5) and consists of two major structural parts, a pseudohexagonal base and a protruding fibrous portion that is about 110 {angstrom} in length. The crystal structure of the C-terminal fibrous portion (residues 112-280) has been determined to a resolution of 1.6 {angstrom}. The structure is about 150 {angstrom} long and shows three distinct structural domains designated as head, neck, and stem. The stem region is a unique three-stranded helix-turn-helix supercoil that has not previously been described. When fitted into a cryoelectron microscope reconstruction of the virus, the head structure corresponded to a disconnected density at the distal end of the fiber and the neck structure was located in weak density connecting it to the fiber. Thin section studies of Bacillus subtilis cells infected with fibered or fiberless {phi}29 suggest that the fibers might enhance the attachment of the virions onto the host cell wall.

  14. Pump-probe study of atoms and small molecules with laser driven high order harmonics

    Science.gov (United States)

    Cao, Wei

    A commercially available modern laser can emit over 1015 photons within a time window of a few tens of femtoseconds (10-15second), which can be focused into a spot size of about 10 mum, resulting in a peak intensity above 1014W/cm2. This paves the way for table-top strong field physics studies such as above threshold ionization (ATI), non-sequential double ionization (NSDI), high order harmonic generation (HHG), etc.. Among these strong laser-matter interactions, high order harmonic generation, which combines many photons of the fundamental laser field into a single photon, offers a unique way to generate light sources in the vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) region. High order harmonic photons are emitted within a short time window from a few tens of femtoseconds down to a few hundreds of attoseconds (10 -18second). This highly coherent nature of HHG allows it to be synchronized with an infrared (IR) laser pulse, and the pump-probe technique can be adopted to study ultrafast dynamic processes in a quantum system. The major work of this thesis is to develop a table-top VUV(EUV) light source based on HHG, and use it to study dynamic processes in atoms and small molecules with the VUV(EUV)-pump IR-probe method. A Cold Target Recoil Ion Momentum Spectroscopy (COLTRIMS) apparatus is used for momentum imaging of the interaction products. Two types of high harmonic pump pulses are generated and applied for pump-probe studies. The first one consists of several harmonics forming a short attosecond pulse train (APT) in the EUV regime (around 40 eV). We demonstrate that, (1) the auto-ionization process triggered by the EUV in cation carbon-monoxide and oxygen molecules can be modified by scanning the EUV-IR delay, (2) the phase information of quantum trajectories in bifurcated high harmonics can be extracted by performing an EUV-IR cross-correlation experiment, thus disclosing the macroscopic quantum control in HHG. The second type of high harmonic source

  15. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  16. Extreme Ultraviolet Explorer Bright Source List

    Science.gov (United States)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  17. The Relation Between Magnetic Fields and X-ray Emission for Solar Microflares and Active Regions

    Science.gov (United States)

    Kirichenko, A. S.; Bogachev, S. A.

    2017-09-01

    We present the result of a comparison between magnetic field parameters and the intensity of X-ray emission for solar microflares with Geosynchronous Operational Environmental Satellites (GOES) classes from A0.02 to B5.1. For our study, we used the monochromatic MgXII Imaging Spectroheliometer (MISH), the Full-disk EUV Telescope (FET), and the Solar PHotometer in X-rays (SphinX) instruments onboard the Complex Orbital Observations Near-Earth of Activity of the Sun-Photon CORONAS- Photon spacecraft because of their high sensitivity in soft X-rays. The peak flare flux (PFF) for solar microflares was found to depend on the strength of the magnetic field and on the total unsigned magnetic flux as a power-law function. In the spectral range 2.8 - 36.6 Å, which shows very little increase related to microflares, the power-law index of the relation between the X-ray flux and magnetic flux for active regions is 1.48 ±0.86, which is close to the value obtained previously by Pevtsov et al. ( Astrophys. J. 598, 1387, 2003) for different types of solar and stellar objects. In the spectral range 1 - 8 Å, the power-law indices for PFF(B) and PFF(Φ) for microflares are 3.87 ±2.16 and 3 ±1.6, respectively. We also make suggestions on the heating mechanisms in active regions and microflares under the assumption of loops with constant pressure and heating using the Rosner-Tucker-Vaiana scaling laws.

  18. Influence of cloud fraction and snow cover to the variation of surface UV radiation at King Sejong station, Antarctica

    Science.gov (United States)

    Lee, Yun Gon; Koo, Ja-Ho; Kim, Jhoon

    2015-10-01

    This study investigated how cloud fraction and snow cover affect the variation of surface ultraviolet (UV) radiation by using surface Erythemal UV (EUV) and Near UV (NUV) observed at the King Sejong Station, Antarctica. First the Radiative Amplification Factor (RAF), the relative change of surface EUV according to the total-column ozone amount, is compared for different cloud fractions and solar zenith angles (SZAs). Generally, all cloudy conditions show that the increase of RAF as SZA becomes larger, showing the larger effects of vertical columnar ozone. For given SZA cases, the EUV transmission through mean cloud layer gradually decreases as cloud fraction increases, but sometimes the maximum of surface EUV appears under partly cloudy conditions. The high surface EUV transmittance under broken cloud conditions seems due to the re-radiation of scattered EUV by cloud particles. NUV transmission through mean cloud layer also decreases as cloud amount increases but the sensitivity to the cloud fraction is larger than EUV. Both EUV and NUV radiations at the surface are also enhanced by the snow cover, and their enhancement becomes higher as SZA increases implying the diurnal variation of surface albedo. This effect of snow cover seems large under the overcast sky because of the stronger interaction between snow surface and cloudy sky.

  19. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  20. Spectral Discrimination of Fine and Coarse Mode Aerosol Optical Depth from AERONET Direct Sun Data of Singapore and South-East Asia

    Science.gov (United States)

    Salinas Cortijo, S.; Chew, B.; Liew, S.

    2009-12-01

    Aerosol optical depth combined with the Angstrom exponent and its derivative, are often used as a qualitative indicator of aerosol particle size, with Angstrom exp. values greater than 2 indicating small (fine mode) particles associated with urban pollution and bio-mass burning. Around this region, forest fires are a regular occurrence during the dry season, specially near the large land masses of Sumatra and Borneo. The practice of clearing land by burning the primary and sometimes secondary forest, results in a smog-like haze covering large areas of regional cities such as cities Singapore, Kuala Lumpur and sometimes the south of Thailand, often reducing visibility and increasing health problems for the local population. In Singapore, the sources of aerosols are mostly from fossil fuel burning (energy stations, incinerators, urban transport etc.) and from the industrial and urban areas. The proximity to the sea adds a possible oceanic source. However, as stated above and depending on the time of the year, there can be a strong bio-mass component coming from forest fires from various regions of the neighboring countries. Bio-mass related aerosol particles are typically characterized by showing a large optical depth and small, sub-micron particle size distributions. In this work, we analyze three years of direct Sun measurements performed with a multi-channel Cimel Sun-Photometer (part of the AERONET network) located at our site. In order to identify bio-mass burning events in this region, we perform a spectral discrimination between coarse and fine mode optical depth; subsequently, the fine mode parameters such as optical depth, optical ratio and fine mode Angstrom exponents (and its derivative) are used to identify possible bio-mass related events within the data set.

  1. Radiation-induced gene responses

    International Nuclear Information System (INIS)

    Woloschak, G.E.; Paunesku, T.; Shearin-Jones, P.; Oryhon, J.

    1996-01-01

    In the process of identifying genes that are differentially regulated in cells exposed to ultraviolet radiation (UV), we identified a transcript that was repressed following the exposure of cells to a combination of UV and salicylate, a known inhibitor of NF-kappaB. Sequencing this band determined that it has identify to lactate dehydrogenase, and Northern blots confirmed the initial expression pattern. Analysis of the sequence of the LDH 5' region established the presence of NF-kappaB, Sp1, and two Ap-2 elements; two partial AP- 1; one partial RE, and two halves of E-UV elements were also found. Electromobility shift assays were then performed for the AP-1, NF- kappaB, and E-UV elements. These experiments revealed that binding to NF-kappaB was induced by UV but repressed with salicylic acid; UV did not affect AP-1 binding, but salicylic acid inhibited it alone or following UV exposure; and E-UV binding was repressed by UV, and salicylic acid had little effect. Since the binding of no single element correlated with the expression pattern of LDH, it is likely that multiple elements govern UV/salicylate-mediated expression

  2. Reconstruction and analysis of erythemal UV radiation time series from Hradec Králové (Czech Republic) over the past 50 years

    Science.gov (United States)

    Čížková, Klára; Láska, Kamil; Metelka, Ladislav; Staněk, Martin

    2018-02-01

    This paper evaluates the variability of erythemal ultraviolet (EUV) radiation from Hradec Králové (Czech Republic) in the period 1964-2013. The EUV radiation time series was reconstructed using a radiative transfer model and additional empirical relationships, with the final root mean square error of 9.9 %. The reconstructed time series documented the increase in EUV radiation doses in the 1980s and the 1990s (up to 15 % per decade), which was linked to the steep decline in total ozone (10 % per decade). The changes in cloud cover were the major factor affecting the EUV radiation doses especially in the 1960s, 1970s, and at the beginning of the new millennium. The mean annual EUV radiation doses in the decade 2004-2013 declined by 5 %. The factors affecting the EUV radiation doses differed also according to the chosen integration period (daily, monthly, and annually): solar zenith angle was the most important for daily doses, cloud cover, and surface UV albedo for their monthly means, and the annual means of EUV radiation doses were most influenced by total ozone column. The number of days with very high EUV radiation doses increased by 22 % per decade, the increase was statistically significant in all seasons except autumn. The occurrence of the days with very high EUV doses was influenced mostly by low total ozone column (82 % of days), clear-sky or partly cloudy conditions (74 % of days) and by increased surface albedo (19 % of days). The principal component analysis documented that the occurrence of days with very high EUV radiation doses was much affected by the positive phase of North Atlantic Oscillation with an Azores High promontory reaching over central Europe. In the stratosphere, a strong Arctic circumpolar vortex and the meridional inflow of ozone-poor air from the southwest were favorable for the occurrence of days with very high EUV radiation doses. This is the first analysis of the relationship between the high EUV radiation doses and macroscale

  3. Characteristics of EIT Dimmings in Solar Eruptions

    Science.gov (United States)

    Adams, Mitzi; Sterling, A. C.

    2006-01-01

    Intensity "dimmings" in coronal images are a key feature of solar eruptions. Such dimmings are likely the source locations for much of the material expelled in coronal mass ejections (CMEs). Characteristics such as the timing of the dimmings with respect to the onset of other eruption signatures, and the location of the dimmings in the context of the magnetic field environment of the erupting region, are indicative of the mechanism leading to the eruption. We examine dimmings of six eruptions in images from the EUV Imaging Telescope (EIT) on SOHO, along with supplementary soft X-ray (SXR) data from GOES and the SXR Telescope (SXT) on Yohkoh. We examine the timing of the dimming onset and compare with the time of EUV and SXR brightening and determine the timescale for the recovery from dimming for each event. With line-of-sight photospheric magnetograms from the MDI instrument on SOHO, we determine the magnetic structure of the erupting regions and the locations of the dimmings in those regions. From our analysis we consider which mechanism likely triggered each eruption: internal tether cutting, external tether cutting ("breakout"), loss of equilibrium, or some other mechanism.

  4. Anti-reflecting and passivating coatings for silicon solar cells on a basis of SO2 and TiO2 layers

    International Nuclear Information System (INIS)

    Taurbaev, T.I.; Nikulin, V.Eh.; Shorin, V.F.; Topanov, B.G.; Dikhanbaev, K.K.

    2002-01-01

    An analysis of influence of passivating layer on performance of anti-reflection coating of solar cells is carried out. The introduction of passivating SiO 2 layer between a frontal surface of the solar cell and TiO 2 +SiO 2 anti-reflection coating increase total reflection. If a thickness of a passivating layer no more than 20 Angstrom an increase of reflection does not exceed 0.5 %. However, for effective passivation the thickness of the passivating layer has to be within 100-1000 Angstrom region, thus the interference contribution of the passivating layer becomes essential and the AC is necessary to calculate as triple system SiO 2 -TiO 2 -SiO 2 . Such the three layers system ensuring average coefficient of reflection less of 3.5 % in a range 0.4-1.1 μm if the thickness of passivating SiO 2 layer no more 200 Angstrom. For solar cells with passivating SiO 2 layer thickness of 100 Angstrom and protective glass of non-interference thickness the single layer AC from TiO 2 allows to receive average value of reflection coefficient for a spectral range 0.4-1.1 μm no more than 9.5 %. The introduction of two additional layers SiO 2 and TiO 2 allows to reduce this value on 2.0-3.0 %. The comparison of calculation and experimental results is given. (author)

  5. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    Science.gov (United States)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  6. The Extreme Ultraviolet Flux of Very Low Mass Stars

    Science.gov (United States)

    Drake, Jeremy

    2017-09-01

    The X-ray and EUV emission of stars is vital for understanding the atmospheres and evolution of their planets. The coronae of dwarf stars later than M6 behave differently to those of earlier spectral types and are more X-ray dim and radio bright. Too faint to have been observed by EUVE, their EUV behavior is currently highly uncertain. We propose to observe a small sample of late M dwarfs using the off-axis HRC-S thin Al" filter that is sensitive to EUV emission in the 50-200 A range. The measured fluxes will be used to understand the amount of cooler coronal plasma present, and extend X-ray-EUV flux relations to the latest stellar types.

  7. ESTABLISHING A CONNECTION BETWEEN ACTIVE REGION OUTFLOWS AND THE SOLAR WIND: ABUNDANCE MEASUREMENTS WITH EIS/HINODE

    International Nuclear Information System (INIS)

    Brooks, David H.; Warren, Harry P.

    2011-01-01

    One of the most interesting discoveries from Hinode is the presence of persistent high-temperature high-speed outflows from the edges of active regions (ARs). EUV imaging spectrometer (EIS) measurements indicate that the outflows reach velocities of 50 km s -1 with spectral line asymmetries approaching 200 km s -1 . It has been suggested that these outflows may lie on open field lines that connect to the heliosphere, and that they could potentially be a significant source of the slow speed solar wind. A direct link has been difficult to establish, however. We use EIS measurements of spectral line intensities that are sensitive to changes in the relative abundance of Si and S as a result of the first ionization potential (FIP) effect, to measure the chemical composition in the outflow regions of AR 10978 over a 5 day period in 2007 December. We find that Si is always enhanced over S by a factor of 3-4. This is generally consistent with the enhancement factor of low FIP elements measured in situ in the slow solar wind by non-spectroscopic methods. Plasma with a slow wind-like composition was therefore flowing from the edge of the AR for at least 5 days. Furthermore, on December 10 and 11, when the outflow from the western side was favorably oriented in the Earth direction, the Si/S ratio was found to match the value measured a few days later by the Advanced Composition Explorer/Solar Wind Ion Composition Spectrometer. These results provide strong observational evidence for a direct connection between the solar wind, and the coronal plasma in the outflow regions.

  8. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  9. Evaluation of spectroscopic modeling for iron ions and study on non-equilibrium ionization phenomena for solar and LHD plasmas

    International Nuclear Information System (INIS)

    Watanabe, Tetsuya; Hara, Hirohisa; Yamamoto, Norimasa; Kato, Daiji; Sakaue, Hiroyuki A.; Murakami, Izumi

    2013-01-01

    Spectroscopic observations of EUV emission lines in the transition region (TR) and the corona provide unique information on physical conditions in the outer atmosphere of the Sun. The EUV Imaging Spectrometer (EIS) on board the Hinode satellite is capable of observing, for the first time in EUV, spectra and monochromatic images of plasmas in the solar TR and corona; these plasmas could possibly be in non-ionization-equilibrium conditions. EIS observes over two-wavelength bands of 170 - 210 Å and 250 - 290 Å, with typical time-resolutions of 1 - 10 seconds. Iron line emissions emerging from these wavelengths reveal that dynamic plasma accelerations and heating take place in the solar atmosphere. On the other hand, the tracer-encapsulated-pellet (TESPEL) experiments provide spectral information of EUV emission lines from iron ions produced in the Large Helical Device (LHD). Relatively cool plasmas with electron temperatures similar to those of the solar corona can be generated by controlling the neutral beam injector (NBI) system. A time-dependent collisional radiative (CR) model for elemental iron is developed as a common tool to diagnose temperatures and densities of those plasmas in the Sun and in LHD; no systematic model yet exists for iron ions in the L- and M-shell ionization stages, which are very important for coronal plasma diagnostics. Adopting the best available theoretical calculations, as well as generating the experimental data, we improve the atomic parameters of highly charged iron ions, and these results are used to extract more accurate diagnostic information out of the EIS spectra. (author)

  10. First light on EBL2

    NARCIS (Netherlands)

    Koster, N.B.; Sligte, E. te; Molkenboer, F.T.; Deutz, A.F.; Walle, P. van der; Muilwijk, P.M.; Mulckhuyse, W.F.W.; Oostdijck, B.W.; Hollemans, C.L.; Nijland, B.A.H.; Kerkhof, P.J.; Putten, M. van; Westerhout, J.

    2017-01-01

    TNO is building EBL2 as a publicly accessible test facility for EUV lithography related development of photomasks, pellicles, optics, and other components requiring EUV exposure. EBL2 consists of a EUV Beam Line, a XPS system, and sample handling infrastructure. Recently we finished installation of

  11. Multichannel euv spectroscopy of high temperature plasmas

    International Nuclear Information System (INIS)

    Fonck, R.J.

    1983-11-01

    Spectroscopy of magnetically confined high temperature plasmas in the visible through x-ray spectral ranges deals primarily with the study of impurity line radiation or continuum radiation. Detailed knowledge of absolute intensities, temporal behavior, and spatial distributions of the emitted radiation is desired. As tokamak facilities become more complex, larger, and less accessible, there has been an increased emphasis on developing new instrumentation to provide such information in a minimum number of discharges. The availability of spatially-imaging detectors for use in the vacuum ultraviolet region (especially the intensified photodiode array) has generated the development of a variety of multichannel spectrometers for applications on tokamak facilities

  12. Radiation from nitrogen molecule due to electron impact

    Energy Technology Data Exchange (ETDEWEB)

    Khare, S P; Kumar, A

    1977-01-01

    A review is presented of the experimental results of the collisional cross sections of the nitrogen molecules due to electron impact which give rise to radiations in the 3,000 Angstrom to 10,500 Angstron wavelength region. Calculations of the fluorescence efficiencies are described and are compared with experimental data. (GHT)

  13. The University of New Mexico/Sandia National Laboratories small-angle scattering laboratory

    International Nuclear Information System (INIS)

    Rieker, T.P.; Hubbard, P.F.

    1998-01-01

    The University of New Mexico/Sandia National Laboratories small-angle scattering laboratory provides a wide q-range, 3x10 -4 Angstrom -1 -1 , for the structural analysis of materials on length scales from a few angstrom to ∼0.1 μm. The wide q-range is accomplished by combining data from a Bonse-Hart spectrometer (3x10 -4 Angstrom -1 -2 Angstrom -1 ) and a 5 m pinhole (3x10 -3 Angstrom -1 -1 ) instrument. Automation of the data acquisition systems along with a variety of sample environments and sample changers yields flexible, high throughput instruments. copyright 1998 American Institute of Physics

  14. MUSE, the Multi-Slit Solar Explorer

    Science.gov (United States)

    Lemen, J. R.; Tarbell, T. D.; De Pontieu, B.; Wuelser, J. P.

    2017-12-01

    The Multi-Slit Solar Explorer (MUSE) has been selected for a Phase A study for the NASA Heliophysics Small Explorer program. The science objective of MUSE is to make high spatial and temporal resolution imaging and spectral observations of the solar corona and transition region in order to probe the mechanisms responsible for energy release in the corona and understand the dynamics of the solar atmosphere. The physical processes are responsible for heating the corona, accelerating the solar wind, and the rapid release of energy in CMEs and flares. The observations will be tightly coupled to state-of-the-art numerical modeling to provide significantly improved estimates for understanding and anticipating space weather. MUSE contains two instruments: an EUV spectrograph and an EUV context imager. Both have similar spatial resolutions and leverage extensive heritage from previous high-resolution instruments such as IRIS and the HiC rocket payload. The MUSE spectrograph employs a novel multi-slit design that enables a 100x improvement in spectral scanning rates, which will reveal crucial information about the dynamics (e.g., temperature, velocities) of the physical processes that are not observable with current instruments. The MUSE investigation builds on the success of IRIS by combining numerical modeling with a uniquely capable observatory: MUSE will obtain EUV spectra and images with the highest resolution in space (1/3 arcsec) and time (1-4 s) ever achieved for the transition region and corona, along 35 slits and a large context FOV simultaneously. The MUSE consortium includes LMSAL, SAO, Stanford, ARC, HAO, GSFC, MSFC, MSU, and ITA Oslo.

  15. The EIS beamline at the seeded free-electron laser FERMI

    Science.gov (United States)

    Simoncig, A.; Mincigrucci, R.; Principi, E.; Bencivenga, F.; Calvi, A.; Foglia, L.; Kurdi, G.; Raimondi, L.; Manfredda, M.; Mahne, N.; Gobessi, R.; Gerusina, S.; Fava, C.; Zangrando, M.; Matruglio, A.; Dal Zilio, S.; Masciotti, V.; Masciovecchio, C.

    2017-05-01

    Among the fourth-generation light sources, the Italian free-electron laser (FEL) FERMI is the only one operating in the high-gain harmonic generation (HGHG) seeding mode. FERMI delivers pulses characterized by a quasi transform limited temporal structure, photon energies lying in the extreme ultra-violet (EUV) region, supreme transversal and longitudinal coherences, high peak brilliance, and full control of the polarization. Such state of the art performances recently opened the doors to a new class of time-resolved spectroscopies, difficult or even impossible to be performed using self-amplified spontaneous sources (SASE) light sources. FERMI is currently equipped with three operating beamlines opened to external users (DiProI, LDM and EIS), while two more are under commissioning (MagneDYN and TeraFERMI). Here, we present the recent highlights of the EIS (Elastic and Inelastic Scattering) beamline, which has been purposely designed to take full advantage from the coherence, the intensity, the harmonics content, and the temporal duration of the pulses. EIS is a flexible experimental facility for time-resolved EUV scattering experiments on condensed matter systems, consisting of two independent end-stations. The first one (EIS-TIMEX) aims to study materials in metastable and warm dense matter (WDM) conditions, while the second end-station (EIS-TIMER) is fully oriented to the extension of four-wave mixing (FWM) spectroscopies towards the EUV spectral regions, trying to reveal the behavior of matter in portions of the mesoscopic regime of exchanged momentum impossible to be probed using conventional light sources.

  16. MUSE: the Multi-Slit Solar Explorer

    Science.gov (United States)

    Tarbell, Theodore D.; De Pontieu, Bart

    2017-08-01

    The Multi-Slit Solar Explorer is a proposed Small Explorer mission for studying the dynamics of the corona and transition region using both conventional and novel spectral imaging techniques. The physical processes that heat the multi-million degree solar corona, accelerate the solar wind and drive solar activity (CMEs and flares) remain poorly known. A breakthrough in these areas can only come from radically innovative instrumentation and state-of-the-art numerical modeling and will lead to better understanding of space weather origins. MUSE’s multi-slit coronal spectroscopy will use a 100x improvement in spectral raster cadence to fill a crucial gap in our knowledge of Sun-Earth connections; it will reveal temperatures, velocities and non-thermal processes over a wide temperature range to diagnose physical processes that remain invisible to current or planned instruments. MUSE will contain two instruments: an EUV spectrograph (SG) and EUV context imager (CI). Both have similar spatial resolution and leverage extensive heritage from previous high-resolution instruments such as IRIS and the HiC rocket payload. The MUSE investigation will build on the success of IRIS by combining numerical modeling with a uniquely capable observatory: MUSE will obtain EUV spectra and images with the highest resolution in space (1/3 arcsec) and time (1-4 s) ever achieved for the transition region and corona, along 35 slits and a large context FOV simultaneously. The MUSE consortium includes LMSAL, SAO, Stanford, ARC, HAO, GSFC, MSFC, MSU, ITA Oslo and other institutions.

  17. Comparison of Two Coronal Magnetic Field Models to Reconstruct a Sigmoidal Solar Active Region with Coronal Loops

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Aiying; Zhang, Huai [Key Laboratory of Computational Geodynamics, University of Chinese Academy of Sciences, Beijing 100049 (China); Jiang, Chaowei [Institute of Space Science and Applied Technology, Harbin Institute of Technology, Shenzhen, 518055 (China); Hu, Qiang; Gary, G. Allen; Wu, S. T. [Center for Space Plasma and Aeronomic Research, The University of Alabama in Huntsville, Huntsville, AL 35899 (United States); Cao, Jinbin, E-mail: duanaiying@ucas.ac.cn, E-mail: hzhang@ucas.ac.cn, E-mail: chaowei@hit.edu.cn [School of Space and Environment, Beihang University, Beijing 100191 (China)

    2017-06-20

    Magnetic field extrapolation is an important tool to study the three-dimensional (3D) solar coronal magnetic field, which is difficult to directly measure. Various analytic models and numerical codes exist, but their results often drastically differ. Thus, a critical comparison of the modeled magnetic field lines with the observed coronal loops is strongly required to establish the credibility of the model. Here we compare two different non-potential extrapolation codes, a nonlinear force-free field code (CESE–MHD–NLFFF) and a non-force-free field (NFFF) code, in modeling a solar active region (AR) that has a sigmoidal configuration just before a major flare erupted from the region. A 2D coronal-loop tracing and fitting method is employed to study the 3D misalignment angles between the extrapolated magnetic field lines and the EUV loops as imaged by SDO /AIA. It is found that the CESE–MHD–NLFFF code with preprocessed magnetogram performs the best, outputting a field that matches the coronal loops in the AR core imaged in AIA 94 Å with a misalignment angle of ∼10°. This suggests that the CESE–MHD–NLFFF code, even without using the information of the coronal loops in constraining the magnetic field, performs as good as some coronal-loop forward-fitting models. For the loops as imaged by AIA 171 Å in the outskirts of the AR, all the codes including the potential field give comparable results of the mean misalignment angle (∼30°). Thus, further improvement of the codes is needed for a better reconstruction of the long loops enveloping the core region.

  18. DK UMa: A Star on the Ascent

    Science.gov (United States)

    Simon, Theodore

    1999-01-01

    DK UMa (= 24 UMa = HD 82210) is a G4 IV-III star. According to its M(sub v) and B - V color, it is located at the base of the red giant branch, having recently exited from the Hertzsprung Gap. Now poised to start its first ascent along the giant branch, DK UMa is at a significant juncture in its post-main-sequence evolution, offering an important evolutionary comparison for magnetic activity with stars like 31 Comae, which is just entering the Hertzsprung Gap, and older stars like the Hyades giants or P Ceti, which have passed the tip of the giant branch and lie in the so-called 'clump'. As part of a major survey of the ultraviolet and X ray properties of a well-defined sample of evolved giant stars, DK UMa was observed with the Extreme Ultraviolet Explorer (EUVE) spacecraft in March 1997, for a total exposure time of 230 kiloseconds. A plot of the extracted short-wavelength (SW) spectrum of this star is shown, where it is compared with similar EUVE exposures for other yellow and red giant stars in the activity survey. In terms of the spectral lines of different ionization stages present in these spectra, the transition region and coronal temperature of DK UMa appears to be intermediate between those of 31 Com and P Ceti. Combining the relative strengths of the EUVE lines with Hubble Space Telescope (HST) data at near UV wavelengths and with ROSAT X-ray fluxes, the differential emission measure (DEM) distributions of these stars form a sequence in coronal temperature, which peaks at 10(exp 7.2) K for 31 Com, at 10(exp 6.8) K for B Ceti, and at intermediate temperatures for DK UMa - consistent with the evolutionary stages represented by the three stars. The integrated fluxes of the strongest emission lines found in the EUVE spectrum of DK UMa are listed, again compared with similar measurements for other giant stars that were observed in the course of other EUVE Guest Observer programs.

  19. XAFS spectroscopic study of uranyl coordination in solids and aqueous solution

    International Nuclear Information System (INIS)

    Thompson, H.A.; Brown, G.E. Jr.; Parks, G.A.

    1997-01-01

    To evaluate the ability of X-ray absorption fine structure (XAFS) spectroscopy to elucidate the coordination environment of U 6+ at the solid-water interface, we conducted an in-depth analysis of experimental XAFS data from U 6+ solid and solution model compounds. Using the ab initio XAFS code FEFF6, we calculated phase-shift and amplitude functions for fitting experimental data. The code FEFF6 does a good job of reproducing experimental data and is particularly valuable for providing phase-shift and amplitude functions for neighboring atoms whose spectral contributions are difficult to isolate from experimental data because of overlap of Fourier transform features. In solid-phase model compounds at ambient temperature, we were able to fit spectral contributions from axial O (1.8 Angstrom), equatorial O (2.2-2.5 Angstrom), N (2.9 Angstrom), C (2.9 Angstrom), Si (3.2 Angstrom), P (3.6 Angstrom), distant 0 (4.3 Angstrom), and U (4.0, 4.3, 4.9, and 5.2 Angstrom) atoms. Contributions from N, C, Si, P, distant O, and distant U (4.9 and 5.2 Angstrom) are weak and therefore might go undetected in a sample of unknown composition. Lowering the temperature to 10 K extends detection of U neighbors to 7.0 Angstrom. The ability to detect these atoms suggests that XAFS might be capable of discerning inner-sphere U sorption at solid aluminosilicate-water interfaces. XAFS should definitely detect multinuclear U complexes and precipitates. Multiple-scattering paths are minor contributors to uranyl XAFS beyond k = 3 Angstrom -1 . Allowing shell-dependent disorder parameters (σ 2 ) to vary, we observed narrow ranges of σ 2 values for similar shells of neighboring atoms. Knowledge of these ranges is necessary to constrain the fit of XAFS spectra for unknowns. Finally, we found that structures reported in the literature for uranyl diacetate and rutherfordine are not completely correct. 50 refs., 6 figs., 2 tabs

  20. Modeling 13.3nm Fe XXIII Flare Emissions Using the GOES-R EXIS Instrument

    Science.gov (United States)

    Rook, H.; Thiemann, E.

    2017-12-01

    The solar EUV spectrum is dominated by atomic transitions in ionized atoms in the solar atmosphere. As solar flares evolve, plasma temperatures and densities change, influencing abundances of various ions, changing intensities of different EUV wavelengths observed from the sun. Quantifying solar flare spectral irradiance is important for constraining models of Earth's atmosphere, improving communications quality, and controlling satellite navigation. However, high time cadence measurements of flare irradiance across the entire EUV spectrum were not available prior to the launch of SDO. The EVE MEGS-A instrument aboard SDO collected 0.1nm EUV spectrum data from 2010 until 2014, when the instrument failed. No current or future instrument is capable of similar high resolution and time cadence EUV observation. This necessitates a full EUV spectrum model to study EUV phenomena at Earth. It has been recently demonstrated that one hot flare EUV line, such as the 13.3nm Fe XXIII line, can be used to model cooler flare EUV line emissions, filling the role of MEGS-A. Since unblended measurements of Fe XXIII are typically unavailable, a proxy for the Fe XXIII line must be found. In this study, we construct two models of this line, first using the GOES 0.1-0.8nm soft x-ray (SXR) channel as the Fe XXIII proxy, and second using a physics-based model dependent on GOES emission measure and temperature data. We determine that the more sophisticated physics-based model shows better agreement with Fe XXIII measurements, although the simple proxy model also performs well. We also conclude that the high correlation between Fe XXIII emissions and the GOES 0.1-0.8nm band is because both emissions tend to peak near the GOES emission measure peak despite large differences in their contribution functions.