Epitaxial growth of silicon for layer transfer
Teplin, Charles; Branz, Howard M
2015-03-24
Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.
Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang
2017-01-03
Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.
Interface relaxation and band gap shift in epitaxial layers
Directory of Open Access Journals (Sweden)
Ziming Zhu
2012-12-01
Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.
International Nuclear Information System (INIS)
Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.
2013-01-01
Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.
Characterization of GaN/AlGaN epitaxial layers grown
Indian Academy of Sciences (India)
GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...
Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)
CSIR Research Space (South Africa)
Venkatasamy, V
2006-04-01
Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...
Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon
International Nuclear Information System (INIS)
Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming
2015-01-01
A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)
Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method
International Nuclear Information System (INIS)
Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.
2004-01-01
Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0
Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy
Energy Technology Data Exchange (ETDEWEB)
Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))
1991-10-01
The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.
Interaction of GaN epitaxial layers with atomic hydrogen
Energy Technology Data Exchange (ETDEWEB)
Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S
2004-08-15
GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.
Interaction of GaN epitaxial layers with atomic hydrogen
International Nuclear Information System (INIS)
Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.
2004-01-01
GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states
Layered growth model and epitaxial growth structures for SiCAlN alloys
International Nuclear Information System (INIS)
Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong
2009-01-01
Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.
Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong
2016-01-01
Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...
Energy Technology Data Exchange (ETDEWEB)
Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei
2014-09-30
Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.
Large-area, laterally-grown epitaxial semiconductor layers
Han, Jung; Song, Jie; Chen, Danti
2017-07-18
Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.
Growth and properties of epitaxial iron oxide layers
Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M
1996-01-01
Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam
Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy
Directory of Open Access Journals (Sweden)
Takeo Ohno and Yutaka Oyama
2012-01-01
Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.
Process for forming epitaxial perovskite thin film layers using halide precursors
Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.
2001-01-01
A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.
Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy
International Nuclear Information System (INIS)
Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin
2012-01-01
ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.
Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy
International Nuclear Information System (INIS)
Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel
2012-01-01
We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.
GaN epitaxial layers grown on multilayer graphene by MOCVD
Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe
2018-04-01
In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.
Selenium implantation in epitaxial gallium arsenide layers
International Nuclear Information System (INIS)
Inada, T.; Tokunaga, K.; Taka, S.; Yuge, Y.; Kohzu, H.
1981-01-01
Selenium implantation at room temperature in S-doped epitaxial GaAs layers as a means of the formation of n + layers has been investigated. Doping profiles for Se-implanted layers have been examined by a C-V technique and/or a differential Hall effect method. It has been shown that n + layers with a maximum carrier concentration of approx. equal to1.5 x 10 18 cm -3 can be formed by implantation followed by a 15 min annealing at 950 0 C. Contact resistance of ohmic electrodes is reduced by use of the Se-implanted n + layers, resulting in the improvement on GaAs FET performance. Measured minimum noise figure of the Se-implanted GaAs FETs is 0.74 dB at 4 GHz. (orig.)
Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)
2012-07-31
We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.
Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.
Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei
2018-04-27
The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.
Precision calibration of the silicon doping level in gallium arsenide epitaxial layers
Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.
2017-10-01
An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.
Study on structural properties of epitaxial silicon films on annealed double layer porous silicon
International Nuclear Information System (INIS)
Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin
2012-01-01
In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.
Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers
International Nuclear Information System (INIS)
Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng
2015-01-01
Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG
Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure
Energy Technology Data Exchange (ETDEWEB)
Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)
2016-10-30
Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.
Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers
International Nuclear Information System (INIS)
Jana, Dipankar; Porwal, S.; Sharma, T. K.; Oak, S. M.; Kumar, Shailendra
2014-01-01
Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates
Energy Technology Data Exchange (ETDEWEB)
Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki
2011-09-30
Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.
Growth of high purity semiconductor epitaxial layers by liquid phase ...
Indian Academy of Sciences (India)
Unknown
semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.
Energy Technology Data Exchange (ETDEWEB)
Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)
2015-01-01
Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.
Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN
International Nuclear Information System (INIS)
Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.
2000-01-01
We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)
Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon
Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.
1987-01-01
GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.
Characterization of GaN/AlGaN epitaxial layers grown by ...
Indian Academy of Sciences (India)
GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.
Seed layer technique for high quality epitaxial manganite films
Directory of Open Access Journals (Sweden)
P. Graziosi
2016-08-01
Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.
Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors
International Nuclear Information System (INIS)
Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.
1997-12-01
Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon
International Nuclear Information System (INIS)
Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.
1984-01-01
Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)
Energy Technology Data Exchange (ETDEWEB)
Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)
2015-01-15
Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.
International Nuclear Information System (INIS)
Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.
2008-01-01
The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.
Improved radiation tolerance of MAPS using a depleted epitaxial layer
Energy Technology Data Exchange (ETDEWEB)
Dorokhov, A., E-mail: Andrei.Dorokhov@IReS.in2p3.f [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Deveaux, M. [Goethe-Universitaet Frankfurt am Main, Senckenberganlage 31, 60325 Frankfurt am Main (Germany); Doziere, G.; Dulinski, W. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Fontaine, J.-C. [Groupe de Recherche en Physique des Hautes Energies (GRPHE), Universite de Haute Alsace, 61, rue Albert Camus, 68093 Mulhouse (France); Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France)
2010-12-11
Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10{mu}m pitch device was found to be {approx}10{sup 13}n{sub eq}/cm{sup 2}, while it was only 2x10{sup 12}n{sub eq}/cm{sup 2} for a 20{mu}m pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10{sup 14}) n{sub eq}/cm{sup 2}. This goal relies on a fabrication process featuring a 15{mu}m thin, high resistivity ({approx}1k{Omega}cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages (<5V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of {approx}50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered (3x10{sup 13}n{sub eq}/cm{sup 2}), making evidence of a significant extension of the radiation tolerance limits of MAPS.
Equilibrium stability of strained epitaxial layers on a rigid substrate
International Nuclear Information System (INIS)
Granato, E.; Kosterlitz, J.M.; Ying, S.C.
1987-07-01
A simple theory of the equilibrium stability of an strained epitaxial layer on a rigid substrate is presented. We generalise the Frankvan der Merwe model of a single layer and consider N layers of adsorbate on a substrate. Continuum elasticity theory is used to describe each layer, but the coupling between layers is treated ina discrete fashion. Our method interpolates between a few layers and the thick film limit of standard dislocation theory, and in this limit the standard results are obtained. In addition, we developed a variational approach which agrees well with our exact calculations. The advantage of our method over previous ores is that it allows to perform stability analyses of arbitrary superlattice configurations. (author) [pt
International Nuclear Information System (INIS)
Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.
1998-01-01
The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)
DEFF Research Database (Denmark)
Tavares, Luciana; Cadelano, Michele; Quochi, Francesco
2015-01-01
Multi-layered epitaxial nanofibers are exemplary model systems for the study of exciton dynamics and lasing in organic materials due to their well-defined morphology, high luminescence efficiencies, and color tunability. We resort to temperature-dependent cw and picosecond photoluminescence (PL......) spectroscopy to quantify exciton diffusion and resonance-energy transfer (RET) processes in multi-layered nanofibers consisting of alternating layers of para-hexaphenyl (p6P) and α-sexithiophene (6T), serving as exciton donor and acceptor material, respectively. The high probability for RET processes...... is confirmed by Quantum Chemical calculations. The activation energy for exciton diffusion in p6P is determined to be as low as 19 meV, proving p6P epitaxial layers also as a very suitable donor material system. The small activation energy for exciton diffusion of the p6P donor material, the inferred high p6P...
Silicon epitaxy on textured double layer porous silicon by LPCVD
International Nuclear Information System (INIS)
Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang
2010-01-01
Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.
Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers
Chang, Y. Austin; Yang, Jianhua Joshua
2008-11-11
This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.
Design of Strain-Compensated Epitaxial Layers Using an Electrical Circuit Model
Kujofsa, Tedi; Ayers, John E.
2017-12-01
The design of heterostructures that exhibit desired strain characteristics is critical for the realization of semiconductor devices with improved performance and reliability. The control of strain and dislocation dynamics requires an understanding of the relaxation processes associated with mismatched epitaxy, and the starting point for this analysis is the equilibrium strain profile, because the difference between the actual strain and the equilibrium value determines the driving force for dislocation glide and relaxation. Previously, we developed an electrical circuit model approach for the equilibrium analysis of semiconductor heterostructures, in which an epitaxial layer may be represented by a stack of subcircuits, each of which involves an independent current source, a resistor, an independent voltage source, and an ideal diode. In this work, we have applied the electrical circuit model to study the strain compensation mechanism and show that, for a given compositionally uniform device layer with fixed mismatch and layer thickness, a buffer layer may be designed (in terms of thickness and mismatch) to tailor the strain in the device layer. A special case is that in which the device layer will exhibit zero residual strain in equilibrium (complete strain compensation). In addition, the application of the electrical circuit analogy enables the determination of exact expressions for the residual strain characteristics of both the buffer and device layers in the general case where the device layer may exhibit partial strain compensation. On the basis of this framework, it is possible to develop design equations for the tailoring of the strain in a device layer grown on a uniform composition buffer.
Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers
Energy Technology Data Exchange (ETDEWEB)
Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics
1996-12-31
Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.
Energy Technology Data Exchange (ETDEWEB)
Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan)
2012-03-15
Co/Pd epitaxial multilayer films were prepared on Pd(111){sub fcc} underlayers hetero-epitaxially grown on MgO(111){sub B1} single-crystal substrates at room temperature by ultra-high vacuum RF magnetron sputtering. In-situ reflection high energy electron diffraction shows that the in-plane lattice spacing of Co on Pd layer gradually decreases with increasing the Co layer thickness, whereas that of Pd on Co layer remains unchanged during the Pd layer formation. The CoPd alloy phase formation is observed around the Co/Pd interface. The atomic mixing is enhanced for thinner Co and Pd layers in multilayer structure. With decreasing the Co and the Pd layer thicknesses and increasing the repetition number of Co/Pd multilayer film, stronger perpendicular magnetic anisotropy is observed. The relationships between the film structure and the magnetic properties are discussed. - Highlights: Black-Right-Pointing-Pointer Epitaxial Co/Pd multilayer films are prepared on Pd(111){sub fcc} underlayers. Black-Right-Pointing-Pointer Lattice strain in Co layer and CoPd-alloy formation are noted around the interface. Black-Right-Pointing-Pointer Magnetic property dependence on layer thickness is reported.
Microhardness of epitaxial layers of GaAs doped with rare earths
International Nuclear Information System (INIS)
Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.
1989-01-01
Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers
Substrate-induced magnetism in epitaxial graphene buffer layers.
Ramasubramaniam, A; Medhekar, N V; Shenoy, V B
2009-07-08
Magnetism in graphene is of fundamental as well as technological interest, with potential applications in molecular magnets and spintronic devices. While defects and/or adsorbates in freestanding graphene nanoribbons and graphene sheets have been shown to cause itinerant magnetism, controlling the density and distribution of defects and adsorbates is in general difficult. We show from first principles calculations that graphene buffer layers on SiC(0001) can also show intrinsic magnetism. The formation of graphene-substrate chemical bonds disrupts the graphene pi-bonds and causes localization of graphene states near the Fermi level. Exchange interactions between these states lead to itinerant magnetism in the graphene buffer layer. We demonstrate the occurrence of magnetism in graphene buffer layers on both bulk-terminated as well as more realistic adatom-terminated SiC(0001) surfaces. Our calculations show that adatom density has a profound effect on the spin distribution in the graphene buffer layer, thereby providing a means of engineering magnetism in epitaxial graphene.
Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.
2015-10-01
A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.
Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer
Directory of Open Access Journals (Sweden)
Atsushi Sugihara
2015-06-01
Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.
de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard
2007-07-01
Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.
The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy
DEFF Research Database (Denmark)
Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas
Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...
Optical and electrical properties of Te doped AlGaAsSb/AlAsSb Bragg mirrors on InP
Toginho Filho, D. O.; Dias, I. F. L.; Duarte, J. L.; Laureto, E.
2006-01-01
We present a comparative study carried out on the optical and electrical characteristics of undoped and Te doped AlGaAsSb/AlAsSb Bragg mirrors with 6.5 pairs of layers and bulk undoped and Te doped AlGaAsSb epilayers alloys lattice matched on InP, grown by molecular beam epitaxy, using SIMS, photoluminescence, reflectivity and IxV techniques. The temperature dependence of PL transitions observed in the Bragg mirrors are similar to that observed in bulk samples and associated with the donor an...
Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials
Kim, Wun-gwi
2012-11-27
The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.
Increasing the radiation resistance of single-crystal silicon epitaxial layers
Directory of Open Access Journals (Sweden)
Kurmashev Sh. D.
2014-12-01
Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..
Improved radiation tolerance of MAPS using a depleted epitaxial layer
International Nuclear Information System (INIS)
Dorokhov, A.; Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R.; Deveaux, M.; Doziere, G.; Dulinski, W.; Fontaine, J.-C.; Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I.
2010-01-01
Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10μm pitch device was found to be ∼10 13 n eq /cm 2 , while it was only 2x10 12 n eq /cm 2 for a 20μm pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10 14 ) n eq /cm 2 . This goal relies on a fabrication process featuring a 15μm thin, high resistivity (∼1kΩcm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages ( 13 n eq /cm 2 ), making evidence of a significant extension of the radiation tolerance limits of MAPS.
SiC epitaxial layer growth in a novel multi-wafer VPE reactor
Energy Technology Data Exchange (ETDEWEB)
Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)
1998-06-01
Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.
Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer
International Nuclear Information System (INIS)
Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo
2010-01-01
Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.
George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.
2003-01-01
We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The
International Nuclear Information System (INIS)
Pal, Debdas; Hoag, David; Barter, Margaret
2012-01-01
Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)
International Nuclear Information System (INIS)
Fritz, I.J.
1987-01-01
Experimental measurements of critical layer thicknesses (CLT's) in strained-layer epitaxy are considered. Finite experimental resolution can have a major effect on measured CLT's and can easily lead to spurious results. The theoretical approach to critical layer thicknesses of J. W. Matthews [J. Vac. Sci. Technol. 12, 126 (1975)] has been modified in a straightforward way to predict the apparent critical thickness for an experiment with finite resolution in lattice parameter. The theory has also been modified to account for the general empirical result that fewer misfit dislocations are generated than predicted by equilibrium calculation. The resulting expression is fit to recent x-ray diffraction data on InGaAs/GaAs and SiGe/Si. The results suggest that CLT's in these systems may not be significantly larger than predicted by equilibrium theory, in agreement with high-resolution measurements
Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki
2018-01-01
We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.
International Nuclear Information System (INIS)
Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung
2015-01-01
In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements
Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition
Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao
2012-01-01
Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations
Few layer epitaxial germanene: a novel two-dimensional Dirac material
María Eugenia Dávila; Guy Le Lay
2016-01-01
Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established o...
Optical characterization of epitaxial semiconductor layers
Richter, Wolfgang
1996-01-01
The last decade has witnessed an explosive development in the growth of expitaxial layers and structures with atomic-scale dimensions. This progress has created new demands for the characterization of those stuctures. Various methods have been refined and new ones developed with the main emphasis on non-destructive in-situ characterization. Among those, methods which rely on the interaction of electromagnetic radiation with matter are particularly valuable. In this book standard methods such as far-infrared spectroscopy, ellipsometry, Raman scattering, and high-resolution X-ray diffraction are presented, as well as new advanced techniques which provide the potential for better in-situ characterization of epitaxial structures (such as reflection anistropy spectroscopy, infrared reflection-absorption spectroscopy, second-harmonic generation, and others). This volume is intended for researchers working at universities or in industry, as well as for graduate students who are interested in the characterization of ...
The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs
Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.
1999-01-01
In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.
Epitaxial growth of Co(0 0 0 1)hcp/Fe(1 1 0)bcc magnetic bi-layer films on SrTiO3(1 1 1) substrates
International Nuclear Information System (INIS)
Ohtake, Mitsuru; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki
2008-01-01
Co(0 0 0 1) hcp /Fe(1 1 0) bcc epitaxial magnetic bi-layer films were successfully prepared on SrTiO 3 (1 1 1) substrates. The crystallographic properties of Co/Fe epitaxial magnetic bi-layer films were investigated. Fe(1 1 0) bcc soft magnetic layer grew epitaxially on SrTiO 3 (1 1 1) substrate with two type variants, Nishiyama-Wasserman and Kurdjumov-Sachs relationships. An hcp-Co single-crystal layer is obtained on Ru(0 0 0 1) hcp interlayer, while hcp-Co layer formed on Au(1 1 1) fcc or Ag(1 1 1) fcc interlayer is strained and may involve fcc-Co phase. It has been shown possible to prepare Co/Fe epitaxial magnetic bi-layer films which can be usable for patterned media application
Energy Technology Data Exchange (ETDEWEB)
Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))
1993-01-30
Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).
Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer
International Nuclear Information System (INIS)
Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G
2006-01-01
Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed
Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers
Directory of Open Access Journals (Sweden)
Rui Sun
2016-06-01
Full Text Available We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100 substrates with a TiN buffer layer. A 50-nm-thick (200-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large IcRN product of 3.8 mV, a sharp quasiparticle current rise with a ΔVg of 0.4 mV, and a small subgap leakage current. The junction quality factor Rsg/RN was about 23 for the junction with a Jc of 47 A/cm2 and was about 6 for the junction with a Jc of 3.0 kA/cm2. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200-orientated TiN buffer layer and had a highly crystalline structure with the (200 orientation.
Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers
Energy Technology Data Exchange (ETDEWEB)
Sun, Rui [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Makise, Kazumasa; Terai, Hirotaka [Advanced ICT Research Institute, National Institute of Information and Communications Technology (Japan); Zhang, Lu [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); Wang, Zhen, E-mail: zwang@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Shanghai Tech University, Shanghai 201210 (China)
2016-06-15
We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{sup 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.
Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer
Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.
2013-01-01
Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm
Vibrational properties of epitaxial silicene layers on (1 1 1) Ag
International Nuclear Information System (INIS)
Scalise, E.; Cinquanta, E.; Houssa, M.; Broek, B. van den; Chiappe, D.; Grazianetti, C.; Pourtois, G.; Ealet, B.; Molle, A.; Fanciulli, M.; Afanas’ev, V.V.; Stesmans, A.
2014-01-01
The electronic and vibrational properties of three different reconstructions of silicene on Ag(1 1 1) are calculated and compared to experimental results. The 2D epitaxial silicon layers, namely the (4 × 4), (√13 × √13) and (2√3 × 2√3) phases, exhibit different electronic and vibrational properties. Few peaks in the experimental Raman spectrum are identified and attributed to the vibrational modes of the silicene layers. The position and behavior of the Raman peaks with respect to the excitation energy are shown to be a fundamental tool to investigate and discern different phases of silicene on Ag(1 1 1).
Vibrational properties of epitaxial silicene layers on (1 1 1) Ag
Energy Technology Data Exchange (ETDEWEB)
Scalise, E., E-mail: emilio.scalise@fys.kuleuven.be [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); Cinquanta, E. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Houssa, M.; Broek, B. van den [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); Chiappe, D. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Grazianetti, C. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Aix-Marseille University, CNRS-CINaM, Campus de Luminy, Case 913, 13288 Marseille Cedex 09 (France); Pourtois, G. [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium); Department of Chemistry, Plasmant Research Group, University of Antwerp, B-2610 Wilrijk-Antwerp (Belgium); Ealet, B. [Aix-Marseille University, CNRS-CINaM, Campus de Luminy, Case 913, 13288 Marseille Cedex 09 (France); Molle, A. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, via C. Olivetti 2, I-20864 Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via R. Cozzi 53, I-20126 Milano (MI) (Italy); Afanas’ev, V.V.; Stesmans, A. [Semiconductor Physics Laboratory, Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium)
2014-02-01
The electronic and vibrational properties of three different reconstructions of silicene on Ag(1 1 1) are calculated and compared to experimental results. The 2D epitaxial silicon layers, namely the (4 × 4), (√13 × √13) and (2√3 × 2√3) phases, exhibit different electronic and vibrational properties. Few peaks in the experimental Raman spectrum are identified and attributed to the vibrational modes of the silicene layers. The position and behavior of the Raman peaks with respect to the excitation energy are shown to be a fundamental tool to investigate and discern different phases of silicene on Ag(1 1 1).
Energy Technology Data Exchange (ETDEWEB)
Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.
2015-08-31
We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.
International Nuclear Information System (INIS)
Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.
2015-01-01
We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures
International Nuclear Information System (INIS)
Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.
1993-01-01
In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane
Energy Technology Data Exchange (ETDEWEB)
Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)
2016-03-01
The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.
International Nuclear Information System (INIS)
Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.
2002-01-01
Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude
Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system
International Nuclear Information System (INIS)
Zytkiewicz, Z.R.
1998-01-01
Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)
Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)
CSIR Research Space (South Africa)
Venkatasamy, V
2006-06-01
Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...
Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy
Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi
2018-05-01
The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.
Direct Current Sputter Epitaxy of Heavily Doped p+ Layer for Monocrystalline Si Solar Cells
Directory of Open Access Journals (Sweden)
Wenchang Yeh
2017-01-01
Full Text Available Sputter epitaxy of p+ layer for fabrication of Si solar cells (SCs was demonstrated. Hall carrier concentration of p+ layer was 2.6 × 1020 cm−3 owing to cosputtering of B with Si at low temperature, which had enabled heavy and shallow p+ dope layer. p+nn+ SCs were fabricated and influence of p+ and n+ layers was investigated. Internal quantum efficiency (IQE of p+nn+ SCs was 95% at visible light and was larger than 60% at ultraviolet (UV light when the p+ layer was thinner than 30 nm. At near infrared (NIR, extra increment on IQE was achieved by rear n+ back surface field (BSF layer with a thickness thinner than 100 nm.
Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion
Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.
2016-07-12
A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.
Chemical gating of epitaxial graphene through ultrathin oxide layers.
Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano
2015-08-07
We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.
CBE growth of high-quality ZnO epitaxial layers
Energy Technology Data Exchange (ETDEWEB)
El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)
2006-03-15
Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers
International Nuclear Information System (INIS)
Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu
2004-01-01
The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection
Lattice defects in LPE InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates
International Nuclear Information System (INIS)
Ishida, K.; Matsumoto, Y.; Taguchi, K.
1982-01-01
Lattice defects generated during LPE growth of InP-InGaAsP-InGaAs structure epitaxial layers on InP substrates are studied. Two different kinds of dislocations are observed at the two interfaces of the epitaxial layers; at the InP-InGaAsP interface, misfit dislocations are generated in the InP layer by carry over of InGaAsP melt into the InP one and at the InGaAs-InP interface, V-shaped dislocations are generated in the InGaAs layer. It is shown that the critical amount of lattice mismatch to suppress generation of misfit dislocations in InP is about two times smaller than that of other III-V compound semiconductors. Conditions to suppress the generation of these dislocations are clarified. (author)
International Nuclear Information System (INIS)
Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru
2012-01-01
Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.
Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers
Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan
2018-04-01
Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.
International Nuclear Information System (INIS)
Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.
2004-01-01
Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature
Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer
Energy Technology Data Exchange (ETDEWEB)
Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))
2008-10-15
Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)
Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.
Lin, D S; Wu, J L; Pan, S Y; Chiang, T C
2003-01-31
Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.
Energy Technology Data Exchange (ETDEWEB)
Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics
2001-07-01
Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)
Energy Technology Data Exchange (ETDEWEB)
Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)
2016-05-15
Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.
Few layer epitaxial germanene: a novel two-dimensional Dirac material
Dávila, María Eugenia; Le Lay, Guy
2016-02-01
Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.
Few layer epitaxial germanene: a novel two-dimensional Dirac material.
Dávila, María Eugenia; Le Lay, Guy
2016-02-10
Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.
Li, Qiang; Lai, Billy; Lau, Kei May
2017-10-01
We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.
Ion beam induced epitaxy in Ge- and B- coimplanted silicon
International Nuclear Information System (INIS)
Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.
1992-01-01
The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)
Synthesis of Epitaxial Single-Layer MoS2 on Au(111).
Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A
2015-09-08
We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.
Epitaxial Fe16N2 thin film on nonmagnetic seed layer
Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping
2018-05-01
Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.
Energy Technology Data Exchange (ETDEWEB)
Silvestre, O.; Pujol, M.C.; Sole, R.; Bolanos, W.; Carvajal, J.J.; Massons, J.; Aguilo, M. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain); Diaz, F. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain)], E-mail: f.diaz@urv.cat
2008-01-15
Monoclinic epitaxial layers of single doped KLu{sub 1-x}Ln{sub x}(WO{sub 4}){sub 2} (Ln{sup 3+} = Yb{sup 3+} and Tm{sup 3+}) have been grown on optically passive KLuW substrates by liquid phase epitaxy (LPE) technique using K{sub 2}W{sub 2}O{sub 7} as solvent. The ytterbium content in the layer is in the range of 0.05 < x < 0.75 atomic substitution and the studied thulium concentrations are 0.05 < x < 0.10. The grown epitaxies are free of macroscopic defects and only in highly ytterbium-doped epilayers do some cracks or inclusions appear. The refractive indices of the epilayers were determined. The absorption and emission cross sections of ytterbium and thulium in KLuW are characterised and laser generation results are presented and discussed.
Epitaxial Graphene: A New Material for Electronics
de Heer, Walt A.
2007-10-01
Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.
International Nuclear Information System (INIS)
Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.
2012-01-01
Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.
Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)
1994-01-01
For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted
The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers
International Nuclear Information System (INIS)
McCallum, J.C.
1998-01-01
The kinetics of dopant-enhanced solid phase epitaxy (SPE) have been measured in buried a-Si layers doped with arsenic. SPE rates were measured over the temperature range 480 - 660 deg C for buried a-Si layers containing ten different As concentrations. In the absence of H-retardation effects, the dopant-enhanced SPE rate is observed to depend linearly on the As concentration over the entire range of concentrations, 1-16 x 10 19 cm -3 covered in the study. The Fermi level energy was calculated as a function of doping and find an equation that can provide good fits to the data. The implications of these results for models of the SPE process is discussed
International Nuclear Information System (INIS)
Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang
2005-01-01
SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI
Directory of Open Access Journals (Sweden)
E Pankratov
2016-10-01
Full Text Available In this paper we introduce an approach to manufacture a heterobipolar transistors. Framework this approach we consider doping by diffusion or by ion implantation of required parts of a heterostructure with special configuration and optimization of annealing of dopant and/or radiation defects. In this case one have possibility to manufacture bipolar transistors, which include into itself p-n-junctions with higher sharpness and smaller dimensions. We also consider influence of presents of buffer porous layers between epitaxial layers of heterostructure on distributions of concentrations of dopants in the considered transistors. An approach to decrease value of mismatch-induced stress has been considered.
Epitaxially influenced boundary layer model for size effect in thin metallic films
International Nuclear Information System (INIS)
Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei
2005-01-01
It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films
Epitaxially influenced boundary layer model for size effect in thin metallic films
Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei
2005-04-01
It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.
Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers
International Nuclear Information System (INIS)
Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.
2016-01-01
The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.
Energy Technology Data Exchange (ETDEWEB)
Mozhaev, P B [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Mozhaeva, J E [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Jacobsen, C S [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Hansen, J Bindslev [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Bdikin, I K [CICECO, University of Aveiro, Aveiro, 3810-193 (Portugal); Luzanov, V A [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Kotelyanskii, I M [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Zybtsev, S G [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation)
2006-06-01
Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27{sup 0} were manufactured using pulsed laser deposition on NdGaO{sub 3} tilted-axes substrates with CeO{sub 2} seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed.
International Nuclear Information System (INIS)
Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.
2014-01-01
Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices
Energy Technology Data Exchange (ETDEWEB)
Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)
1990-08-10
Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.
Manipulation of Dirac cones in metal-intercalated epitaxial graphene
Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming
Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.
Epitaxial TiN(001) wetting layer for growth of thin single-crystal Cu(001)
Energy Technology Data Exchange (ETDEWEB)
Chawla, J. S.; Zhang, X. Y.; Gall, D. [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)
2011-08-15
Single-crystal Cu(001) layers, 4-1400 nm thick, were deposited on MgO(001) with and without a 2.5-nm-thick TiN(001) buffer layer. X-ray diffraction and reflection indicate that the TiN(001) surface suppresses Cu-dewetting, yielding a 4 x lower defect density and a 9 x smaller surface roughness than if grown on MgO(001) at 25 deg. C. In situ and low temperature electron transport measurements indicate that ultra-thin (4 nm) Cu(001) remains continuous and exhibits partial specular scattering at the Cu-vacuum boundary with a Fuchs-Sondheimer specularity parameter p = 0.6 {+-} 0.2, suggesting that the use of epitaxial wetting layers is a promising approach to create low-resistivity single-crystal Cu nanoelectronic interconnects.
Energy Technology Data Exchange (ETDEWEB)
Cerio, Frank
2013-09-14
The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance
Interface manipulation in GaxIn1-xAs/InP multiple layer structures grown by chemical beam epitaxy
Rongen, R.T.H.; van Rijswijk, A.J.C.; Leijs, M.R.; Es, van C.M.; Vonk, H.; Wolter, J.H.
1997-01-01
In this study the control of interfacial layers in nanometre thin heterostructures is demonstrated by variation of the growth interruption sequence (GIS) at the binary - ternary interfaces. All samples have been prepared by chemical beam epitaxy simultaneously growing the structures on exact (100)
Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation
Energy Technology Data Exchange (ETDEWEB)
Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)
2008-08-30
In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.
Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant
Energy Technology Data Exchange (ETDEWEB)
Hermann, Martin
2009-04-27
In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption
Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices
International Nuclear Information System (INIS)
Schulze, J.; Oehme, M.; Werner, J.
2012-01-01
A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.
Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices
Energy Technology Data Exchange (ETDEWEB)
Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.
2012-02-01
A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.
Characterization of InGaGdN layers prepared by molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Tawil, Siti Nooraya Mohd [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan); Faculty of Electrical and Electronic Engineering, Tun Hussein Onn University of Malaysia, 86400 Batu Pahat Johor (Malaysia); Kakimi, Rina; Krishnamurthy, Daivasigamani; Emura, Shuichi; Tambo, Hiroyuki; Hasegawa, Shigehiko; Asahi, Hajime [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan)
2010-11-15
Gd-doped InGaN layers were prepared by plasma-assisted molecular-beam epitaxy in search of new functional diluted magnetic semiconductors for their potential use in spintronics. The local structure around the Gd atoms was examined by the Gd L{sub III}-edge of X-ray absorption fine structure. It was found that the majority of Gd atoms substitutionally occupied the cation sites in the InGaGdN layers. Clear hysteresis and saturation magnetization were observed from the magnetization versus field curves examined by means of a superconducting quantum interference device magnetometer at low and room temperatures. In addition, the incorporation of extra shallow donors by co-doping InGaN with both Gd and Si showed higher magnetization than the undoped InGaGdN. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Synchrotron radiation excited silicon epitaxy using disilane
International Nuclear Information System (INIS)
Akazawa, Housei; Utsumi, Yuichi
1995-01-01
Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)
STM studies of GeSi thin layers epitaxially grown on Si(111)
Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.
1996-08-01
Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.
Epitaxial growth of rhenium with sputtering
Energy Technology Data Exchange (ETDEWEB)
Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)
2006-02-21
We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.
Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition
Nie, Anmin
2012-01-01
Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.
Energy Technology Data Exchange (ETDEWEB)
Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)
2015-01-12
GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.
Energy Technology Data Exchange (ETDEWEB)
Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)
2004-03-01
We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
DOE-EPSCoR. Exchange interactions in epitaxial intermetallic layered systems
Energy Technology Data Exchange (ETDEWEB)
LeClair, Patrick R. [Univ. of Alabama, Tuscaloosa, AL (United States); Gary, Mankey J. [Univ. of Alabama, Tuscaloosa, AL (United States)
2015-05-25
The goal of this research is to develop a fundamental understanding of the exchange interactions in epitaxial intermetallic alloy thin films and multilayers, including films and multilayers of Fe-Pt, Co-Pt and Fe-P-Rh alloys deposited on MgO and Al2O3 substrates. Our prior results have revealed that these materials have a rich variety of ferromagnetic, paramagnetic and antiferromagnetic phases which are sensitive functions of composition, substrate symmetry and layer thickness. Epitaxial antiferromagnetic films of FePt alloys exhibit a different phase diagram than bulk alloys. The antiferromagnetism of these materials has both spin ordering transitions and spin orienting transitions. The objectives include the study of exchange-inversion materials and the interface of these materials with ferromagnets. Our aim is to formulate a complete understanding of the magnetic ordering in these materials, as well as developing an understanding of how the spin structure is modified through contact with a ferromagnetic material at the interface. The ultimate goal is to develop the ability to tune the phase diagram of the materials to produce layered structures with tunable magnetic properties. The alloy systems that we will study have a degree of complexity and richness of magnetic phases that requires the use of the advanced tools offered by the DOE-operated national laboratory facilities, such as neutron and x-ray scattering to measure spin ordering, spin orientations, and element-specific magnetic moments. We plan to contribute to DOE’s mission of producing “Materials by Design” with properties determined by alloy composition and crystal structure. We have developed the methods for fabricating and have performed neutron diffraction experiments on some of the most interesting phases, and our work will serve to answer questions raised about the element-specific magnetizations using the magnetic x-ray dichroism techniques and interface magnetism in layered structures
Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates
International Nuclear Information System (INIS)
Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping
2012-01-01
We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.
International Nuclear Information System (INIS)
Yamazaki, T.; Minakata, H.; Ito, T.
1990-01-01
The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth
Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer
Mantey, J.
2013-01-01
Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.
Energy Technology Data Exchange (ETDEWEB)
McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)
2015-12-15
Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.
International Nuclear Information System (INIS)
McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.
2015-01-01
Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable
International Nuclear Information System (INIS)
Lupal, M.V.; Klot, B; Nikhter, K.; Pikhtin, A.N.; Trapp, M.
1986-01-01
This paper determines the dependence of the cross section for absorption in the A /SUB N/ line of a bound exciton on the nitrogen content in the solid solution GaAs /SUB 1-x/ P /SUB x/ by comparing the results of optical measurements with the data from secondary ionic mass spectrometry, and these results are used to study the effect of technological factors on the nitrogen concentration epitaxial layers obtained by the gas-transport method. Doping was carried out with nitrogen by injecting ammonia into the reactor zone; the partial pressure of the ammonia was varied from 1 to 25 kPa. Aside from nitrogen, the authors doped the layers with shallow donor Te. It is established that the solubility of nitrogen in the solid solution decreases as the arsenic content increases when the convenient optical method for determining the nitrogen concentration in epitaxial GaAs /SUB 1-x/ P /SUB x/ layers is used
STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer
Energy Technology Data Exchange (ETDEWEB)
Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G
2003-05-15
We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.
Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene
Energy Technology Data Exchange (ETDEWEB)
Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)
2016-07-15
Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.
The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System
Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan
2018-03-01
We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.
van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si
Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching
2018-03-01
Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.
Energy Technology Data Exchange (ETDEWEB)
Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)
2016-09-15
Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.
Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.
Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E
2017-12-01
Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.
Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film
Directory of Open Access Journals (Sweden)
Ge FF
2010-01-01
Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.
Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers
Directory of Open Access Journals (Sweden)
Yuanzhou Gu
2014-04-01
Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.
EDITORIAL: Epitaxial graphene Epitaxial graphene
de Heer, Walt A.; Berger, Claire
2012-04-01
Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene
High-efficiency photovoltaic cells
Yang, H.T.; Zehr, S.W.
1982-06-21
High efficiency solar converters comprised of a two cell, non-lattice matched, monolithic stacked semiconductor configuration using optimum pairs of cells having bandgaps in the range 1.6 to 1.7 eV and 0.95 to 1.1 eV, and a method of fabrication thereof, are disclosed. The high band gap subcells are fabricated using metal organic chemical vapor deposition (MOCVD), liquid phase epitaxy (LPE) or molecular beam epitaxy (MBE) to produce the required AlGaAs layers of optimized composition, thickness and doping to produce high performance, heteroface homojunction devices. The low bandgap subcells are similarly fabricated from AlGa(As)Sb compositions by LPE, MBE or MOCVD. These subcells are then coupled to form a monolithic structure by an appropriate bonding technique which also forms the required transparent intercell ohmic contact (IOC) between the two subcells. Improved ohmic contacts to the high bandgap semiconductor structure can be formed by vacuum evaporating to suitable metal or semiconductor materials which react during laser annealing to form a low bandgap semiconductor which provides a low contact resistance structure.
Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C
2014-12-05
Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.
Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction
Energy Technology Data Exchange (ETDEWEB)
Chierchia, Rosa
2007-07-01
This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001
Directory of Open Access Journals (Sweden)
Mitsuru Ohtake
2017-05-01
Full Text Available FePt alloy thin films with cap-layers of MgO or C are prepared on MgO(001 single-crystal substrates by using a two-step method consisting of low-temperature deposition at 200 °C followed by high-temperature annealing at 600 °C. The FePt film thickness is fixed at 10 nm, whereas the cap-layer thickness is varied from 1 to 10 nm. The influences of cap-layer material and cap-layer thickness on the variant structure and the L10 ordering are investigated. Single-crystal FePt(001 films with disordered fcc structure (A1 grow epitaxially on the substrates at 200 °C. Single-crystal MgO(001 cap-layers grow epitaxially on the FePt films, whereas the structure of C cap-layers is amorphous. The phase transformation from A1 to L10 occurs when the films are annealed at 600 °C. The FePt films with MgO cap-layers thicker than 2 nm consist of L10(001 variant with the c-axis perpendicular to the substrate surface, whereas those with C cap-layers involve small volumes of L10(100 and (010 variants with the c-axis lying in the film plane. The in-plane and the out-of-plane lattices are respectively more expanded and contracted in the continuous-lattice MgO/FePt/MgO structure due to accommodations of misfits of FePt film with respect to not only the MgO substrate but also the MgO cap-layer. The lattice deformation promotes phase transformation along the perpendicular direction and L10 ordering. The FePt films consisting of only L10(001 variant show strong perpendicular magnetic anisotropies and low in-plane coercivities. The present study shows that an introduction of epitaxial cap-layer is effective in controlling the c-axis perpendicular to the substrate surface.
Epitaxial growth of tungsten layers on MgO(001)
Energy Technology Data Exchange (ETDEWEB)
Zheng, Pengyuan; Ozsdolay, Brian D.; Gall, Daniel, E-mail: galld@rpi.edu [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)
2015-11-15
Smooth single crystal W(001) layers were grown on MgO(001) substrates by magnetron sputtering at 900 °C. X-ray diffraction ω–2θ scans, ω-rocking curves, pole figures, and reciprocal space maps indicate a 45°-rotated epitaxial relationship: (001){sub W}‖(001){sub MgO} and [010]{sub W}‖[110]{sub MgO}, and a relaxed lattice constant of 3.167 ± 0.001 nm. A residual in-plane biaxial compressive strain is primarily attributed to differential thermal contraction after growth and decreases from −0.012 ± 0.001 to −0.001 ± 0.001 with increasing layer thickness d = 4.8–390 nm, suggesting relaxation during cooling by misfit dislocation growth through threading dislocation glide. The in-plane x-ray coherence length increases from 3.4 to 33.6 nm for d = 4.8–390 nm, while the out-of-plane x-ray coherence length is identical to the layer thickness for d ≤ 20 nm, but is smaller than d for d ≥ 49.7 nm, indicating local strain variations along the film growth direction. X-ray reflectivity analyses indicate that the root-mean-square surface roughness increases from 0.50 ± 0.05 to 0.95 ± 0.05 nm for d = 4.8–19.9 nm, suggesting a roughness exponent of 0.38, but remains relatively constant for d > 20 nm with a roughness of 1.00 ± 0.05 nm at d = 47.9 nm.
Selfsupported epitaxial silicon films
International Nuclear Information System (INIS)
Lazarovici, D.; Popescu, A.
1975-01-01
The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments
Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs
International Nuclear Information System (INIS)
Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.
1990-01-01
Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition
Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)
2017-04-15
Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.
Energy Technology Data Exchange (ETDEWEB)
Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)
2015-11-15
Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.
International Nuclear Information System (INIS)
Gomez-Herrera, M.L.; Herrera-Perez, J.L.; Rodriguez-Fragoso, P.; Riech, I.; Mendoza-Alvarez, J.G.
2008-01-01
In this paper we present results on the characterization of Zn-doped InGaAsSb epitaxial layers to be used in the development of stacked solar cells. Using the liquid phase epitaxy technique we have grown p-type InGaAsSb layers, using Zn as the dopant, and n-type Te-doped GaSb wafers as substrates. A series of Zn-doped InGaAsSb samples were prepared by changing the amount of Zn in the melt in the range: 0.1-0.9 mg to obtain different p-type doping levels, and consequently, different p-n region characteristics. Low temperature photoluminescence spectra (PL) were measured at 15 K using at various excitation powers in the range 80-160 mW. PL spectra show the presence of an exciton-related band emission around 0.642 eV and a band at 0.633 eV which we have related to radiative emission involving Zn-acceptors. Using the photoacoustic technique we measured the interface recombination velocities related to the interface crystalline quality, showing that the layer-substrate interface quality degrades as the Zn concentration in the layers increases
Conductive and robust nitride buffer layers on biaxially textured substrates
Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN
2009-03-31
The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.
Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.
Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G
2016-12-14
We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.
Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes
Energy Technology Data Exchange (ETDEWEB)
Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)
2003-05-07
Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.
Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.
2004-01-01
Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a
Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies
International Nuclear Information System (INIS)
Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de
2005-01-01
Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C
Energy Technology Data Exchange (ETDEWEB)
Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)
2014-07-15
We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.
Epitaxial hexagonal materials on IBAD-textured substrates
Matias, Vladimir; Yung, Christopher
2017-08-15
A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.
Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures
International Nuclear Information System (INIS)
Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.
2008-01-01
By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems
International Nuclear Information System (INIS)
Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.
2013-01-01
Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time
Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint
Energy Technology Data Exchange (ETDEWEB)
Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.
2011-07-01
We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.
International Nuclear Information System (INIS)
McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.
2012-01-01
Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.
Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.
2017-11-01
Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.
Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates
International Nuclear Information System (INIS)
Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi
2007-01-01
Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively
GaN:Co epitaxial layers grown by MOVPE
Czech Academy of Sciences Publication Activity Database
Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.
2015-01-01
Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015
Energy Technology Data Exchange (ETDEWEB)
Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)
2016-04-15
The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.
Deposition of yttrium oxysulfide thin films by atomic layer epitaxy
International Nuclear Information System (INIS)
Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.
1998-01-01
Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS
Point defect balance in epitaxial GaSb
International Nuclear Information System (INIS)
Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.
2014-01-01
Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.
Epitaxial hexagonal materials on IBAD-textured substrates
Energy Technology Data Exchange (ETDEWEB)
Matias, Vladimir; Yung, Christopher
2017-08-15
A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.
Kiselev, A N; Skupov, V D; Filatov, D O
2001-01-01
The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation
Directory of Open Access Journals (Sweden)
S. S. Kushvaha
2014-02-01
Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.
Molecular beam epitaxy of Cd3As2 on a III-V substrate
Directory of Open Access Journals (Sweden)
Timo Schumann
2016-12-01
Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.
Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang
2016-04-22
High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.
Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates
Energy Technology Data Exchange (ETDEWEB)
Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)
2017-05-01
We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.
Highly sensitive x-ray detectors in the low-energy range on n-type 4H-SiC epitaxial layers
Energy Technology Data Exchange (ETDEWEB)
Mandal, Krishna C.; Muzykov, Peter G. [Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina 29208 (United States); Russell Terry, J. [Space Science and Applications Group (ISR-1), Intelligence and Space Research Division, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States)
2012-07-30
Schottky diodes on n-type 4H-SiC epitaxial layers have been fabricated for low-energy x-ray detection. The detectors were highly sensitive to soft x-rays and showed improved response compared to the commercial SiC UV photodiodes. Current-voltage characteristics at 475 K showed low leakage current revealing the possibility of high temperature operation. The high quality of the epi-layer was confirmed by x-ray diffraction and chemical etching. Thermally stimulated current measurements performed at 94-550 K revealed low density of deep levels which may cause charge trapping. No charge trapping on detectors' responsivity in the low x-ray energy was found.
Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer
Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell
2013-08-01
Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.
International Nuclear Information System (INIS)
Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang
2015-01-01
In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer
Directory of Open Access Journals (Sweden)
Zoya Nikonova
2017-06-01
Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.
AlGaAsSb Vapor Phase Epitaxy and Laser Program.
1983-06-01
graded or step-graded ternary film structures to fulfill the role of a sub- strate. One of the objectives of the program, however, was to determine the...0.02 ur/mmn. 27 MRDC81-14083 Fig. 11 RED pattern of the ( iaSb sample of Fig. 6. 28 7- 7 crystal. No samples from this group were submitted to SEM
Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng
2016-10-01
Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.
Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits
Directory of Open Access Journals (Sweden)
K.-H. Cho
2013-10-01
Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.
Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition
International Nuclear Information System (INIS)
Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John
2014-01-01
Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225 °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600 °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure
Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy
Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.
1998-05-01
Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.
Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide
Energy Technology Data Exchange (ETDEWEB)
Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)
2009-07-01
Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.
Epitaxial GaN around ZnO nanopillars
Energy Technology Data Exchange (ETDEWEB)
Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)
2011-07-01
We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.
McKee, Rodney A.; Walker, Frederick J.
1993-01-01
A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.
Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi
2016-12-01
The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.
AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique
Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei
2018-04-01
AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.
Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu
1992-01-01
Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.
International Nuclear Information System (INIS)
Dinh, Duc V.; Zubialevich, V. Z.; Oehler, F.; Kappers, M. J.; Humphreys, C. J.; Alam, S. N.; Parbrook, P. J.; Caliebe, M.; Scholtz, F.
2014-01-01
InGaN layers were grown simultaneously on (112 ¯ 2) GaN and (0001) GaN templates by metalorganic vapour phase epitaxy. At higher growth temperature (≥750 °C), the indium content ( ¯ 2) and (0001) InGaN layers was similar. However, for temperatures less than 750 °C, the indium content of the (112 ¯ 2) InGaN layers (15%–26%) were generally lower than those with (0001) orientation (15%–32%). The compositional deviation was attributed to the different strain relaxations between the (112 ¯ 2) and (0001) InGaN layers. Room temperature photoluminescence measurements of the (112 ¯ 2) InGaN layers showed an emission wavelength that shifts gradually from 380 nm to 580 nm with decreasing growth temperature (or increasing indium composition). The peak emission wavelength of the (112 ¯ 2) InGaN layers with an indium content of more than 10% blue-shifted a constant value of ≈(50–60) nm when using higher excitation power densities. This blue-shift was attributed to band filling effects in the layers.
Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy
International Nuclear Information System (INIS)
Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.
2007-01-01
SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive
The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers
International Nuclear Information System (INIS)
McCallum, J.C.
1999-01-01
Ion implantation is the principal method used to introduce dopants into silicon for fabrication of semiconductor devices. During ion implantation, damage accumulates in the crystalline silicon lattice and amorphisation may occur over the depth range of the ions if the implant dose is sufficiently high. As device dimensions shrink, the need to produce shallower and shallower highly-doped layers increases and the probability of amorphisation also increases. To achieve dopant-activation, the amorphous or damaged material must be returned to the crystalline state by thermal annealing. Amorphous silicon layers can be crystallised by the solid-state process of solid phase epitaxy (SPE) in which the amorphous layer transforms to crystalline silicon (c-Si) layer by layer using the underlying c-Si as a seed. The atomic mechanism that is responsible for the crystallisation is thought to involve highly-localised bond-breaking and rearrangement processes at the amorphous/crystalline (a/c) interface but the defect responsible for these bond rearrangements has not yet been identified. Since the bond breaking process necessarily generates dangling bonds, it has been suggested that the crystallisation process may solely involve the formation and migration of dangling bonds at the interface. One of the key factors which may shed further light on the nature of the SPE defect is the observed dopant-dependence of the rate of crystallisation. It has been found that moderate concentrations of dopants enhance the SPE crystallisation rate while the presence of equal concentrations of an n-type and a p-type dopant (impurity compensation) returns the SPE rate to the intrinsic value. This provides crucial evidence that the SPE mechanism is sensitive to the position of the Fermi level in the bandgap of the crystalline and/or the amorphous silicon phases and may lead to identification of an energy level within the bandgap that can be associated with the defect. This paper gives details of SPE
Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity
Wan, Yi
2017-12-19
Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.
Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity
Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun
2017-01-01
Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.
Growth of epitaxial thin films by pulsed laser ablation
International Nuclear Information System (INIS)
Lowndes, D.H.
1992-01-01
High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs
Epitaxial Ge-crystal arrays for X-ray detection
International Nuclear Information System (INIS)
Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M
2014-01-01
Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing
GaN/NbN epitaxial semiconductor/superconductor heterostructures
Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep
2018-03-01
Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.
International Nuclear Information System (INIS)
Diaz-Reyes, J; Cardona-Bedoya, J A; Gomez-Herrera, M L; Herrera-Perez, J L; Riech, I; Mendoza-Alvarez, J G
2003-01-01
Controlled doping of quaternary alloys of In x Ga 1-x As y Sb 1-y with tellurium is fundamental to obtain the n-type layers needed for the development of optoelectronic devices based on p-n heterojunctions. InGaAsSb epitaxial layers were grown by liquid phase epitaxy and Te doping was obtained by incorporating small Sb 3 Te 2 pellets in the growth melt. The tellurium doping levels were in the range 10 16 -10 17 cm -3 . We have used low-temperature photoluminescence (PL) spectroscopy to study the influence of the Te donor levels on the radiative transitions shown in the PL spectra. The PL measurements were done by exciting the samples with the 448 nm line of an Ar ion laser with varying excitation powers in the range from 10 to 200 mW. For the low-doped sample the PL spectrum showed a narrow exciton-related peak centred at around 610 meV with a full width at half maximum (FWHM) of about 7 meV which is evidence of the good crystalline quality of the layers. For higher Te doping, the PL spectra show the presence of band-to-band and donor-to-acceptor transitions which overlap as the Te concentration increases. The peak of the PL band shifts to higher energies as Te doping increases due to a band-filling effect as the Fermi level enters into the conduction band. From the peak energy of the PL spectra, and using a model that includes the band-filling and band-shrinkage effects due to the carriers, we have estimated the effective carrier concentration due to doping with Te in the epilayers
Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.
2017-12-01
AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.
Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao
2018-05-01
The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.
International Nuclear Information System (INIS)
Kirsch, Robert.
1975-01-01
Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr
Ion beam deposited epitaxial thin silicon films
International Nuclear Information System (INIS)
Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den
1991-01-01
Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)
Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.
2014-12-01
We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.
Giant inelastic tunneling in epitaxial graphene mediated by localized states
Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.
2010-01-01
Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have
Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition
Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki
2017-06-01
Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.
Energy Technology Data Exchange (ETDEWEB)
Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham B.; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Yu, Edward T. [Department of Electrical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Bruley, John [IBM Research Division, Yorktown Heights, New York 10593 (United States)
2014-02-24
Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO{sub 3} (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO{sub 3} (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225 °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600 °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure.
Time-resolved photon echoes from donor-bound excitons in ZnO epitaxial layers
Poltavtsev, S. V.; Kosarev, A. N.; Akimov, I. A.; Yakovlev, D. R.; Sadofev, S.; Puls, J.; Hoffmann, S. P.; Albert, M.; Meier, C.; Meier, T.; Bayer, M.
2017-07-01
The coherent optical response from 140 nm and 65 nm thick ZnO epitaxial layers is studied using four-wave-mixing spectroscopy with picosecond temporal resolution. Resonant excitation of neutral donor-bound excitons results in two-pulse and three-pulse photon echoes. For the donor-bound A exciton (D0XA ) at temperature of 1.8 K we evaluate optical coherence times T2=33 -50 ps corresponding to homogeneous line widths of 13 -19 μ eV , about two orders of magnitude smaller as compared with the inhomogeneous broadening of the optical transitions. The coherent dynamics is determined mainly by the population decay with time T1=30 -40 ps, while pure dephasing is negligible. Temperature increase leads to a significant shortening of T2 due to interaction with acoustic phonons. In contrast, the loss of coherence of the donor-bound B exciton (D0XB ) is significantly faster (T2=3.6 ps ) and governed by pure dephasing processes.
Energy Technology Data Exchange (ETDEWEB)
Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)
2016-05-15
In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.
Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.
Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena
2017-09-15
In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.
One unit-cell seed layer induced epitaxial growth of heavily nitrogen doped anatase TiO{sub 2} films
Energy Technology Data Exchange (ETDEWEB)
Chen, T L; Hirose, Y; Hitosugi, T; Hasegawa, T [Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)], E-mail: chen@ksp.or.jp
2008-03-21
We present a novel way to obtain heavily nitrogen doped anatase TiO{sub 2} films by using a solid-state nitrogen source. Epitaxial growth of the films was realized by introducing one unit-cell seed layer, which was indicated by reflection high-energy electron diffraction as intensity oscillation. Results of x-ray diffraction and x-ray photoelectron spectroscopy confirmed that the films were in the anatase phase heavily doped with nitrogen of {approx}15 at%. The films obtained exhibited considerable narrowing of the optical bandgap, resulting in an enhancement of absorption in the visible-light region. (fast track communication)
Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy
International Nuclear Information System (INIS)
Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M
2006-01-01
Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices
Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))
1993-03-01
We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)
Li, Feifei
2013-05-21
Lanthanide-doped core-shell upconversion nanocrystals (UCNCs) have tremendous potential for applications in many fields, especially in bio-imaging and medical therapy. As core-shell UCNCs are mostly synthesized in organic solvents, tedious organic-aqueous phase transfer processes are usually needed for their use in bio-applications. Herein, we demonstrate the first example of one-step synthesis of highly luminescent core-shell UCNCs in the "aqueous" phase under mild conditions using innocuous reagents. A microwave-assisted approach allowed for layer-by-layer epitaxial growth of a hydrophilic NaGdF4 shell on NaYF4:Yb, Er cores. During this process, surface defects of the nanocrystals could be gradually passivated by the homogeneous shell deposition, resulting in obvious enhancement in the overall upconversion emission efficiency. In addition, the up-down conversion dual-mode luminescent NaYF4:Yb, Er@NaGdF4:Ce, Ln (Eu, Tb, Sm, Dy) nanocrystals were also synthesized to further validate the successful formation of the core-shell structure. More significantly, based on their superior solubility and stability in water solution, high upconversion efficiency and Gd-doped predominant X-ray absorption, the as-prepared NaYF4:Yb, Er@NaGdF4 core-shell UCNCs exhibited high contrast in in vitro cell imaging and in vivo X-ray computed tomography (CT) imaging, demonstrating great potential as multiplexed luminescent biolabels and CT contrast agents.
Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy
International Nuclear Information System (INIS)
Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.
2007-01-01
In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model
Wu, Bing; Zhao, Yinghe; Nan, Haiyan; Yang, Ziyi; Zhang, Yuhan; Zhao, Huijuan; He, Daowei; Jiang, Zonglin; Liu, Xiaolong; Li, Yun; Shi, Yi; Ni, Zhenhua; Wang, Jinlan; Xu, Jian-Bin; Wang, Xinran
2016-06-08
Precise assembly of semiconductor heterojunctions is the key to realize many optoelectronic devices. By exploiting the strong and tunable van der Waals (vdW) forces between graphene and organic small molecules, we demonstrate layer-by-layer epitaxy of ultrathin organic semiconductors and heterostructures with unprecedented precision with well-defined number of layers and self-limited characteristics. We further demonstrate organic p-n heterojunctions with molecularly flat interface, which exhibit excellent rectifying behavior and photovoltaic responses. The self-limited organic molecular beam epitaxy (SLOMBE) is generically applicable for many layered small-molecule semiconductors and may lead to advanced organic optoelectronic devices beyond bulk heterojunctions.
Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces
Energy Technology Data Exchange (ETDEWEB)
Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)
2015-12-31
The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.
Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces
International Nuclear Information System (INIS)
Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.
2015-01-01
The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.
Fabrication of p-type porous GaN on silicon and epitaxial GaN
Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm
2013-01-01
Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...
InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy
International Nuclear Information System (INIS)
Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.
2002-01-01
This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured
Energy Technology Data Exchange (ETDEWEB)
Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk
2013-10-15
Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.
International Nuclear Information System (INIS)
Lowndes, D.H.; Norton, D.P.
1993-01-01
Epitaxial trilayer and superlattice structures grown by pulsed laser ablation have been used to study the superconducting-to-normal transition of ultrathin (one and two c-axis unit cells) YBa 2 Cu 3 O 7-x layers. The normalized flux-flow resistances for several epitaxial structures containing two-cell-thick YBa 2 Cu 3 O 7-x films collapse onto the ''universal'' curve of the Ginzburg-Landau Coulomb Gas (GLCG) model. Analysis of normalized resistance data for a series of superlattices containing one-cell-thick YBa 2 Cu 3 O 7-x layers also is consistent with the behavior expected for quasi-two-dimensional layers in a highly anisotropic, layered three-dimensional superconductor. Current-voltage measurements for one of the trilayer structures also are consistent with the normalized resistance data, and with the GLCG model. Scanning tunneling microscopy, transmission electron microscopy, and electrical transport studies show that growth-related steps in ultrathin YBa 2 Cu 3 O 7-x layers affect electrical continuity over macroscopic distances, acting as weak links. However , the perturbation of the superconducting order parameter can be minimized by utilizing hole-doped buffer and cap layers, on both sides of the YBa 2 Cu 3 O 7-x layer, in trilayers and superlattices. These results demonstrate the usefulness of epitaxial trilayer and superlattice structures as tools for systematic, fundamental studies of high-temperature superconductivity
Energy Technology Data Exchange (ETDEWEB)
Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)
2014-12-15
We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.
Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films
Chernikova, Valeriya
2017-05-10
Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.
Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films
Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed
2017-01-01
Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.
Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d
DEFF Research Database (Denmark)
Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini
2012-01-01
-plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....
International Nuclear Information System (INIS)
Wessels, B.W.
1980-01-01
In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity
Energy Technology Data Exchange (ETDEWEB)
Niu, Li-Wei; Guo, Bing; Chen, Chang-Le, E-mail: chenchl@nwpu.edu.cn; Luo, Bing-Cheng; Dong, Xiang-Lei; Jin, Ke-Xin
2017-04-01
In this paper, the resistivity and magnetization of orientation-engineered layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films have been investigated. Epitaxial thin films were deposited on single-crystalline LaAlO{sub 3} (LAO) (001), (110) and (111) substrates by pulse laser deposition (PLD) technique. It is found that only the (100)-oriented thin film performs insulator behavior, whereas the (110) and (111)-oriented thin films exhibit obvious metal-insulator transition at 70 K and between 85 and 120 K, respectively. Moreover, the same spin freezing temperature and different spin-glass-like transition temperatures have been observed in various oriented films. The observed experimental results were discussed according to the electron-transport mechanism and spin dynamics.
Energy Technology Data Exchange (ETDEWEB)
Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)
2015-05-07
The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.
Laser molecular beam epitaxy of ZnO thin films and heterostructures
International Nuclear Information System (INIS)
Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf
2014-01-01
We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)
The effect of a thin silver layer on the critical current of epitaxial YBCO films
International Nuclear Information System (INIS)
Polturak, E.; Koren, G.; Cohen, D.; Cohen, D.; Snapiro, I.
1992-01-01
We compare measurements of the critical current density of an epitaxial YBCO film with that of an identical film overlaid by a thin silver layer. We find that the presence of the silver lowers Tc of the film by about 1.5 K, which is two orders of magnitude larger than predicted by the theory of the proximity effect for our experimental conditions. In addition, J c of the Ag/YBCO film near Tc is also significantly lower than that of the bare YBCO film. We propose two alternate interpretations of this effect, one in terms of destabilization of the flux distribution in the film and the other making use of the effect of the silver on the Bean-Livingston surface barrier for the initial penetration of flux. The latter seems the more plausible explanation of our results. (orig.)
International Nuclear Information System (INIS)
Riedl, C; Coletti, C; Starke, U
2010-01-01
Graphene, a monoatomic layer of graphite, hosts a two-dimensional electron gas system with large electron mobilities which makes it a prospective candidate for future carbon nanodevices. Grown epitaxially on silicon carbide (SiC) wafers, large area graphene samples appear feasible and integration in existing device technology can be envisioned. This paper reviews the controlled growth of epitaxial graphene layers on SiC(0 0 0 1) and the manipulation of their electronic structure. We show that epitaxial graphene on SiC grows on top of a carbon interface layer that-although it has a graphite-like atomic structure-does not display the linear π-bands typical for graphene due to a strong covalent bonding to the substrate. Only the second carbon layer on top of this interface acts like monolayer graphene. With a further carbon layer, a graphene bilayer system develops. During the growth of epitaxial graphene on SiC(0 0 0 1) the number of graphene layers can be precisely controlled by monitoring the π-band structure. Experimental fingerprints for in situ growth control could be established. However, due to the influence of the interface layer, epitaxial graphene on SiC(0 0 0 1) is intrinsically n-doped and the layers have a long-range corrugation in their density of states. As a result, the Dirac point energy where the π-bands cross is shifted away from the Fermi energy, so that the ambipolar properties of graphene cannot be exploited. We demonstrate methods to compensate and eliminate this structural and electronic influence of the interface. We show that the band structure of epitaxial graphene on SiC(0 0 0 1) can be precisely tailored by functionalizing the graphene surface with tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) molecules. Charge neutrality can be achieved for mono- and bilayer graphene. On epitaxial bilayer graphene, where a band gap opens due to the asymmetric electric field across the layers imposed by the interface, the magnitude of this band gap
Magnetic properties of epitaxial bismuth ferrite-garnet mono- and bilayers
International Nuclear Information System (INIS)
Semuk, E.Yu.; Berzhansky, V.N.; Prokopov, A.R.; Shaposhnikov, A.N.; Karavainikov, A.V.; Salyuk, O.Yu.; Golub, V.O.
2015-01-01
Magnetic properties of Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 (84 nm) and Bi 2.8 Y 0.2 Fe 5 O 12 (180 nm) films epitaxially grown on gallium-gadolinium garnet (GGG) single crystal (111) substrate as well as Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 /Bi 2.8 Y 0.2 Fe 5 O 12 bilayer were investigated using ferromagnetic resonance technique. The mismatch of the lattice parameters of substrate and magnetic layers leads to formation of adaptive layers which affect on the high order anisotropy constant of the films but practically do not affect on uniaxial perpendicular magnetic anisotropy The magnetic properties of the bilayer film were explained in supposition of strong exchange coupling between magnetic layers taking into account film-film and film-substrate elastic interaction. - Highlights: • Magnetic parameters of epitaxial Bi-YIG films and bilayers on GGG substrate. • Adaptive layers affect on high order magnetic anisotropy. • Magnetic properties of bilayers are result of strong exchange interaction
Energy Technology Data Exchange (ETDEWEB)
Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences
1993-12-31
The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.
Energy Technology Data Exchange (ETDEWEB)
Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences
1994-12-31
The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.
Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer
International Nuclear Information System (INIS)
Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.
2006-01-01
The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure
Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)
2013-08-31
Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.
Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon
Nguyen, Duc Minh
2010-01-01
In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser
Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji
2017-12-01
This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.
Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane
Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu
2018-03-01
Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.
Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation
International Nuclear Information System (INIS)
Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.
2000-01-01
Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide
Crystallization engineering as a route to epitaxial strain control
Directory of Open Access Journals (Sweden)
Andrew R. Akbashev
2015-10-01
Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.
International Nuclear Information System (INIS)
Quoizola, S.
2003-01-01
The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)
Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites
Energy Technology Data Exchange (ETDEWEB)
Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science
2018-01-31
Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.
Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.
2018-04-01
We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.
Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi
2018-04-01
In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.
International Nuclear Information System (INIS)
Ohba, R.; Ohta, J.; Shimomoto, K.; Fujii, T.; Okamoto, K.; Aoyama, A.; Nakano, T.; Kobayashi, A.; Fujioka, H.; Oshima, M.
2009-01-01
Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been found that the use of HfN (100) buffer layers allows us to grow cubic InN (100) films with an in-plane epitaxial relationship of [001] InN //[001] HfN //[001] MgO . X-ray diffraction and electron back-scattered diffraction measurements have revealed that the phase purity of the cubic InN films was as high as 99%, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD. - Graphical abstract: Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been revealed that the phase purity of the cubic InN films was as high as 99 %, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD.
Kyutt, R. N.
2018-04-01
The three-wave X-ray diffraction in strongly disordered epitaxial layers of GaN and ZnO is experimentally investigated. The charts of the intensity distribution in the reciprocal space are plotted in coordinates q θ and q ϕ for the most intensive three-wave combination (1010)/(1011) by means of subsequent θ- and ϕ-scanning. A nontrivial shape of the θ-sections of these contours at a distance from the ϕ center of reflection is revealed; it is different for different samples. For the θ-curves at the center of reflection, we observed a common peak that may be approximated by the Voigt function with a power-low decrease in the intensity at the wings; the decrease law (from-4.5 to-5.0) is found to be considerably greater than that for the similar curves of two-wave diffraction and not depending on the dislocation density and distribution in layers. In some films we observed a coarse-block structure; in addition, it follows from the distribution in the reciprocal space that these blocks are turned with respect to each other around a normal to the surface, which allows us to suggest the existence of low-angle boundaries between them, consisting exclusively of edge dislocations.
Energy Technology Data Exchange (ETDEWEB)
Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)
2011-10-31
Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.
Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition
Directory of Open Access Journals (Sweden)
Y. H. Lin
2015-10-01
Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.
Single-event burnout of epitaxial bipolar transistors
Energy Technology Data Exchange (ETDEWEB)
Kuboyama, S.; Sugimoto, K.; Shugyo, S.; Matsuda, S. [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan); Hirao, T. [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan)
1998-12-01
Single-Event Burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs, including small signal transistors, with thinner epitaxial layers were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified.
Methods for improved growth of group III nitride buffer layers
Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro
2014-07-15
Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).
Transfer-free electrical insulation of epitaxial graphene from its metal substrate.
Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip
2012-09-12
High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.
Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate
DEFF Research Database (Denmark)
Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo
2012-01-01
High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....
Energy Technology Data Exchange (ETDEWEB)
Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)
2015-09-30
The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.
International Nuclear Information System (INIS)
Kijima, H.; Ishikawa, T.; Marukame, T.; Matsuda, K.-I.; Uemura, T.; Yamamoto, M.
2007-01-01
Fully epitaxial magnetic tunnel junctions (MTJs) were fabricated with a Co-based full-Heusler alloy Co 2 MnSi (CMS) thin film having the ordered L2 1 structure as a lower electrode, a MgO tunnel barrier, and a Co 50 Fe 50 upper electrode. Reflection high-energy electron diffraction patterns observed in situ for each layer in the MTJ layer structure during fabrication clearly indicated that all layers of the CMS lower electrode, MgO tunnel barrier, and Co 50 Fe 50 upper electrode grew epitaxially. The microfabricated fully epitaxial CMS/MgO/Co 50 Fe 50 MTJs demonstrated relatively high tunnel magnetoresistance ratios of 90% at room temperature and 192% at 4.2 K
Highly doped layer for tunnel junctions in solar cells
Fetzer, Christopher M.
2017-08-01
A highly doped layer for interconnecting tunnel junctions in multijunction solar cells is presented. The highly doped layer is a delta doped layer in one or both layers of a tunnel diode junction used to connect two or more p-on-n or n-on-p solar cells in a multijunction solar cell. A delta doped layer is made by interrupting the epitaxial growth of one of the layers of the tunnel diode, depositing a delta dopant at a concentration substantially greater than the concentration used in growing the layer of the tunnel diode, and then continuing to epitaxially grow the remaining tunnel diode.
International Nuclear Information System (INIS)
Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene
2002-01-01
Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38
International Nuclear Information System (INIS)
Jungbauer, M.; Hühn, S.; Moshnyaga, V.; Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G.
2014-01-01
We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO 3 ) n (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO 3 (001) substrates by means of a sequential deposition of Sr-O/Ti-O 2 atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO 3 ) 4 block at the level of 2.4%. This identifies the SrTiO 3 substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy
Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth
International Nuclear Information System (INIS)
Thiele, U
2010-01-01
In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.
Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)
2006-06-15
We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Energy Technology Data Exchange (ETDEWEB)
Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru, E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Suzuki, Hidetoshi [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen Kibanadai-nishi, Miyazaki 889-2192 (Japan); Sasaki, Takuo; Takahasi, Masamitu [Quantum Beam Science Center, Japan Atomic Energy Agency, Koto 1-1-1, Sayo-cho, Hyogo 679-5148 (Japan)
2015-11-14
Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures.
International Nuclear Information System (INIS)
Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru; Suzuki, Hidetoshi; Sasaki, Takuo; Takahasi, Masamitu
2015-01-01
Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures
Magnetic properties of epitaxial bismuth ferrite-garnet mono- and bilayers
Energy Technology Data Exchange (ETDEWEB)
Semuk, E.Yu.; Berzhansky, V.N.; Prokopov, A.R.; Shaposhnikov, A.N.; Karavainikov, A.V. [Taurida National V.I. Vernadsky University, Vernadsky Avenue, 4, 95007 Simferopol (Ukraine); Salyuk, O.Yu. [Institute of Magnetism NASU and MESU, 36-B Vernadsky Blvd., 03142 Kiev (Ukraine); Golub, V.O., E-mail: golub@imag.kiev.ua [Institute of Magnetism NASU and MESU, 36-B Vernadsky Blvd., 03142 Kiev (Ukraine)
2015-11-15
Magnetic properties of Bi{sub 1.5}Gd{sub 1.5}Fe{sub 4.5}Al{sub 0.5}O{sub 12} (84 nm) and Bi{sub 2.8}Y{sub 0.2}Fe{sub 5}O{sub 12} (180 nm) films epitaxially grown on gallium-gadolinium garnet (GGG) single crystal (111) substrate as well as Bi{sub 1.5}Gd{sub 1.5}Fe{sub 4.5}Al{sub 0.5}O{sub 12}/Bi{sub 2.8}Y{sub 0.2}Fe{sub 5}O{sub 12} bilayer were investigated using ferromagnetic resonance technique. The mismatch of the lattice parameters of substrate and magnetic layers leads to formation of adaptive layers which affect on the high order anisotropy constant of the films but practically do not affect on uniaxial perpendicular magnetic anisotropy The magnetic properties of the bilayer film were explained in supposition of strong exchange coupling between magnetic layers taking into account film-film and film-substrate elastic interaction. - Highlights: • Magnetic parameters of epitaxial Bi-YIG films and bilayers on GGG substrate. • Adaptive layers affect on high order magnetic anisotropy. • Magnetic properties of bilayers are result of strong exchange interaction.
Energy Technology Data Exchange (ETDEWEB)
McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)
2014-06-14
Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.
Shintri, Shashidhar S.
Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2
Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures
International Nuclear Information System (INIS)
Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.
1980-01-01
To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm
Homoepitaxial VPE growth of SiC active layers
Energy Technology Data Exchange (ETDEWEB)
Burk, A.A. Jr. [Northrop Grumman Electron. Sensors and Syst. Div., Baltimore, MD (United States); Rowland, L.B. [Northrop Grumman Sci. and Technol. Center, Pittsburgh, PA (United States)
1997-07-01
SiC active layers of tailored thickness and doping form the heart of all SiC electronic devices. These layers are most conveniently formed by vapor phase epitaxy (VPE). Exacting requirements are placed upon the SiC-VPE layers` material properties by both semiconductor device physics and available methods of device processing. In this paper, the current ability of the SiC-VPE process to meet these requirements is described along with continuing improvements in SiC epitaxial reactors, processes and materials. (orig.) 48 refs.
Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate
International Nuclear Information System (INIS)
Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M
2013-01-01
Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)
Single-event burnout of epitaxial bipolar transistors
Energy Technology Data Exchange (ETDEWEB)
Kuboyama, Satoshi; Sugimoto, Kenji; Matsuda, Sumio [National Space Development Agency of Japan, Ysukuba, Ibaraki (Japan); Hirao, Toshio
1998-10-01
Single-event burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs including small signal transistors with thinner epitaxial layer were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified. (author)
Conductivity of epitaxial and CVD graphene with correlated line defects
DEFF Research Database (Denmark)
Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.
2014-01-01
Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...
Schottky barriers based on metal nanoparticles deposited on InP epitaxial layers
Czech Academy of Sciences Publication Activity Database
Grym, Jan; Yatskiv, Roman
2013-01-01
Roč. 28, č. 4 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Colloidal graphite * Epitaxial growth * Schottky barrier diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013
Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate
Directory of Open Access Journals (Sweden)
Fatima Kh. Chibirova
2015-06-01
Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.
Energy Technology Data Exchange (ETDEWEB)
Lei, M.; Wang, W.T.; Pu, M.H.; Yang, X.S.; He, L.J. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia); Zhao, Y., E-mail: yzhao@home.swjtu.edu.cn [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia)
2011-11-15
Epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer for YBCO coated conductors was deposited via fluorine-free dip-coating CSD. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by carefully controlling the processing. YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via CSD approach. Five centimeters long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer for YBCO coated conductors was deposited via dip-coating polymer-assisted chemical solution deposition (PACSD) approach on bi-axially textured Ni-5%W (2 0 0) alloy substrate. The film formation and texture evolution were investigated using X-ray diffraction and scanning electron microscopy. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by way of carefully controlling the concentration of precursor solution, withdrawing speed, annealing temperature and dwelling time. On consideration of both microstructure and texture, epitaxial SCO single buffer layers were fabricated using precursor solution of 0.3 M cationic concentration, the withdrawing speed of 10 mm/min and heat treatment at 1100 deg. C in Ar-5%H{sub 2} mixture gas for 0.5 h. Epitaxial YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via dip-coating PACSD approach. The PACSD approach was a promising way to fabricate long and low-cost YBCO coated conductors.
International Nuclear Information System (INIS)
Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.
2014-01-01
Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low
Energy Technology Data Exchange (ETDEWEB)
El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering
2008-08-15
The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.
Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors
Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)
1994-01-01
Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.
Energy Technology Data Exchange (ETDEWEB)
Kryzhanovskaya, N. V., E-mail: NataliaKryzh@gmail.com; Polubavkina, Yu. S. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Nevedomskiy, V. N. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Nikitina, E. V.; Lazarenko, A. A. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Egorov, A. Yu. [St. Petersburg National Research University of Information Technologies, Mechanics, and Optics (Russian Federation); Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation)
2017-02-15
The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10{sup 8} cm{sup –2} is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.
International Nuclear Information System (INIS)
Kryzhanovskaya, N. V.; Polubavkina, Yu. S.; Nevedomskiy, V. N.; Nikitina, E. V.; Lazarenko, A. A.; Egorov, A. Yu.; Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E.
2017-01-01
The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10"8 cm"–"2 is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.
Energy Technology Data Exchange (ETDEWEB)
Wang, T.H.; Ciszek, T.F.; Reedy, R.; Asher, S.; King, D. [National Renewable Energy Lab., Golden, CO (United States)
1996-05-01
The authors demonstrate that, by using the natural surface segregation phenomenon, Cu can be gettered to the surface from the bulk of silicon layers so that its concentrations in the liquid-phase-epitaxy (LPE) layers are much lower than its solubility at the layer growth temperature and the reported 10{sup 17} cm{sup {minus}3} degradation threshold for solar-cell performance. Secondary-ion mass spectroscopy (SIMS) analysis indicates that, within a micron-deep sub-surface region, Cu accumulates even in as-grown LPE samples. Slower cooling after growth to room temperature enhances this Cu enrichment. X-ray photoelectron spectroscopy (XPS) measurement shows as much as 3.2% Cu in a surface region of about 50 {Angstrom}. More surface-sensitive, ion-scattering spectroscopy (ISS) analysis further reveals about 7% of Cu at the top surface. These results translate to an areal gettering capacity of about 1.0 x 10{sup 16} cm{sup {minus}2}, which is higher than the available total-area density of Cu in the layer and substrate (3.6 x 10{sup 15} cm{sup {minus}2} for a uniform 1.2 x 10{sup 17}cm{sup {minus}3} Cu throughout the layer and substrate with a total thickness of 300 {mu}m).
0.52eV Quaternary InGaAsSb Thermophotovoltaic Diode Technology
International Nuclear Information System (INIS)
MW Dashiell; JF Beausang; G Nichols; DM Depoy; LR Danielson; H Ehsani; KD Rahner; J Azarkevich; P Talamo; E Brown; S Burger; P Fourspring; W Topper; PF Baldasaro; CA Wang; R Huang; M Connors; G Turner; Z Shellenbarger; G Taylor; Jizhong Li; R Marinelli; D Donetski; S Anikeev; G Belenky; S Luryi; DR Taylor; J Hazel
2004-01-01
Thermophotovoltaic (TPV) diodes fabricated from 0.52eV lattice-matched InGaAsSb alloys are grown by Metal Organic Vapor Phase Epitaxy (MOVPE) on GaSb substrates. 4cm 2 multi-chip diode modules with front-surface spectral filters were tested in a vacuum cavity and attained measured efficiency and power density of 19% and 0.58 W/cm 2 respectively at operating at temperatures of T radiator = 950 C and T diode = 27 C. Device modeling and minority carrier lifetime measurements of double heterostructure lifetime specimens indicate that diode conversion efficiency is limited predominantly by interface recombination and photon energy loss to the GaSb substrate and back ohmic contact. Recent improvements to the diode include lattice-matched p-type AlGaAsSb passivating layers with interface recombination velocities less than 100 cm/s and new processing techniques enabling thinned substrates and back surface reflectors. Modeling predictions of these improvements to the diode architecture indicate that conversion efficiencies from 27-30% and ∼0.85 W/cm 2 could be attained under the above operating temperatures
Development of ultra pure germanium epi layers for blocked impurity band far infrared detectors
International Nuclear Information System (INIS)
Lutz, M.P.
1991-05-01
The main goals of this paper are: (1) To develop a low-pressure CVD (LPCVD) process that allows epitaxial growth at lower temperatures. Lower temperatures will allow the achievement of a sharp dopant profile at the substrate/epi-layer interface. Less out-diffusion from the substrate would allow the use of thinner epitaxial layers, which would lead to a larger depletion width in the photoactive region. LPCVD also avoids, to a great extent, gas-phase nucleation, which would cause Ge particulates to fall onto the wafer surface during growth. (2) To reduce high levels of oxygen and copper present at the wafer interface, as observed by secondary ion mass spectroscopy (SIMS). In order to achieve high-quality epitaxial layers, it is imperative that the substrate surface be of excellent quality. (3) To make and test detectors, after satisfactory epitaxial layers have been made
Evaluation of methods for application of epitaxial layers of superconductor and buffer layers
Energy Technology Data Exchange (ETDEWEB)
NONE
1997-06-01
The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, based on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process, an
International Nuclear Information System (INIS)
Cook, L.W.; Tashima, M.M.; Stillman, G.E.
1980-01-01
The thickness of InGaAsP (lambda/sub g/=1.15 μm) and InGaAs (lambda/sub g/=1.68 μm) liquid phase epitaxial layers grown on (100) InP substrates by the step-cooling technique has been measured as a function of growth time. (lambda/sub g/ is defined as the wavelength corresponding to the energy gap of the epitaxial layer.) For growth times much less than the shortest diffusion time tau/sub i/=l 2 /D/sub i/ of the melt constituents, where l is the melt height and D/sub i/ is the diffusivity of each component in the melt, the thickness is consistent with diffusion-limited theory, and the composition is constant. The time at which the growth rate deviates sharply from diffusion-limited theory and beyond which constant composition growth can no longer be maintained has been determined for the melt size used in our experiments and can be estimated for any melt size
Spin-injection into epitaxial graphene on silicon carbide
Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji
2013-09-01
We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.
International Nuclear Information System (INIS)
McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.
1989-01-01
This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy
Senyukov, Serhiy; Besson, Auguste; Claus, Gilles; Cousin, Loic; Dorokhov, Andrei; Dulinski, Wojciech; Goffe, Mathieu; Hu-Guo, Christine; Winter, Marc
2013-01-01
The apparatus of the ALICE experiment at CERN will be upgraded in 2017/18 during the second long shutdown of the LHC (LS2). A major motivation for this upgrade is to extend the physics reach for charmed and beauty particles down to low transverse momenta. This requires a substantial improvement of the spatial resolution and the data rate capability of the ALICE Inner Tracking System (ITS). To achieve this goal, the new ITS will be equipped with 50 um thin CMOS Pixel Sensors (CPS) covering either the 3 innermost layers or all the 7 layers of the detector. The CPS being developed for the ITS upgrade at IPHC (Strasbourg) is derived from the MIMOSA 28 sensor realised for the STAR-PXL at RHIC in a 0.35 um CMOS process. In order to satisfy the ITS upgrade requirements in terms of readout speed and radiation tolerance, a CMOS process with a reduced feature size and a high resistivity epitaxial layer should be exploited. In this respect, the charged particle detection performance and radiation hardness of the TowerJa...
Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)
International Nuclear Information System (INIS)
Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.
2014-01-01
We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si
Energy Technology Data Exchange (ETDEWEB)
Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.
2017-05-01
Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.
X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs
International Nuclear Information System (INIS)
Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.
1989-01-01
The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films
SiC epitaxy growth using chloride-based CVD
International Nuclear Information System (INIS)
Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik
2012-01-01
The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.
International Nuclear Information System (INIS)
Stejskal, J.; Nevriva, M.; Leitner, J.
1995-01-01
The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined
Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.
1999-03-01
Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.
Charge collection properties of heavily irradiated epitaxial silicon detectors
International Nuclear Information System (INIS)
Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.
2005-01-01
Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC
Charge collection properties of heavily irradiated epitaxial silicon detectors
Energy Technology Data Exchange (ETDEWEB)
Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)
2005-12-01
Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.
Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)
2017-02-28
Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.
Energy Technology Data Exchange (ETDEWEB)
Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)
2017-05-01
Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and
International Nuclear Information System (INIS)
Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza
2017-01-01
Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic
Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics
Energy Technology Data Exchange (ETDEWEB)
Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)
2016-06-20
Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.
Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers
International Nuclear Information System (INIS)
Umlor, M.T.; Keeble, D.J.; Cooke, P.W.
1994-01-01
A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively
International Nuclear Information System (INIS)
Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin
2013-01-01
Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3
International Nuclear Information System (INIS)
Norton, D.P.; Park, C.; Prouteau, C.
1998-04-01
The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures
International Nuclear Information System (INIS)
Storm, D.F.; Katzer, D.S.; Binari, S.C.; Shanabrook, B.V.; Zhou Lin; Smith, David J.
2004-01-01
AlGaN/GaN high electron mobility transistor structures have been grown by plasma-assisted molecular beam epitaxy on semi-insulating 4H-SiC utilizing an AlN nucleation layer. The electron Hall mobility of these structures increases from 1050 cm 2 /V s to greater than 1450 cm 2 /V s when the Al/N flux ratio during the growth of the nucleation layer is increased from 0.90 to 1.07. Buffer leakage currents increase abruptly by nearly three orders of magnitude when the Al/N ratio increases from below to above unity. Transmission electron microscopy indicates that high buffer leakage is correlated with the presence of stacking faults in the nucleation layer and cubic phase GaN in the buffer, while low mobilities are correlated with high dislocation densities
Energy Technology Data Exchange (ETDEWEB)
Jungbauer, M.; Hühn, S.; Moshnyaga, V. [Erstes Physikalisches Institut, Universität Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G. [EMAT, University of Antwerp, Groenenborgerlaan 171, 2020 Antwerp (Belgium)
2014-12-22
We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.
Obtaining of bilateral high voltage epitaxial p—i—n Si structures by LPE method
Directory of Open Access Journals (Sweden)
Vakiv N. M.
2013-12-01
Full Text Available Silicon p—i—n-structures are usually obtained using conventional diffusion method or liquid phase epitaxy (LPE. In both cases, the formation of p- and n-layers occurs in two stages. This technological approach is quite complex. Moreover, when forming bilateral high-voltage epitaxial layers, their parameters significantly deteriorate as a result of prolonged heat treatment of active high-resistivity layer. Besides, when using diffusion method, it is impossible to provide good reproducibility of the process. In this paper a technique of growing bilateral high-voltage silicon p—i—n-structures by LPE in a single process is proposed. The authors have obtained the optimum compounds of silicon-undersaturated molten solutions for highly doped (5•1018 cm–3 contact layers: 0.4—0.8 at. % aluminum in gallium melt for growing p-Si-layers and 0.03—0.15 at. % ytterbium in tin melt for n-Si-layers. Parameters of such structures provide for manufacturing of high-voltage diodes on their basis. Such diodes can be used in navigational equipment, communication systems for household and special purposes, on-board power supply systems, radar systems, medical equipment, etc.
Energy Technology Data Exchange (ETDEWEB)
Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)
2016-07-25
Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.
InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters
Zhao, Chao; Ng, Tien Khee; Tseng, Chien-Chih; Li, Jun; Shi, Yumeng; Wei, Nini; Zhang, Daliang; Consiglio, Giuseppe Bernardo; Prabaswara, Aditya; Alhamoud, Abdullah Ali; Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; Zhang, Xixiang; Li, Lain-Jong; Ooi, Boon S.
2017-01-01
The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.
InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters
Zhao, Chao
2017-05-18
The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.
Molecular-beam epitaxy growth of high-performance midinfrared diode lasers
International Nuclear Information System (INIS)
Turner, G.W.; Choi, H.K.; Calawa, D.R.
1994-01-01
Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs
Sulaman, Muhammad; Yang, Shengyi; Jiang, Yurong; Tang, Yi; Zou, Bingsuo
2017-12-01
Organic-inorganic hybrid photodetectors attract more and more interest, since they can combine the advantages of both organic and inorganic materials into one device, and broadband photodetectors are widely used in many scientific and industrial fields. In this work, we demonstrate the enhanced-performance solution-processed broadband photodiodes by epitaxially blending organo-lead halide perovskite (MAPbBr3) colloidal quantum dots (CQDs) with ternary PbSxSe1-x CQDs as the active layer. As a result, the interfacial features of the hetero-epitaxial nanocomposite MAPbBr3:PbSxSe1-x enables the design and perception of functionalities that are not available for the single-phase constituents or layered devices. By combining the high electrical transport properties of MAPbBr3 QDs with the highly radiative efficiency of PbS0.4Se0.6 QDs, the photodiodes ITO/ZnO/PbS0.4Se0.6:MAPbBr3/Au exhibit a maximum photoresponsivity and specific detectivity of 21.48 A W-1 and 3.59 × 1013 Jones, 22.16 A W-1 and 3.70 × 1013 Jones at room temperature under 49.8 μW cm-2 532 nm laser and 62 μW cm-2 980 nm laser, respectively. This is higher than that of the layered photodiodes ITO/ZnO/PbS0.4Se0.6/MAPbBr3/Au, pure perovskite (MAPbBr3) (or PbS0.4Se0.6) QD-based photodiodes reported previously, and it is also better than the traditional inorganic semiconductor-based photodetectors. Our experimental results indicate that epitaxially-aligned nanocomposites (MAPbBr3:PbSxSe1-x) exhibit remarkable optoelectronic properties that are traceable to their atomic-scale crystalline coherence, and one can utilize the excellent photocarrier diffusion from PbSxSe1-x into the perovskite to enhance the device performance from the UV-visible to infrared region.
International Nuclear Information System (INIS)
Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire
2016-01-01
We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.
Energy Technology Data Exchange (ETDEWEB)
Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)
2016-03-07
We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.
Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD
International Nuclear Information System (INIS)
Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali
2008-01-01
We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers
Epitaxy physical principles and technical implementation
Herman, Marian A; Sitter, Helmut
2004-01-01
Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...
Computer graphic investigation on the epitaxial growth of superconductor films
International Nuclear Information System (INIS)
Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.
1989-01-01
A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x
Directory of Open Access Journals (Sweden)
Mauguin O.
2012-11-01
Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.
Electronic properties of epitaxial 6H silicon carbide
International Nuclear Information System (INIS)
Wessels, B.W.; Gatos, H.C.
1977-01-01
The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)
Epitaxial Growth of Germanium on Silicon for Light Emitters
Directory of Open Access Journals (Sweden)
Chengzhao Chen
2012-01-01
Full Text Available This paper describes the role of Ge as an enabler for light emitters on a Si platform. In spite of the large lattice mismatch of ~4.2% between Ge and Si, high-quality Ge layers can be epitaxially grown on Si by ultrahigh-vacuum chemical vapor deposition. Applications of the Ge layers to near-infrared light emitters with various structures are reviewed, including the tensile-strained Ge epilayer, the Ge epilayer with a delta-doping SiGe layer, and the Ge/SiGe multiple quantum wells on Si. The fundamentals of photoluminescence physics in the different Ge structures are discussed briefly.
Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang
2015-09-02
High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.
Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)
International Nuclear Information System (INIS)
Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.
1990-01-01
Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration
Energy Technology Data Exchange (ETDEWEB)
Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)
2016-04-25
Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.
Process for growing a film epitaxially upon an oxide surface and structures formed with the process
McKee, Rodney A.; Walker, Frederick J.
1995-01-01
A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.
Energy Technology Data Exchange (ETDEWEB)
Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin, E-mail: cl.chen@utsa.edu [Department of Physics and Astronomy, University of Texas, San Antonio, Texas 78249 (United States); Zhang, Yamei [Department of Physics, Jiangsu University of Science and Technology, Zhenjiang, Jiangsu 212003 (China); Whangbo, Myung-Hwan [North Carolina State University, Raleigh, North Carolina 27695-8204 (United States); Dong, Chuang; Zhang, Qinyu [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China)
2015-12-14
Single-crystalline epitaxial thin films of PrBaCo{sub 2}O{sub 5.5+δ} (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200–800 °C. During the oxidation cycle under O{sub 2}, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co{sup 2+}/Co{sup 3+} → Co{sup 3+} and Co{sup 3+} → Co{sup 3+}/Co{sup 4+}, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO{sub 2})(PrO)(CoO{sub 2}) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.
International Nuclear Information System (INIS)
Mendes-de-Sa, T G; Goncalves, A M B; Matos, M J S; Coelho, P M; Magalhaes-Paniago, R; Lacerda, R G
2012-01-01
A systematic study of the evolution of the electronic behavior and atomic structure of multilayer epitaxial graphene (MEG) as a function of growth time was performed. MEG was obtained by sublimation of a 4H-SiC(0 0 0 1-bar ) substrate in an argon atmosphere. Raman spectroscopy and x-ray diffraction were carried out in samples grown for different times. For 30 min of growth the sample Raman signal is similar to that of graphite, while for 60 min the spectrum becomes equivalent to that of exfoliated graphene. Conventional x-ray diffraction reveals that all the samples have two different (0001) lattice spacings. Grazing incidence x-ray diffraction shows that thin films are composed of rotated (commensurate) structures formed by adjacent graphene layers. Thick films are almost completely disordered. This result can be directly correlated to the single layer electronic behavior of the films as observed by Raman spectroscopy. Finally, to understand the change in lattice spacings as a result of layer rotation, we have carried out first principles calculations (using density functional theory) of the observed commensurate structures. (paper)
International Nuclear Information System (INIS)
Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao
2010-01-01
A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.
Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering
International Nuclear Information System (INIS)
Braic, M.; Zoita, N.C.; Danila, M.; Grigorescu, C.E.A.; Logofatu, C.
2015-01-01
Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH 4 . The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC 0.84 thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH 4 . • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed
Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering
Energy Technology Data Exchange (ETDEWEB)
Braic, M. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Zoita, N.C., E-mail: cnzoita@inoe.ro [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Danila, M. [National Institute for Research and Development in Microtechnology, 126A Erou Iancu Nicolae Blvd., 077190 Bucharest (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Logofatu, C. [National Institute of Materials Physics, 105 bis Atomistilor St., 077125 Magurele (Romania)
2015-08-31
Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH{sub 4}. The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC{sub 0.84} thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH{sub 4}. • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed.
Radical-source molecular beam epitaxy of ZnO-based heterostructures
Energy Technology Data Exchange (ETDEWEB)
Sadofiev, Sergey
2009-10-27
This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)
Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy
Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus
High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding
la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang
2010-01-01
Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.
la O', Gerardo Jose
2010-06-22
Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.
Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)
Denlinger, Jonathan David
The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a
Tong, C Z; Yoon, S F
2008-09-10
We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.
Molecular beam epitaxial growth and characterization of zinc-blende ZnMgSe on InP (001)
International Nuclear Information System (INIS)
Sohel, Mohammad; Munoz, Martin; Tamargo, Maria C.
2004-01-01
High crystalline quality zinc-blende structure Zn (1-x) Mg x Se epitaxial layers were grown on InP (001) substrates by molecular beam epitaxy. Their band gap energies were determined as a function of Mg concentration and a linear dependence was observed. The band gap of the Zn (1-x) Mg x Se closely lattice matched to InP was found to be 3.59 eV at 77 K and the extrapolated value for zinc-blende MgSe was determined to be 3.74 eV. Quantum wells of Zn (1-x) Cd x Se with Zn (1-x) Mg x Se as the barrier layer were grown which exhibit near ultraviolet emission
International Nuclear Information System (INIS)
Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.
2007-01-01
A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis
DEFF Research Database (Denmark)
Vincent, B.; Gencarelli, F.; Bender, H.
2011-01-01
In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...
Energy Technology Data Exchange (ETDEWEB)
Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.
2000-07-01
Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.
International Nuclear Information System (INIS)
Miller, K.T.
1991-01-01
Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations
Epitaxy - a new technology for fabrication of advanced silicon radiation detectors
International Nuclear Information System (INIS)
Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.
2005-01-01
Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described
Energy Technology Data Exchange (ETDEWEB)
Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375
2017-03-13
The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to
Use of halide transport in epitaxial growth of InP and related compounds
Energy Technology Data Exchange (ETDEWEB)
Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics
1996-12-31
In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.
Energy Technology Data Exchange (ETDEWEB)
Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.; Zavarin, E. E.; Usov, S. O.; Nikolaev, A. E.; Yagovkina, M. A.; Ustinov, V. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Cherkashin, N. A. [CEMES–CNRS—Université de Toulouse (France)
2016-09-15
The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase. In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.
Epitaxial growth and electronic structure of a layered zinc pnictide semiconductor, β-BaZn2As2
International Nuclear Information System (INIS)
Xiao, Zewen; Ran, Fan-Yong; Hiramatsu, Hidenori; Matsuishi, Satoru; Hosono, Hideo; Kamiya, Toshio
2014-01-01
BaZn 2 As 2 is expected for a good p-type semiconductor and has two crystalline phases of an orthorhombic α phase and a higher-symmetry tetragonal β phase. Here, we report that high-quality epitaxial films of the tetragonal β-BaZn 2 As 2 were grown on single-crystal MgO (001) substrates by a reactive solid-phase epitaxy technique. Out-of-plane and in-plane epitaxial relationships between the film and the substrate were BaZn 2 As 2 (00 l)//MgO (001) and BaZn 2 As 2 [200]//MgO [200], respectively. The full-widths at half maximum were 0.082° for a 008 out-of-plane rocking curve and 0.342° for a 200 in-plane rocking curve. A step-and-terrace structure was observed by atomic force microscopy. The band gap of β-BaZn 2 As 2 was evaluated to be around 0.2 eV, which is much smaller than that of a family compound LaZnOAs (1.5 eV). Density functional theory calculation using the Heyd–Scuseria–Ernzerhof hybrid functionals supports the small band gap. - Highlights: • High-quality epitaxial β-BaZn 2 As 2 films were obtained. • The band gap of β-BaZn 2 As 2 was evaluated to around 0.2 eV. • Hybrid Heyd–Scuseria–Ernzerhof calculation supports the small band gap
Kelly, David Quest
After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication
The roles of buffer layer thickness on the properties of the ZnO epitaxial films
Energy Technology Data Exchange (ETDEWEB)
Tang, Kun, E-mail: ktang@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Huang, Shimin [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Gu, Shulin, E-mail: slgu@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Zhu, Shunming [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Ye, Jiandong [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Nanjing University Institute of Optoelectronics at Yangzhou, Yangzhou 225009 (China); Xu, Zhonghua; Zheng, Youdou [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China)
2016-12-01
Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.
The roles of buffer layer thickness on the properties of the ZnO epitaxial films
International Nuclear Information System (INIS)
Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou
2016-01-01
Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.
Epitaxial growth of hybrid nanostructures
Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua
2018-02-01
Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.
Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy
Directory of Open Access Journals (Sweden)
Fedorov A
2010-01-01
Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.
Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure
Energy Technology Data Exchange (ETDEWEB)
Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)
2015-08-15
Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.
Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure
International Nuclear Information System (INIS)
Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi
2015-01-01
Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era
Epitaxial lift-off for solid-state cavity quantum electrodynamics
International Nuclear Information System (INIS)
Greuter, Lukas; Najer, Daniel; Kuhlmann, Andreas V.; Starosielec, Sebastian; Warburton, Richard J.; Valentin, Sascha R.; Ludwig, Arne; Wieck, Andreas D.
2015-01-01
We demonstrate an approach to incorporate self-assembled quantum dots into a Fabry-Pérot-like microcavity. Thereby, a 3λ/4 GaAs layer containing quantum dots is epitaxially removed and attached by van der Waals bonding to one of the microcavity mirrors. We reach a finesse as high as 4100 with this configuration limited by the reflectivity of the dielectric mirrors and not by scattering at the semiconductor-mirror interface, demonstrating that the epitaxial lift-off procedure is a promising procedure for cavity quantum electrodynamics in the solid state. As a first step in this direction, we demonstrate a clear cavity-quantum dot interaction in the weak coupling regime with a Purcell factor in the order of 3. Estimations of the coupling strength via the Purcell factor suggest that we are close to the strong coupling regime
Effects of substrate material on carbon films grown by laser molecular beam epitaxy
International Nuclear Information System (INIS)
Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.
2012-01-01
Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.
Energy Technology Data Exchange (ETDEWEB)
Boni, Andra Georgia, E-mail: andra.boni@infim.ro [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); University of Bucharest, Faculty of Physics, Magurele 077125 (Romania); Chirila, Cristina; Pasuk, Iuliana; Negrea, Raluca; Trupina, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); Le Rhun, Gwenael [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Vilquin, Bertrand [Université de Lyon, Ecole Centrale de Lyon, INL, CNRS UMR5270, 36 avenue Guy de Collongue, F-69134 Ecully cedex (France); Pintilie, Ioana; Pintilie, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania)
2015-10-30
Electrical properties of ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} thin films grown by pulsed laser deposition on silicon substrate with SrTiO{sub 3} buffer layer grown by molecular beam epitaxy were studied. A SrRuO{sub 3} layer was deposited as bottom electrode also by pulse laser deposition and Pt, Ir, Ru, SrRuO{sub 3} were used as top contacts. Electrical characterization comprised hysteresis and capacitance–voltage measurements in the temperature range from 150 K to 400 K. It was found that the macroscopic electrical properties are affected by the electrode interface, by the choice of the top electrode. However, even for metals with very different work functions (e.g. Pt and SrRuO{sub 3}) the properties of the top and bottom electrode interfaces remain fairly symmetric suggesting a strong influence from the bound polarization charges located near the interface. - Highlights: • Ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} were deposited on Si substrate. • The structural characterization proved the epitaxial growth of the layers. • Macroscopic electrical properties are affected by the choice of the top electrode. • The difference on imprint field, dielectric constant are analyzed depending on the electrode-ferroelectric interface.
International Nuclear Information System (INIS)
Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko
2016-01-01
The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.
A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD
Energy Technology Data Exchange (ETDEWEB)
Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)
2015-12-01
Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.
Deposition and characterisation of epitaxial oxide thin films for SOFCs
Santiso, José
2010-10-24
This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.
Growth of CoSi2 on Si(001) by reactive deposition epitaxy
International Nuclear Information System (INIS)
Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.
2005-01-01
CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture
International Nuclear Information System (INIS)
Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G
2016-01-01
We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)
International Nuclear Information System (INIS)
Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg
2008-01-01
Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation
Multifunctional epitaxial systems on silicon substrates
Energy Technology Data Exchange (ETDEWEB)
Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)
2016-09-15
Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin
Epitaxial growth of CZT(S,Se) on silicon
Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu
2016-03-15
Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.
Magnetism in V-/Mn-doped ZnO layers fabricated on sapphire
Energy Technology Data Exchange (ETDEWEB)
Mofor, A.C.; El-Shaer, A.; Schlenker, E.; Bakin, A.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Reuss, F.; Kling, R.; Schoch, W.; Limmer, W. [University Ulm, Department of Semiconductor Physics, Ulm (Germany); Ahlers, H.; Siegner, U.; Sievers, S.; Albrecht, M. [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Eisenmenger, J.; Mueller, T.; Ziemann, P. [University Ulm, Department of Solid State Physics, Ulm (Germany); Huebel, A.; Denninger, G. [Universitaet Stuttgart, 2. Physkalisches Institut, Stuttgart (Germany)
2007-07-15
Doping ZnO with transition metals (TM) is an obvious approach to produce diluted magnetic semiconductors for magnetoelectronic and spintronic applications. We have carried out experimental studies on the fabrication and characterisation of Mn-doped ZnO layers and V-doped ZnO layers and nanorods, the results of which are reviewed in this paper. From SQUID measurements, both epitaxial and implanted ZnMnO layers show paramagnetic behaviour. Epitaxial ZnVO layers show ferromagnetic SQUID signals, but the presence of any secondary phases in the ZnVO layers may not be ruled out. We also show that the used Al{sub 2}O{sub 3} substrates produce a ferromagnetic SQUID signal, that complicates the analysis of magnetisation data and hence the confirmation of ferromagnetism only from SQUID results. (orig.)
Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul
2018-01-01
Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.
Energy Technology Data Exchange (ETDEWEB)
Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)
2015-02-23
Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.
International Nuclear Information System (INIS)
Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke
2015-01-01
Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs
Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition
Lykhach, Y.; Moroz, V.; Yoshitake, M.
2005-02-01
Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.
International Nuclear Information System (INIS)
Feenstra, R.; Norton, D.P.; Budai, J.D.; Jones, E.C.; Christen, D.K.; Kawai, T.
1995-04-01
The infinite layer or parent compounds ACuO 2 (A: Ca-Sr-Ba) constitute the simplest copper oxygen perovskites that contain the CuO 2 sheets essential for superconductivity. The stabilization of these basic ''building blocks'' as epitaxial films, therefore, provides alluring opportunities towards the search for new superconducting compounds and elucidation of the underlying mechanisms. In this work, general trends of the defect formation and carrier doping for epitaxial films of the intermediate endmember SrCuO 2 are reviewed. First results are presented from successful attempts to induce hole-doped superconductivity via the processing-controlled incorporation of charge reservoir layers
Chemical solution seed layer for rabits tapes
Goyal, Amit; Paranthaman, Mariappan; Wee, Sung-Hun
2014-06-10
A method for making a superconducting article includes the steps of providing a biaxially textured substrate. A seed layer is then deposited. The seed layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different rare earth or transition metal cations. A superconductor layer is grown epitaxially such that the superconductor layer is supported by the seed layer.
Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD
Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri
2014-07-01
We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.
Epitaxial growth mechanisms of graphene and effects of substrates
Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.
2012-01-01
The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...
Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua
2016-04-28
Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.
Energy Technology Data Exchange (ETDEWEB)
Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)
2016-07-28
This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.
Electronic cooling via interlayer Coulomb coupling in multilayer epitaxial graphene
Mihnev, Momchil T.; Tolsma, John R.; Divin, Charles J.; Sun, Dong; Asgari, Reza; Polini, Marco; Berger, Claire; de Heer, Walt A.; MacDonald, Allan H.; Norris, Theodore B.
2015-01-01
In van der Waals bonded or rotationally disordered multilayer stacks of two-dimensional (2D) materials, the electronic states remain tightly confined within individual 2D layers. As a result, electron–phonon interactions occur primarily within layers and interlayer electrical conductivities are low. In addition, strong covalent in-plane intralayer bonding combined with weak van der Waals interlayer bonding results in weak phonon-mediated thermal coupling between the layers. We demonstrate here, however, that Coulomb interactions between electrons in different layers of multilayer epitaxial graphene provide an important mechanism for interlayer thermal transport, even though all electronic states are strongly confined within individual 2D layers. This effect is manifested in the relaxation dynamics of hot carriers in ultrafast time-resolved terahertz spectroscopy. We develop a theory of interlayer Coulomb coupling containing no free parameters that accounts for the experimentally observed trends in hot-carrier dynamics as temperature and the number of layers is varied. PMID:26399955
Energy Technology Data Exchange (ETDEWEB)
Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Itoi, Takaomi [Graduate School of Engineering, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015 (Japan)
2016-04-11
The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.
International Nuclear Information System (INIS)
Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.
2005-01-01
The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Effect of the energy of bombarding electrons on the conductivity of n-4H-SiC (CVD) epitaxial layers
Energy Technology Data Exchange (ETDEWEB)
Kozlovski, V. V., E-mail: kozlovski@physics.spbstu.ru [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Lebedev, A. A.; Strel’chuk, A. M.; Davidovskaya, K. S. [Ioffe Physical–Technical Institute (Russian Federation); Vasil’ev, A. E. [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Makarenko, L. F. [Belarusian State University (Belarus)
2017-03-15
The electrical characteristics of epitaxial layers of n-4H-SiC (CVD) irradiated with 0.9 and 3.5MeV electrons are studied. It is shown that the donor removal rate becomes nearly four times higher as the energy of impinging electrons increases by a factor of 4, although the formation cross section of primary radiation defects (Frenkel pairs in the carbon sublattice) responsible for conductivity compensation of the material is almost energy independent in this range. It is assumed that the reason for the observed differences is the influence exerted by primary knocked-out atoms. First, cascade processes start to manifest themselves with increasing energy of primary knocked-out atoms. Second, the average distance between genetically related Frenkel pairs grows, and, as a consequence, the fraction of defects that do not recombine under irradiation becomes larger. The recombination radius of Frenkel pairs in the carbon sublattice is estimated and the possible charge state of the recombining components is assessed.
Energy Technology Data Exchange (ETDEWEB)
Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)
2015-12-15
Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between
DEFF Research Database (Denmark)
Naik, Gururaj V.; Saha, Bivas; Liu, Jing
2014-01-01
, we address these issues by realizing an epitaxial superlattice as an HMM. The superlattice consists of ultrasmooth layers as thin as 5 nm and exhibits sharp interfaces which are essential for high-quality HMM devices. Our study reveals that such a TiN-based superlattice HMM provides a higher PDOS...
Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy
Directory of Open Access Journals (Sweden)
Shuo-Ting You
2015-12-01
Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.
Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua
2016-01-01
Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446
Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T
2000-01-01
Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.
Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates
International Nuclear Information System (INIS)
Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi
2013-01-01
Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)
International Nuclear Information System (INIS)
Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro
2000-01-01
A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure
International Nuclear Information System (INIS)
Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi
2009-01-01
SmCo 5 (0001) epitaxial films were prepared on Cu(111) single-crystal underlayers formed on Al 2 O 3 (0001) substrates at 500 deg. C. The nucleation and growth mechanism of (0001)-oriented SmCo 5 crystal on Cu(111) underlayer is investigated and a method to control the nucleation is proposed. The SmCo 5 epitaxial thin film formed directly on Cu underlayer consists of two types of domains whose orientations are rotated around the film normal by 30 deg. each other. By introducing a thin Co seed layer on the Cu underlayer, a SmCo 5 (0001) single-crystal thin film is successfully obtained. Nucleation of SmCo 5 crystal on Cu underlayer seems controllable by varying the interaction between the Cu underlayer and the SmCo 5 layer
Molecular beam epitaxy of single crystal colossal magnetoresistive material
International Nuclear Information System (INIS)
Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.
1996-01-01
The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1
The role of Si as surfactant and donor in molecular-beam epitaxy of AlN
International Nuclear Information System (INIS)
Lebedev, V.; Morales, F.M.; Romanus, H.; Krischok, S.; Ecke, G.; Cimalla, V.; Himmerlich, M.; Stauden, T.; Cengher, D.; Ambacher, O.
2005-01-01
The growth of Si-doped AlN(0001) thin films on Al 2 O 3 (0001) substrates by plasma-induced molecular-beam epitaxy is reported. We have found that Si positively affects the epitaxy being an effective surfactant for AlN growth with a remarkable impact on the crystal quality. It was proven that the characteristic surface reconstruction sequences frequently related to the Al adatoms are obviously Si induced on AlN(0001) surfaces. It was also observed that heavy doping conditions result in volume segregation of Si on the threading dislocation network and in the formation of an amorphous (AlO)(SiO)N cap layer caused by surface oxidation of the accumulated Al and segregated Si. The electron affinity was measured to be smaller than 0.5 eV on the clean AlN surface after removing of the cap layer using Ar + sputtering
Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji
2018-02-01
The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.
Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi
2017-01-01
Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...
Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel
2016-05-04
Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.
Impact of rare earth elements on the properties of InP-based epitaxial layers
Czech Academy of Sciences Publication Activity Database
Procházková, Olga; Grym, Jan; Zavadil, Jiří; Žďánský, Karel; Kopecká, M.
2005-01-01
Roč. 1, č. 1 (2005), s. 187-187 ISSN 1336-7242. [Zjazd chemických spoločností /57./. Tatranské Matliare, 04.09.2005-08.09.2005] R&D Projects: GA ČR(CZ) GA102/03/0379 Institutional research plan: CEZ:AV0Z20670512 Keywords : epitaxial growth * semiconductors * rare earth compounds Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering
Epitaxial growth by monolayer restricted galvanic displacement
Directory of Open Access Journals (Sweden)
Vasilić Rastko
2012-01-01
Full Text Available The development of a new method for epitaxial growth of metals in solution by galvanic displacement of layers pre-deposited by underpotential deposition (UPD was discussed and experimentally illustrated throughout the lecture. Cyclic voltammetry (CV and scanning tunneling microscopy (STM are employed to carry out and monitor a “quasi-perfect”, two-dimensional growth of Ag on Au(111, Cu on Ag(111, and Cu on Au(111 by repetitive galvanic displacement of underpotentially deposited monolayers. A comparative study emphasizes the displacement stoichiometry as an efficient tool for thickness control during the deposition process and as a key parameter that affects the deposit morphology. The excellent quality of layers deposited by monolayer-restricted galvanic displacement is manifested by a steady UPD voltammetry and ascertained by a flat and uniform surface morphology maintained during the entire growth process.
Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO3 interface (invited)
International Nuclear Information System (INIS)
Radaelli, G.; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R.
2014-01-01
Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO 3 (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures
International Nuclear Information System (INIS)
Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J
2013-01-01
Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)
Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric
2017-11-01
Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Surface Reaction Kinetics of Ga(1-x)In(x)P Growth During Pulsed Chemical Beam Epitaxy
National Research Council Canada - National Science Library
Dietz, N; Beeler, S. C; Schmidt, J. W; Tran, H. T
2000-01-01
... into the surface reaction kinetics during an organometallic deposition process. These insights will allow us to move the control point closer to the point where the growth occurs, which in a chemical been epitaxy process is a surface reaction layer (SRL...
International Nuclear Information System (INIS)
Bhuiyan, M S; Paranthaman, M; Sathyamurthy, S; Aytug, T; Kang, S; Lee, D F; Goyal, A; Payzant, E A; Salama, K
2003-01-01
We have grown epitaxial CeO 2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors using a newly developed metal organic decomposition (MOD) approach. Precursor solution of 0.25 M concentration was spin coated on short samples of Ni-3 at%W (Ni-W) substrates and heat-treated at 1100 C in a gas mixture of Ar-4%H 2 for 15 min. Detailed x-ray studies indicate that CeO 2 films have good out-of-plane and in-plane textures with full-width-half-maximum values of 5.8 deg. and 7.5 deg., respectively. High temperature in situ XRD studies show that the nucleation of CeO 2 films starts at 600 C and the growth completes within 5 min when heated at 1100 C. SEM and AFM investigations of CeO 2 films reveal a fairly dense microstructure without cracks and porosity. Highly textured YSZ barrier layers and CeO 2 cap layers were deposited on MOD CeO 2 -buffered Ni-W substrates using rf-magnetron sputtering. Pulsed laser deposition (PLD) was used to grow YBCO films on these substrates. A critical current, J c , of about 1.5 MA cm -2 at 77 K and self-field was obtained on YBCO (PLD)/CeO 2 (sputtered)/YSZ (sputtered)/CeO 2 (spin-coated)/Ni-W
International Nuclear Information System (INIS)
Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.
1995-01-01
The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film
International Nuclear Information System (INIS)
Ambrosch, K.-E.
1985-01-01
Lead salts are small gap semiconductors that are used for infrared detectors and lasers. PbMnTe and PbEuTe are semimagnetic semiconductors. Magnetotransport properties of epitaxial films and epitaxial heterostructures (PbTe / PbSnTe) are investigated. Epitaxial films of PbSnTe, PbMnTe and PbEuTe have been used for Shubnikov de Haas - experiments in tilted magnetic fields. This method allows the quantitative determination of the electric carrier distribution with respect to the crystal directions. The nonequal distribution is caused by strain effects that are more important for PbMnTe than for PbSnTe and PbEuTe. Magnetoresistance experiments show a deviation from cubic symmetry that leads to the same results for the carrier distribution as the Shubnikov de Haas effect. Magnetoresistance experiments performed with PbTe / PbSnTe heterostructures show no megnetoresistance if the magnetic field is in plane with the layers. The difference of the magnetoresistance for single films and heterostructures is explained by 'quasitwodimensional' carriers. Shubnikov de Haas experiments performed on heterostructures as a function of the tilt angle of the magnetic field show different behaviour compared to that of single films. Using additional information about effective masses and strain it was possible to distinguish between 'two-' and 'threedimensional' electronic systems. The distribution of carriers in single films and heterostructures has been determined by means of magnetotransport experiments. The results are explained by strain effects of the crystal lattice. In addition heterostructures show a 'quasitwodimensional' behaviour caused by interaction of their layers. (Author)
Thickness periodicity in the auger line shape from epitaxial (111)Cu films
Energy Technology Data Exchange (ETDEWEB)
Namba, Y; Vook, R W; Chao, S S
1981-01-01
The 61 eV MMM Cu Auger line doublet was recorded in the derivative mode as a function of thickness for epitaxial (111)Cu films approximately 1500 angstrom thick. The overlap of the doublet lines makes it possible to define a measure of the doublet profile called the ''R-factor'' as a ratio of the peak-to-peak heights of the small overlap oscillation to that of the major oscillation. To within the experimental error, it was found that the R-factor varies with a periodicity of approximately one monoatomic layer as the film thickens. Since these films grow by a layer growth mechaniism, the surface topography varies periodically with the number of monolayers deposited, going from a smooth to a rough to a smooth, etc. surface. It is believed that the occurrence of such a periodicity implies that there is a difference in the electronic structure at the surface of the flat areas of the film from that at the edges of monolayer high, flat islands. The amplitude of the oscillation in R is interpreted to be a measure of the relative amounts of edge area compared to flat area. These results show that it is possible to use Auger electron spectroscopy to monitor surface topography and the electronic structure changes that accompany the topographical changes occurring when epitaxial films grow by a layer growth mechanism.
Surface and Interface Properties of 10–12 Unit Cells Thick Sputter Deposited Epitaxial CeO2 Films
Directory of Open Access Journals (Sweden)
L. V. Saraf
2008-01-01
Full Text Available Ultrathin and continuous epitaxial films with relaxed lattice strain can potentially maintain more of its bulk physical and chemical properties and are useful as buffer layers. We study surface, interface, and microstructural properties of ultrathin (∼10–12 unit cells thick epitaxial ceria films grown on single crystal YSZ substrates. The out-of -plane and in-plane lattice parameters indicate relaxation in the continuous film due to misfit dislocations seen by high-resolution transmission electron microscopy (HRTEM and substrate roughness of ∼1-2 unit cells, confirmed by atomic force microscopy and HRTEM. A combination of secondary sputtering, lattice mismatch, substrate roughness, and surface reduction creating secondary phase was likely the cause of surface roughness which should be reduced to a minimum level for effective use of it as buffer layers.
Energy Technology Data Exchange (ETDEWEB)
Heinze, Soeren
2009-03-30
ZnO is a direct semiconductor with a band gap of 3.37 eV and an exciton binding energy of about 60 meV. By alloying with cadmium or magnesium the band gap can be varied between 2.9 eV and 4 eV, which makes the realization of for instance quantum pot structures. Therefore ZnO is a promising material for optoelectronic applications in the blue and near-ultraviolet spectral range. In spite of world-wide numerous research activities over the last years the realization of p-type ZnO could indeed not sufficiently (i.e. reproduceable and long-time stably) be solved. The ZnO layers of this thesis were fabricated by means of metalorganic gas-phase epitaxy. By means of the studies on heteroepitactically deposed, undoped layers I show the limits of the heteroepitaxy. Although in doping attempts no p-type ZnO could be fabricated. By introduction of a three-stage growth procedure physical properties (morphology, luminescence, crystallographic and electric properties) of the upper ZnO layer could be distinctly improved. On the other hand it was proved that during the fabrication process an electrically high-conductive intermediate layer in the neighbourhood of the substrate/ZnO interface is formed, the formation of which cannot be avoided in the heteroepitaxy. Since about three years ZnO substrates with very good quality are commercially available. Therefore the essential part of this thesis tracts my works on the homoepitaxy of ZnO. For a successful homoepitactical growth a thermal pre-treatment (annealing) of the substrate is necessary. Thereby the substrate is located in a surrounding of ZnO powder and an oxygen atmosphere. The optimal tempering conditions were determined and the influence of these pre-treatment on the physical properties of the substrated were detailedly studied. After the annealing the substrates are suited for the epitaxy. The experiences from the heteroepitaxy could not without more ado be transferred to the homoepitaxy. The quality of the homoepitactical
Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO
Samal, D.; Nakamura, H.; Takagi, H.
2016-07-01
A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.
Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures
Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.
2018-01-01
Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.
Soltani, S.
2017-02-17
The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.
Soltani, S.; Bouzidi, M.; Chine, Z.; Toure, A.; Halidou, I.; El Jani, B.; Shakfa, M. K.
2017-01-01
The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.
Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition
International Nuclear Information System (INIS)
Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H
2013-01-01
SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.
Epitaxial graphene electronic structure and transport
International Nuclear Information System (INIS)
De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun
2010-01-01
Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.
Energy Technology Data Exchange (ETDEWEB)
Wang, Wei; Li, Lingzi; Zhou, Qian [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Pan, Jisheng; Zhang, Zheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)
2014-12-01
Highlights: • Ge{sub 0.915}Sn{sub 0.085} was grown on Ge (0 0 1) by molecular beam epitaxy (MBE). • The impact of annealing on surface morphology and Sn composition was studied. • Sn is found to preferentially segregate towards the surface at 200 °C. • A Sn-rich layer would form on the Ge{sub 1−x}Sn{sub x} surface after annealing at 300 °C. • Sn desorption and formation of Sn-rich islands were found when T > 300 °C. - Abstract: Annealing of strained Ge{sub 1−x}Sn{sub x} epitaxial layers grown on Ge(0 0 1) substrate results in two distinctive regimes marked by changes in composition and morphology. Annealing at low temperatures (200–300 °C or Regime-I) leads to surface enrichment of Sn due to Sn segregation, as indicated by X-ray photoelectron spectroscopy (XPS) results, while the bulk Sn composition (from X-ray diffraction (XRD)) and the surface morphology (from atomic force microscopy (AFM)) do not show discernible changes as compared to the as-grown sample. Annealing at temperatures ranging from 300 °C to 500 °C (Regime-II) leads to a decrease in the surface Sn composition. While the Ge{sub 1−x}Sn{sub x} layer remains fully strained, a reduction in the bulk Sn composition is observed when the annealing temperature reaches 500 °C. At this stage, surface roughening also occurs with formation of 3D islands. The island size increases as the annealing temperature is raised to 600 °C. The decrease in the Sn composition at the surface and in the bulk in Regime-II is attributed to additional thermally activated kinetic processes associated with Sn desorption and formation of Sn-rich 3D islands on the surface.
Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry
International Nuclear Information System (INIS)
Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.
2008-01-01
Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range
Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry
Energy Technology Data Exchange (ETDEWEB)
Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)
2008-10-01
Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.
International Nuclear Information System (INIS)
Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.
2015-01-01
The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown
Energy Technology Data Exchange (ETDEWEB)
Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)
2015-02-15
The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.
Energy Technology Data Exchange (ETDEWEB)
Schmidt, Tobias K.
2007-10-15
To meet the still increasing technical demands of new materials, it is required to improve basic knowledge of thin films and multilayers. This thesis describes the microstructure and mechanical behaviour of thin epitaxial Ag/Ni-multilayers. Former investigations were only done on polycrystalline multilayers or epitaxial single layers. The manufacture of epitaxial Ag/Ni-multilayers on (111) orientated Si-substrates was performed by a magnetron sputtering technique under ultra high vacuum (UHV). The thickness of the alternating Ag- and Ni-layers varies between 100 and 400 nm, the thickness of the whole film varies between 200 and 800 nm. Hardness and flow stress of Ag/Ni-multilayers were measured with a nanoindentation technique, a substrate curvature method and by X-ray diffraction. The hardness of these multilayers varies between 1.5 and 2.0 GPa. The Ag single film hardness is 0.5 GPa and Ni film 1.8 GPa. The flow stress of the Ag/Ni-multilayers varies between 350 and 800 MPa. The Ag single layer shows a flow stress of 100 MPa and Ni of 450 MPa. Both hardness and flow stress increase with decreasing layer thickness. In situ TEM and HRTEM experiments showed a semicoherent Ag/Ni-interface. It was observed that these interfaces act as sources and sinks. Dislocation loops formed at the interface expand and shrink according to the stress state. They combine with loops from the opposite interface or with the interface itself and form threading dislocations. Dislocation loops penetrating an interface were not observed. Results were compared with various models which simulate flow stress in thin films and multilayers. The most important models are calculated by Nix-Freund, the Source-model after von Blanckenhagen and the Hall-Petch-model. (orig.)
Energy Technology Data Exchange (ETDEWEB)
Radaelli, G., E-mail: greta.radaelli@gmail.com; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R. [LNESS Center - Dipartimento di Fisica del Politecnico di Milano, Como 22100 (Italy)
2014-05-07
Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO{sub 3} (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures.
YBa2Cu3O7-δ/NdBa2(Cu1-xNix)3O7-δ double layers by liquid-phase epitaxial growth
International Nuclear Information System (INIS)
Yao, X.; Izumi, Toru; Hobara, Natsuro; Nakamura, Yuichi; Izumi, Teruo; Shiohara, Yuh
2001-01-01
Our present investigation has answered questions pertaining to the REBa 2 Cu 3 O 7-δ (RE123, RE=rare-earth elements)-coated conductor application when NdBa 2 (Cu 1-x Ni x ) 3 O 7-δ (Ni-NdBCO) solid solution is used as a buffer layer by the liquid-phase epitaxy(LPE) process. The NiO/Ni substrate has no substantial reaction in the Ni-saturated Nd-Ba-Cu-O liquid. There is no essential Ni interdiffusion between YBa 2 Cu 3 O 7-δ (YBCO) and Ni-NdBCO LPE thick films as evident from T c values of 90 K obtained from multilayer YBCO/Ni-NdBCO samples. (author)
National Research Council Canada - National Science Library
Krishnaswami, Kannan; Vangala, Shivashankar R; Dauplaise, Helen M; Allen, Lisa P; Dallas, Gordon; Bakken, Daniel; Bliss, David F; Goodhue, WIlliam D
2007-01-01
... at temperatures ranging 530 degrees C to 560 degrees C. Cross-sectional transmission electron microscopy of molecular beam epitaxy grown GaSb/AlGaSb layers showed that the HBr-GCIB surface produced a smooth dislocation-free substrate-to-epi transition...
Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan
2018-01-01
Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.
Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence
International Nuclear Information System (INIS)
Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.
2004-01-01
We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample
International Nuclear Information System (INIS)
Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D
2010-01-01
We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.
Optimized electrode coverage of membrane actuators based on epitaxial PZT thin films
International Nuclear Information System (INIS)
Nguyen, M D; Dekkers, M; Blank, D H A; Rijnders, G; Nazeer, H
2013-01-01
This research presents an optimization of piezoelectric membrane actuators by maximizing the actuator displacement. Membrane actuators based on epitaxial Pb(Zr,Ti)O 3 thin films grown on all-oxide electrodes and buffer layers using silicon technology were fabricated. Electrode coverage was found to be an important factor in the actuation displacement of the piezoelectric membranes. The optimum electrode coverage for maximum displacement was theoretically determined to be 39%, which is in good agreement with the experimental results. Dependences of membrane displacement and optimum electrode coverage on membrane diameter and PZT-film/Si-device-layer thickness ratio have also been investigated. (paper)
Molecular beam epitaxy of InxGa1-xAs on InP (100) substrates
International Nuclear Information System (INIS)
Dvoryankina, G.G.; Dvoryankin, V.F.; Petrov, A.G.; Kudryashov, A.A.; Khusid, L.B.
1991-01-01
Heteroepitaxy layers of In x Ga 1-x As in the wide field of compositions (x=0.2-0.8) of 0.2-2.0 μm thick on (100) InP substrates were grown using the methods of epitaxy from molecular beams. Structure, surface morphology and electric properties of layers in relation to their thick and composition were investigated. It was shown that the quality of In x Ga 1-x As layers on (100) InP was more sensitive to tensile strain than compressive strain. Different mechanisms of scattering of free electrons in layers of In x Ga 1-x As(x∼=0.53) on (101) InP were considered
Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun
2017-03-01
Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.
Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.
2014-03-01
Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.
Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru
2015-12-01
P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.
Epitaxial silicon semiconductor detectors, past developments, future prospects
International Nuclear Information System (INIS)
Gruhn, C.R.
1976-01-01
A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized
Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering
Pergolesi, Daniele
2015-02-01
Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.
Energy Technology Data Exchange (ETDEWEB)
Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)
2014-02-15
Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.
Mechanical responses of Zn{sub 1-x}Mn{sub x}O epitaxial thin films
Energy Technology Data Exchange (ETDEWEB)
Chang, Teng-Ruey [Department of Industrial Engineering and Management, Nan Kai University of Techonology, Nantou 54243, Taiwan (China); Tsai, Chien-Huang, E-mail: chtsai12@gmail.com [Department of Automation Engineering, Nan Kai University of Techonology, Nantou 54243, Taiwan (China)
2011-10-15
In this study, we used nanoindentation to investigate the effect of the doping of Mn into ZnO buffer layers on the epitaxial growth of ZnO through plasma-assisted molecular beam epitaxy on c-plane sapphire substrates. We characterized the variation of the mechanical properties of Zn{sub 1-x}Mn{sub x}O alloys as a function of the Mn content in the range (x) from 0 to 0.16, as well as analyzing their microstructures using high-resolution transmission electron microscopy. The presence of the Mn-doped ZnO buffer layer enhanced the nanomechanical properties of the ZnO epilayers significantly. From their Berkovich indenter responses, plots of the Young's modulus (E) and hardness (H) of these films revealed that the value of E increased relatively steadily upon increasing the Mn composition, whereas the value of H reached its maximum when x was equal to 0.16. This discrepancy suggests that Zn{sub 1-x}Mn{sub x}O epilayers of higher Mn contents had higher shear resistances.
Yamashita, T.; Hayashi, S.; Naijo, T.; Momose, K.; Osawa, H.; Senzaki, J.; Kojima, K.; Kato, T.; Okumura, H.
2018-05-01
Double Shockley-type stacking faults (2SSFs) formed in 4H-SiC epitaxial films with a dopant concentration of 1.0 × 1016 cm-3 were characterized using grazing incident X-ray topography and high-resolution scanning transmission electron microscopy. The origins of 2SSFs were investigated, and it was found that 2SSFs in the epitaxial layer originated from narrow SFs with a double Shockley structure in the substrate. Partial dislocations formed between 4H-type and 2SSF were also characterized. The shapes of 2SSFs are related with Burgers vectors and core types of the two Shockley partial dislocations.
Energy Technology Data Exchange (ETDEWEB)
Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)
2013-05-01
Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.
International Nuclear Information System (INIS)
Fernandez-Garrido, S.; Pereiro, J.; Munoz, E.; Calleja, E.; Redondo-Cubero, A.; Gago, R.; Bertram, F.; Christen, J.; Luna, E.; Trampert, A.
2008-01-01
Indium incorporation into wurtzite (0001)-oriented In x Al y Ga 1-x-y N layers grown by plasma-assisted molecular beam epitaxy was studied as a function of the growth temperature (565-635 deg. C) and the AlN mole fraction (0.01< y<0.27). The layer stoichiometry was determined by Rutherford backscattering spectrometry (RBS). RBS shows that indium incorporation decreased continuously with increasing growth temperature due to thermally enhanced dissociation of In-N bonds and for increasing AlN mole fractions. High resolution x-ray diffraction and transmission electron microscopy (TEM) measurements did not show evidence of phase separation. The mosaicity of the quaternary layers was found to be mainly determined by the growth temperature and independent on alloy composition within the range studied. However, depending on the AlN mole fraction, nanometer-sized composition fluctuations were detected by TEM. Photoluminescence spectra showed a single broad emission at room temperature, with energy and bandwidth S- and W-shaped temperature dependences typical of exciton localization by alloy inhomogeneities. Cathodoluminescence measurements demonstrated that the alloy inhomogeneities, responsible of exciton localization, occur on a lateral length scale below 150 nm, which is corroborated by TEM
Thin epitaxial silicon detectors
International Nuclear Information System (INIS)
Stab, L.
1989-01-01
Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported
Epitaxial growth mechanisms of graphene and effects of substrates
Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.
2012-06-01
The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.
New synthesis method for the growth of epitaxial graphene
Energy Technology Data Exchange (ETDEWEB)
Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)
2011-04-15
Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.
International Nuclear Information System (INIS)
Ferralis, Nicola; Carraro, Carlo
2014-01-01
Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching
Energy Technology Data Exchange (ETDEWEB)
Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)
2014-11-30
Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.
Defect analysis of NiMnSb epitaxial layers
Energy Technology Data Exchange (ETDEWEB)
Nowicki, L. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland)]. E-mail: lech.nowicki@fuw.edu.pl; Turos, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw (Poland); Stonert, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Garrido, F. [Centre de Spectrometrie Nucleaire et Spectrometrie de Masse, CNRS-IN2P3-Universite Paris-Sud, 91405 Orsay (France); Molenkamp, L.W. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Bach, P. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Schmidt, G. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Karczewski, G. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, POB 510119, 01314 Dresden (Germany)
2005-10-15
NiMnSb layers grown on InP substrates with InGaAs buffer were studied by the backscattering/channeling spectrometry (RBS/C) with He beams. The nature of predominant defects observed in the layers was studied by determination of incident-energy dependence of the relative channeling yield. The defects are described as a combination of large amount of interstitial atoms and of stacking faults or grain boundaries. The presence of grains was confirmed by transmission electron microscopy.
Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer
Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.
2005-05-01
Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.
Growth of CrTe thin films by molecular-beam epitaxy
International Nuclear Information System (INIS)
Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.
2006-01-01
We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature
Epitaxial rare-earth superlattices and films
International Nuclear Information System (INIS)
Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.
1992-01-01
This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods
Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface
Li, Ming Yang
2015-07-30
Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.
Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor
International Nuclear Information System (INIS)
Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi
2015-01-01
In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)
McKee, Rodney A.; Walker, Frederick J.
1996-01-01
A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.
Onozuka, T; Chikamatsu, A; Katayama, T; Fukumura, T; Hasegawa, T
2016-07-26
A new phase of oxyhydride NdNiOxHy with a defect-fluorite structure was obtained by a soft chemical reaction of NdNiO3 epitaxial thin films on a substrate of SrTiO3 (100) with CaH2. The epitaxial relationship of this phase relative to SrTiO3 could be controlled by changing the reaction temperature. At 240 °C, NdNiOxHy grew with a [001] orientation, forming a thin layer of infinite-layer NdNiO2 at the interface between the NdNiOxHy and the substrate. Meanwhile, a high-temperature reaction at 400 °C formed [110]-oriented NdNiOxHy without NdNiO2.
International Nuclear Information System (INIS)
Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro
2011-01-01
We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique
Performances of epitaxial GaAs p/i/n structures for X-ray imaging
Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C
2002-01-01
We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.
Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.
2018-02-01
The capacitive characteristics of metal-insulator-semiconductor (MIS) structures based on the compositionally graded Hg1-xCdxTe created by molecular beam epitaxy have been experimentally investigated in a wide temperature range (8-77 K). A program has been developed for numerical simulation of ideal capacitance-voltage (C-V) characteristics in the low-frequency and high-frequency approximations. The concentrations of the majority carriers in the near-surface semiconductor layer are determined from the values of the capacitances in the minima of low-frequency C-V curves. For MIS structures based on p-Hg1-xCdxTe, the effect of the presence of the compositionally graded layer on the hole concentration in the near-surface semiconductor layer, determined from capacitive measurements, has not been established. Perhaps this is due to the fact that the concentration of holes in the near-surface layer largely depends on the type of dielectric coating and the regimes of its application. For MIS structures based on n-Hg1-x Cd x Te (x = 0.22-0.23) without a graded-gap layer, the electron concentration determined by the proposed method is close to the average concentration determined by the Hall measurements. The electron concentration in the near-surface semiconductor layer of the compositionally graded n-Hg1-x Cd x Te (x = 0.22-0.23) found from the minimum capacitance value is much higher than the average electron concentration determined by the Hall measurements. The results are qualitatively explained by the creation of additional intrinsic donor-type defects in the near-surface compositionally graded layer of n-Hg1-x Cd x Te.
Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene
Energy Technology Data Exchange (ETDEWEB)
Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)
2017-11-15
We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Energy Technology Data Exchange (ETDEWEB)
Galiev, G. B., E-mail: galiev-galib@mail.ru [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Kitaeva, G. Kh. [Moscow State University, Faculty of Physics (Russian Federation); Klimov, E. A.; Klochkov, A. N. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Kolentsova, O. S. [National Research Nuclear University “MEPhI” (Russian Federation); Kornienko, V. V.; Kuznetsov, K. A. [Moscow State University, Faculty of Physics (Russian Federation); Maltsev, P. P.; Pushkarev, S. S. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation)
2017-03-15
The spectrum and waveforms of broadband terahertz-radiation pulses generated by low-temperature In{sub 0.53}Ga{sub 0.47}As epitaxial films under femtosecond laser pumping are investigated by terahertz time-resolved spectroscopy. The In{sub 0.53}Ga{sub 0.47}As films are fabricated by molecular-beam epitaxy at a temperature of 200°C under different arsenic pressures on (100)-oriented InP substrates and, for the first time, on (411)A InP substrates. The surface morphology of the samples is studied by atomic-force microscopy and the structural quality is established by high-resolution X-ray diffraction analysis. It is found that the amplitude of terahertz radiation from the LT-InGaAs layers on the (411)A InP substrates exceeds that from similar layers formed on the (100) InP substrates by a factor of 3–5.
International Nuclear Information System (INIS)
D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.
2007-01-01
Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample
Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R
2014-04-01
Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without
Energy Technology Data Exchange (ETDEWEB)
Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)
2014-04-15
Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without
International Nuclear Information System (INIS)
Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.
2014-01-01
Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without
GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process
International Nuclear Information System (INIS)
Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.
2009-01-01
Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.
International Nuclear Information System (INIS)
Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.
2015-01-01
Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission
CSIR Research Space (South Africa)
Gouws, GJ
1993-05-01
Full Text Available The suitability of various buffer layer structures on (100) GaAs for (CdHg)Te growth by organometallic vapour phase epitaxy (OMVPE) was investigated. The preferred epitaxial orientation of (100) GaAs/ (lll) CdTe was found to be unsuitable due...
International Nuclear Information System (INIS)
Saroj, R K; Dhar, S
2014-01-01
Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)
Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.
2017-10-01
We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.
Extended defects in epitaxial Sc2O3 films grown on (111) Si
International Nuclear Information System (INIS)
Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne
2005-01-01
Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice
Position-controlled epitaxial III-V nanowires on silicon
Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.
2006-01-01
We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction
International Nuclear Information System (INIS)
Uchino, T; Gili, E; Ashburn, P; Tan, L; Buiu, O; Hall, S
2012-01-01
A vertical MOSFET (VMOST) incorporating an epitaxial channel and a drain junction in a stacked silicon-insulator structure is presented. In this device structure, an oxide layer near the drain junction edge (referred to as a junction stop) acts as a dopant diffusion barrier and consequently a shallow drain junction is formed to suppress short channel effects. To investigate the scalability of this device, a simulation study in the sub-100 nm regime calibrated to measured results on the fabricated devices is carried out. The use of an epitaxial channel delivers 50% higher drive current due to the higher mobility of the retrograde channel and the junction stop structure delivers improvements of threshold voltage roll-off and drain-induced barrier lowering compared with a conventional VMOST. (fast track communication)
International Nuclear Information System (INIS)
Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.
2006-01-01
Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned
Energy Technology Data Exchange (ETDEWEB)
Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)
2015-12-14
We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.
Symmetry Breaking in Few Layer Graphene Films
Energy Technology Data Exchange (ETDEWEB)
Bostwick, A.; Ohta, T.; McChesney, J.L.; Emtsev, K.; Seyller,Th.; Horn, K.; Rotenberg, E.
2007-05-25
Recently, it was demonstrated that the quasiparticledynamics, the layer-dependent charge and potential, and the c-axisscreening coefficient could be extracted from measurements of thespectral function of few layer graphene films grown epitaxially on SiCusing angle-resolved photoemission spectroscopy (ARPES). In this articlewe review these findings, and present detailed methodology for extractingsuch parameters from ARPES. We also present detailed arguments againstthe possibility of an energy gap at the Dirac crossing ED.
Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}
Energy Technology Data Exchange (ETDEWEB)
Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)
2015-11-15
Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.
Energy Technology Data Exchange (ETDEWEB)
Wee, Sung Hun [ORNL; Shin, Junsoo [ORNL; Cantoni, Claudia [ORNL; Meyer III, Harry M [ORNL; Cook, Sylvester W [ORNL; Zuev, Yuri L [ORNL; Specht, Eliot D [ORNL; Xiong, Xuming [ORNL; Paranthaman, Mariappan Parans [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Goyal, Amit [ORNL
2009-01-01
Nanostructural modulation in the cap layer used in coated conductors can be a potential source for nucleating microstructural defects into the superconducting layer for improving the flux-pinning. We report on the successful fabrication of phase separated, epitaxial, nanostructured films comprised of LaMnO{sub 3} (LMO) and MgO via pulsed laser deposition (PLD) on biaxially-textured MgO metallic templates with a LMO buffer layer. Scanning Auger compositional mapping and transmission electron microscopy cross sectional images confirm the nanoscale, spatial modulation corresponding to the nanostructured phase separation in the film. YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films (0.8 {micro}m thick) grown using PLD on such phase separated, nanostructured cap layers show reduced field dependence of the critical current density with an ? value of -0.38 (in J{sub c}-H{sup -{alpha}}).
Chong, Haining; Wang, Zhewei; Chen, Chaonan; Xu, Zemin; Wu, Ke; Wu, Lan; Xu, Bo; Ye, Hui
2018-04-01
In order to suppress dislocation generation, we develop a "three-step growth" method to heteroepitaxy low dislocation density germanium (Ge) layers on silicon with the MBE process. The method is composed of 3 growth steps: low temperature (LT) seed layer, LT-HT intermediate layer as well as high temperature (HT) epilayer, successively. Threading dislocation density (TDD) of epitaxial Ge layers is measured as low as 1.4 × 106 cm-2 by optimizing the growth parameters. The results of Raman spectrum showed that the internal strain of heteroepitaxial Ge layers is tensile and homogeneous. During the growth of LT-HT intermediate layer, TDD reduction can be obtained by lowering the temperature ramping rate, and high rate deposition maintains smooth surface morphology in Ge epilayer. A mechanism based on thermodynamics is used to explain the TDD and surface morphological dependence on temperature ramping rate and deposition rate. Furthermore, we demonstrate that the Ge layer obtained can provide an excellent platform for III-V materials integrated on Si.
Mobility-lifetime product in epitaxial GaAs X-ray detectors
Energy Technology Data Exchange (ETDEWEB)
Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)
2007-04-01
Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.
Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures
Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.
2016-04-01
Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low
P-N junction solar cell grown by molecular beam epitaxy
International Nuclear Information System (INIS)
Hazrati Fard, M.
2001-01-01
Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined
Energy Technology Data Exchange (ETDEWEB)
Pan, Yupeng [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Dong, Lei, E-mail: dlei0008@126.com [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Liu, Na; Yu, Jiangang; Li, Chun [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Li, Dejun, E-mail: dejunli@mail.tjnu.edu.cn [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China)
2016-12-30
Graphical abstract: The novel VN/TiB{sub 2} multilayers were produced by a magnetron sputtering system. Reasonable modulation structure affected properties of the multilayers. The double epitaxial growth as shown in HRTEM images was newly found to be a main reason for coherent growth of the VN/TiB{sub 2} multilayers within a certain thickness. The coherent growth model of the multilayer was also used to explain the growth mechanism of the VN/TiB{sub 2} multilayers in this work, which provided a useful inspiration to understand the strategies to enhance the multilayers’ engineering applications. - Highlights: • The VN/TiB{sub 2} multilayers are produced by magnetron sputtering. • A kind of second epitaxial growth is found in multilayer. • The coherent growth model is designed to explain the growth mechanism. • Second epitaxial growth promotes to form superhardness. • Coherent growth appears twice with modulation ratios decreasing. - Abstract: A series of the VN/TiB{sub 2} nanomultilayers with different modulation ratios (t{sub VN}:t{sub TiB2}) and different modulation periods were synthesized via a magnetron sputtering system. The cross-sectional transmission electron microscopy (TEM) and x-ray diffraction (XRD) examinations indicated that in the alternately deposited monolayers of the VN and TiB{sub 2}, due to the influence of the crystal (111){sub VN} texture, TiB{sub 2} layer presented epitaxial growth on the surface of the VN layer when its t{sub VN}:t{sub TiB2} was 5:1. Moreover, the formation of the TiB{sub 2} crystal promoted the growth of (200){sub VN} and significantly improved the preferential growth of nanomultilayers. With decreasing t{sub VN}:t{sub TiB2} to 1:7, the thin VN layer was crystallized under the introduction of crystalline TiB{sub 2} layers. A type of double epitaxial growth was observed to be a main reason for the coherent growth of the VN/TiB{sub 2} nanomultilayers within a certain thickness. Consequently, the multilayers
Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy
International Nuclear Information System (INIS)
Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman
2016-01-01
The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.
Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin
2015-05-26
A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.
A method of producing small grain Ru intermediate layers for perpendicular magnetic media
International Nuclear Information System (INIS)
Yuan Hua; Qin Yueling; Laughlin, David E.
2008-01-01
NiAl + SiO 2 thin films were used as a grain size reducing seedlayer for cobalt alloy granular perpendicular magnetic recording media. The effect of this NiAl + SiO 2 seedlayer on the microstructure and crystalline orientation of Ru intermediate layer has been investigated. By co-sputtering the composite NiAl + SiO 2 seedlayer, the smallest average grain diameter of NiAl was significantly reduced to about 2.5 nm. The grain size of the subsequent Ru intermediate layer was reduced to about 4 nm. X-ray diffraction results indicate an epitaxial orientation relationship of NiAl (110) // Ru (0002) between the two layers. Moreover, significant improvement of this epitaxial relationship was developed, which produced narrow c-axis distribution of the Ru intermediate layer with small grain size. The addition of the NiAl + SiO 2 seedlayer is a very promising approach to reduce the Ru intermediate layer grain size and eventually the magnetic layer grain size for perpendicular magnetic recording media without deterioration of other properties of thin films
One-step Ge/Si epitaxial growth.
Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young
2011-07-01
Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.
Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.
Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J
2016-11-24
Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .
Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy
International Nuclear Information System (INIS)
Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.
2004-01-01
We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer
International Nuclear Information System (INIS)
Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.
2016-01-01
We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.
Process for growing a film epitaxially upon a MgO surface
McKee, Rodney Allen; Walker, Frederick Joseph
1997-01-01
A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.
International Nuclear Information System (INIS)
Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.
2007-01-01
Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers
Depth resolved lattice-charge coupling in epitaxial BiFeO3 thin film
Energy Technology Data Exchange (ETDEWEB)
Lee, Hyeon Jun; Lee, Sung Su; Kwak, Jeong Hun; Kim, Young-Min; Jeong, Hu Young; Borisevich, Albina Y.; Lee, Su Yong; Noh, Do Young; Kwon, Owoong; Kim, Yunseok; Jo, Ji Young
2016-12-01
For epitaxial films, a critical thickness (tc) can create a phenomenological interface between a strained bottom layer and a relaxed top layer. Here, we present an experimental report of how the tc in BiFeO3 thin films acts as a boundary to determine the crystalline phase, ferroelectricity, and piezoelectricity in 60 nm thick BiFeO3/SrRuO3/SrTiO3 substrate. We found larger Fe cation displacement of the relaxed layer than that of strained layer. In the time-resolved X-ray microdiffraction analyses, the piezoelectric response of the BiFeO3 film was resolved into a strained layer with an extremely low piezoelectric coefficient of 2.4 pm/V and a relaxed layer with a piezoelectric coefficient of 32 pm/V. The difference in the Fe displacements between the strained and relaxed layers is in good agreement with the differences in the piezoelectric coefficient due to the electromechanical coupling.
Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates
Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.
2015-04-01
Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.
Tunneling Spectroscopy Studies of Epitaxial Graphene on Silicon Carbide(0001) and Its Interfaces
Sandin, Andreas Axel Tomas
A two dimensional network of sp2 bonded carbon atoms is defined as graphene. This novel material possesses remarkable electronic properties due to its unique band structure at the vicinity of the Fermi energy. The toughest challenge to bring use of graphene electronic properties in device geometries is that graphene is exceptionally sensitive to its electrical environment for integration into macroscopic system of electrical contacts and substrates. One of the most promising substrates for graphene is the polar surfaces of SiC for the reason it can be grown epitaxially by sublimating Si from the top-most SiC atomic layers. In this work, the interfaces of graphene grown on the Si-terminated polar surface SiC(0001) is studied in UHV using scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), low energy electron diffraction (LEED) and auger electron Spectroscopy (AES). STM is used image the graphene surface and interfaces with the capability of atomic resolution. LEED is used to study surface atomic reciprocal ordering and AES is used to determine surface atomic composition during the graphene formation. Interfacial layer (Buffer layer), Single layer graphene and bilayer graphene are identified electronically by means of probing the first member of the image potential derived state. This state is found by dZ/dV spectroscopy in the high energy unoccupied states and is exceptionally sensitive to electrostatic changes to the surface which is detected by energy shifts of image potential states (IPS). This sensitivity is utilized to probe the graphene screening of external electric fields by varying the electric field in the tunneling junction and addresses the fact that charged impurity scattering is likely to be crucial for epitaxial graphene on SiC(0001) when it comes to transport parameters. Shifts of IPS energy position has also been used verify work function changes for identification of several Sodium Intercalation structures of epitaxial
International Nuclear Information System (INIS)
Carvajal, J.; Raghothamachar, B.; Silvestre, O.; Chen, H.; Pujol, M.; Petrov, V.; Dudley, M.; Aguilo, M.; Diaz, F.
2009-01-01
In this communication we demonstrate how the difference in laser performance of two highly doped (20 at %) epitaxial layers of Yb-doped KY(WO4)2 (KYW) grown on a KYW substrate and Yb-doped KLu(WO4)2 (KLuW) grown on a KLuW substrate, respectively, is related to the presence of structural stress in the epilayers, investigated by synchrotron white beam X-ray topography. From the results obtained, it is clear that the samples that show a larger amount of structural stress, Yb:KYW/KYW epitaxies, lead to lower efficiency in laser operation, giving a direct correlation between the existence and magnitude of such structural stress and the loss in efficiency of laser performance in such epitaxial layers which, from a spectroscopical point of view, are otherwise equivalent.
Properties of a radiation-induced charge multiplication region in epitaxial silicon diodes
Lange, Jörn; Fretwurst, Eckhart; Klanner, Robert; Lindström, Gunnar
2010-01-01
Charge multiplication (CM) in p$^+$n epitaxial silicon pad diodes of 75, 100 and 150 $\\upmu$m thickness at high voltages after proton irradiation with 1 MeV neutron equivalent fluences in the order of $10^{16}$ cm$^{-2}$ was studied as an option to overcome the strong trapping of charge carriers in the innermost tracking region of future Super-LHC detectors. Charge collection efficiency (CCE) measurements using the Transient Current Technique (TCT) with radiation of different penetration (670, 830, 1060 nm laser light and $\\alpha$-particles with optional absorbers) were used to locate the CM region close to the p$^+$-implantation. The dependence of CM on material, thickness of the epitaxial layer, annealing and temperature was studied. The collected charge in the CM regime was found to be proportional to the deposited charge, uniform over the diode area and stable over a period of several days. Randomly occurring micro discharges at high voltages turned out to be the largest challenge for operation of the dio...
Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)
1997-01-01
Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.
Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy
Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.
2018-05-01
The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte
MBE growth and design of II-VI heterostructures for epitaxial lift-off
Energy Technology Data Exchange (ETDEWEB)
Davidson, Ian A.; Vallance, Erin C.; Prior, Kevin A. [School of Engineering and Physical Science, Heriot-Watt University, Edinburgh (United Kingdom); Moug, Richard T.; Tamargo, Maria C. [Department of Chemistry, City College of New York, New York, NY (United States)
2012-08-15
Epitaxial lift-off (ELO) is a post-growth process that allows the active part of a semiconductor structure to be transferred from its growth substrate to a new one. This is a well established technique for III-V semiconductors, and has previously been demonstrated for ZnSe-based alloys grown on GaAs using a metastable MgS sacrificial layer, taking advantage of the huge difference in etch rates of MgS and ZnSe. We report here the first successful extension of this process to II-VI layers grown on InP by using a MgSe sacrificial layer. By using the correct etching conditions, MgSe has been found to work effectively as a sacrificial layer. 5 x 5 mm{sup 2} square pieces of material can be lifted and deposited on glass substrates without any deterioration in the structural or optical properties; as confirmed by optical microscopy and photoluminescence (PL) measurements. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon
Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing
2018-05-01
A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.
Determination of the thickness of chemically removed thin layers on GaAs VPE structures
Energy Technology Data Exchange (ETDEWEB)
Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))
1991-01-01
Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).
International Nuclear Information System (INIS)
Pelenc, D.
1993-10-01
This thesis reports on the prototype development of a new laser waveguide fabrication technique, Liquid Phase Epitaxy, as part of the research on diode-pumped compact laser devices. This technique has been applied to the growth of single crystal thin layers of neodymium and ytterbium doped YAG on pure YAG substrates. In order to obtain good quality waveguides, we have defined the growth conditions, and demonstrated the advantage of the growth of an undoped YAG cladding layer. Two extra dopings have been studied: gallium, in order to control the refractive index of the layer, and lutetium, in order to control their lattice mismatch. The determination of the segregation coefficient of these four dopants has required the development of a model that takes into account the evolution of the melt with time. We have measured the refractive index increase for each dopant and proposed a mechanism that explains this increase. The spectroscopic characterisation of the layers has shown that the neodymium and ytterbium ions have the same properties as in the bulk material of the same composition. The laser characterisation has shown very low propagation losses (around 0.1 dB/cm), comparable to those of bulk. For the neodymium laser transition at 1064 nm, we have demonstrated the laser effect for an absorbed power threshold of 700μW and measured a slope efficiency of 40% for a threshold of 14 mW in diode pumping. For quasi 3 level transitions, a significant reduction in threshold with respect to unguided lasers has been obtained: at 946 nm in a neodymium doped waveguide, at 1029 nm in an ytterbium doped waveguide, with a 1W diode bar pump. A slope efficiency of 80% has also been measured in an ytterbium doped waveguided emitting at 1048nm
Transparent conducting oxide clad limited area epitaxy semipolar III-nitride laser diodes
Myzaferi, A.
2016-08-11
The bottom cladding design of semipolar III-nitride laser diodes is limited by stress relaxation via misfit dislocations that form via the glide of pre-existing threading dislocations (TDs), whereas the top cladding is limited by the growth time and temperature of the p-type layers. These design limitations have individually been addressed by using limited area epitaxy (LAE) to block TD glide in n-type AlGaN bottom cladding layers and by using transparent conducting oxide (TCO) top cladding layers to reduce the growth time and temperature of the p-type layers. In addition, a TCO-based top cladding should have significantly lower resistivity than a conventional p-type (Al)GaN top cladding. In this work, LAE and indium-tin-oxide cladding layers are used simultaneously in a (202⎯⎯1) III-nitride laser structure. Lasing was achieved at 446 nm with a threshold current density of 8.5 kA/cm2 and a threshold voltage of 8.4 V.
Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi
2017-05-01
We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.