WorldWideScience

Sample records for advanced semiconductor manufacturing

  1. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  2. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  3. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  4. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  5. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  6. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  7. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  8. Strengthening the Competitiveness and Sustainability of a Semiconductor Manufacturer with Cloud Manufacturing

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2014-01-01

    Full Text Available Cloud manufacturing (CMfg is a new-generation service-oriented networked manufacturing model that provides distributed users centralized managed manufacturing resources, ability, and services. CMfg is applied here to a semiconductor manufacturing factory. Benefits are classified into five aspects: cost savings, efficiency, additional data analysis capabilities, flexibility, and closer partner relationships. A strength, weakness, opportunity, and threat (SWOT analysis is done which guides a semiconductor manufacturer in planning CMfg implementation projects. Simulation of a wafer fabrication factory (wafer fab is used as an example. Several CMfg services are proposed for assisting the fab simulation activities through the collaboration of cloud service providers, software vendors, equipment suppliers, and the wafer fab. The connection with the competitiveness and sustainability of a wafer fab is also stressed.

  9. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  10. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  11. Semiconductors integrated circuit design for manufacturability

    CERN Document Server

    Balasinki, Artur

    2011-01-01

    Because of the continuous evolution of integrated circuit manufacturing (ICM) and design for manufacturability (DfM), most books on the subject are obsolete before they even go to press. That's why the field requires a reference that takes the focus off of numbers and concentrates more on larger economic concepts than on technical details. Semiconductors: Integrated Circuit Design for Manufacturability covers the gradual evolution of integrated circuit design (ICD) as a basis to propose strategies for improving return-on-investment (ROI) for ICD in manufacturing. Where most books put the spotl

  12. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  13. Advanced Manufacturing Technologies

    Science.gov (United States)

    Fikes, John

    2016-01-01

    Advanced Manufacturing Technologies (AMT) is developing and maturing innovative and advanced manufacturing technologies that will enable more capable and lower-cost spacecraft, launch vehicles and infrastructure to enable exploration missions. The technologies will utilize cutting edge materials and emerging capabilities including metallic processes, additive manufacturing, composites, and digital manufacturing. The AMT project supports the National Manufacturing Initiative involving collaboration with other government agencies.

  14. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  15. Advanced manufacturing technologies modern machining, advanced joining, sustainable manufacturing

    CERN Document Server

    2017-01-01

    This book provides details and collective information on working principle, process mechanism, salient features, and unique applications of various advanced manufacturing techniques and processes belong. The book is divided in three sessions covering modern machining methods, advanced repair and joining techniques and, finally, sustainable manufacturing. The latest trends and research aspects of those fields are highlighted.

  16. Method of manufacturing semiconductor devices

    International Nuclear Information System (INIS)

    Sun, Y.S.E.

    1980-01-01

    A method of improving the electrical characteristics of semiconductor devices such as SCR's, rectifiers and triacs during their manufacture is described. The system consists of electron irradiation at an energy in excess of 250 KeV and most preferably between 1.5 and 12 MeV, producing an irradiation dose of between 5.10 12 and 5.10 15 electrons per sq. cm., and at a temperature in excess of 100 0 C preferably between 150 and 375 0 C. (U.K.)

  17. Launching the dialogue: Safety and innovation as partners for success in advanced manufacturing.

    Science.gov (United States)

    Geraci, C L; Tinkle, S S; Brenner, S A; Hodson, L L; Pomeroy-Carter, C A; Neu-Baker, N

    2018-06-01

    Emerging and novel technologies, materials, and information integrated into increasingly automated and networked manufacturing processes or into traditional manufacturing settings are enhancing the efficiency and productivity of manufacturing. Globally, there is a move toward a new era in manufacturing that is characterized by: (1) the ability to create and deliver more complex designs of products; (2) the creation and use of materials with new properties that meet a design need; (3) the employment of new technologies, such as additive and digital techniques that improve on conventional manufacturing processes; and (4) a compression of the time from initial design concept to the creation of a final product. Globally, this movement has many names, but "advanced manufacturing" has become the shorthand for this complex integration of material and technology elements that enable new ways to manufacture existing products, as well as new products emerging from new technologies and new design methods. As the breadth of activities associated with advanced manufacturing suggests, there is no single advanced manufacturing industry. Instead, aspects of advanced manufacturing can be identified across a diverse set of business sectors that use manufacturing technologies, ranging from the semiconductors and electronics to the automotive and pharmaceutical industries. The breadth and diversity of advanced manufacturing may change the occupational and environmental risk profile, challenge the basic elements of comprehensive health and safety (material, process, worker, environment, product, and general public health and safety), and provide an opportunity for development and dissemination of occupational and environmental health and safety (OEHS) guidance and best practices. It is unknown how much the risk profile of different elements of OEHS will change, thus requiring an evolution of health and safety practices. These changes may be accomplished most effectively through multi

  18. Advanced optical manufacturing digital integrated system

    Science.gov (United States)

    Tao, Yizheng; Li, Xinglan; Li, Wei; Tang, Dingyong

    2012-10-01

    It is necessarily to adapt development of advanced optical manufacturing technology with modern science technology development. To solved these problems which low of ration, ratio of finished product, repetition, consistent in big size and high precision in advanced optical component manufacturing. Applied business driven and method of Rational Unified Process, this paper has researched advanced optical manufacturing process flow, requirement of Advanced Optical Manufacturing integrated System, and put forward architecture and key technology of it. Designed Optical component core and Manufacturing process driven of Advanced Optical Manufacturing Digital Integrated System. the result displayed effective well, realized dynamic planning Manufacturing process, information integration improved ratio of production manufactory.

  19. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    Directory of Open Access Journals (Sweden)

    Cheng-Shih Su

    2014-08-01

    Full Text Available This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT. In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successful implementing sensors AMT, as well as identifying the actions necessary before implementing sensors AMT. Then predicted success/failure values are obtained to enable organizations to decide whether to initiate sensors AMT, inhibit adoption or take remedial actions to increase the possibility of successful sensors AMT initiatives. This proposed approach is demonstrated with a real case study involving six influential factors assessed by nine evaluators solicited from a semiconductor engineering incorporation located in Taiwan.

  20. Big Data Analytics for Smart Manufacturing: Case Studies in Semiconductor Manufacturing

    Directory of Open Access Journals (Sweden)

    James Moyne

    2017-07-01

    Full Text Available Smart manufacturing (SM is a term generally applied to the improvement in manufacturing operations through integration of systems, linking of physical and cyber capabilities, and taking advantage of information including leveraging the big data evolution. SM adoption has been occurring unevenly across industries, thus there is an opportunity to look to other industries to determine solution and roadmap paths for industries such as biochemistry or biology. The big data evolution affords an opportunity for managing significantly larger amounts of information and acting on it with analytics for improved diagnostics and prognostics. The analytics approaches can be defined in terms of dimensions to understand their requirements and capabilities, and to determine technology gaps. The semiconductor manufacturing industry has been taking advantage of the big data and analytics evolution by improving existing capabilities such as fault detection, and supporting new capabilities such as predictive maintenance. For most of these capabilities: (1 data quality is the most important big data factor in delivering high quality solutions; and (2 incorporating subject matter expertise in analytics is often required for realizing effective on-line manufacturing solutions. In the future, an improved big data environment incorporating smart manufacturing concepts such as digital twin will further enable analytics; however, it is anticipated that the need for incorporating subject matter expertise in solution design will remain.

  1. 2001 Industry Studies: Advanced Manufacturing

    Science.gov (United States)

    2001-05-28

    oriented, 19 and manufacturers are employing the Internet and associated information technologies to better integrate supply chains and form extended...ways to compete in world markets . As part of this ongoing transformation, the broad implementation of advanced manufacturing technologies , processes...competitive advantages and better performance in world markets . Importantly, advanced manufacturing involves the innovative integration of new technology

  2. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  3. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  4. A Systematic Cycle Time Reduction Procedure for Enhancing the Competitiveness and Sustainability of a Semiconductor Manufacturer

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2013-11-01

    Full Text Available Cycle time reduction plays an important role in improving the competitiveness and sustainability of a semiconductor manufacturer. However, in the past, cycle time reduction was usually unplanned owing to the lack of a systematic and quantitative procedure. To tackle this problem, a systematic procedure was established in this study for planning cycle time reduction actions to enhance the competitiveness and sustainability of a semiconductor manufacturer. First, some controllable factors that are influential to the job cycle time are identified. Subsequently, the relationship between the controllable factors and the job cycle time is fitted with a back propagation network. Based on this relationship, actions to shorten the job cycle time can be planned. The feasibility and effectiveness of an action have to be assessed before it can be taken in practice. An example containing the real data of hundreds of jobs has been used to illustrate the applicability of the proposed methodology. In addition, the financial benefits of the cycle time reduction action were analyzed, which provided the evidence that the proposed methodology enabled the sustainable development of the semiconductor manufacturer, since capital adequacy is very important in the semiconductor manufacturing industry.

  5. Advanced Semiconductor Heterostructures Novel Devices, Potential Device Applications and Basic Properties

    CERN Document Server

    Stroscio, Michael A

    2003-01-01

    This volume provides valuable summaries on many aspects of advanced semiconductor heterostructures and highlights the great variety of semiconductor heterostructures that has emerged since their original conception. As exemplified by the chapters in this book, recent progress on advanced semiconductor heterostructures spans a truly remarkable range of scientific fields with an associated diversity of applications. Some of these applications will undoubtedly revolutionize critically important facets of modern technology. At the heart of these advances is the ability to design and control the pr

  6. Kansas Advanced Semiconductor Project: Final Report

    International Nuclear Information System (INIS)

    Baringer, P.; Bean, A.; Bolton, T.; Horton-Smith, G.; Maravin, Y.; Ratra, B.; Stanton, N.; von Toerne, E.; Wilson, G.

    2007-01-01

    KASP (Kansas Advanced Semiconductor Project) completed the new Layer 0 upgrade for D0, assumed key electronics projects for the US CMS project, finished important new physics measurements with the D0 experiment at Fermilab, made substantial contributions to detector studies for the proposed e+e- international linear collider (ILC), and advanced key initiatives in non-accelerator-based neutrino physics.

  7. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  8. 75 FR 879 - National Semiconductor Corporation Arlington Manufacturing Site Including On-Site Leased Workers...

    Science.gov (United States)

    2010-01-06

    ... engaged in activities related to the production of integrated circuits. The company reports that on-site... Corporation Arlington Manufacturing Site Including On-Site Leased Workers From GCA, CMPA (Silverleaf), Custom..., applicable to workers of National Semiconductor Corporation, Arlington Manufacturing Site, including on-site...

  9. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  10. Advanced Manufacturing Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Advanced Manufacturing Laboratory at the University of Maryland provides the state of the art facilities for realizing next generation products and educating the...

  11. Advanced manufacturing: Technology and international competitiveness

    Energy Technology Data Exchange (ETDEWEB)

    Tesar, A.

    1995-02-01

    Dramatic changes in the competitiveness of German and Japanese manufacturing have been most evident since 1988. All three countries are now facing similar challenges, and these challenges are clearly observed in human capital issues. Our comparison of human capital issues in German, Japanese, and US manufacturing leads us to the following key judgments: Manufacturing workforces are undergoing significant changes due to advanced manufacturing technologies. As companies are forced to develop and apply these technologies, the constituency of the manufacturing workforce (especially educational requirements, contingent labor, job content, and continuing knowledge development) is being dramatically and irreversibly altered. The new workforce requirements which result due to advanced manufacturing require a higher level of worker sophistication and responsibility.

  12. Biocompatibility of Advanced Manufactured Titanium Implants—A Review

    Science.gov (United States)

    Sidambe, Alfred T.

    2014-01-01

    Titanium (Ti) and its alloys may be processed via advanced powder manufacturing routes such as additive layer manufacturing (or 3D printing) or metal injection moulding. This field is receiving increased attention from various manufacturing sectors including the medical devices sector. It is possible that advanced manufacturing techniques could replace the machining or casting of metal alloys in the manufacture of devices because of associated advantages that include design flexibility, reduced processing costs, reduced waste, and the opportunity to more easily manufacture complex or custom-shaped implants. The emerging advanced manufacturing approaches of metal injection moulding and additive layer manufacturing are receiving particular attention from the implant fabrication industry because they could overcome some of the difficulties associated with traditional implant fabrication techniques such as titanium casting. Using advanced manufacturing, it is also possible to produce more complex porous structures with improved mechanical performance, potentially matching the modulus of elasticity of local bone. While the economic and engineering potential of advanced manufacturing for the manufacture of musculo-skeletal implants is therefore clear, the impact on the biocompatibility of the materials has been less investigated. In this review, the capabilities of advanced powder manufacturing routes in producing components that are suitable for biomedical implant applications are assessed with emphasis placed on surface finishes and porous structures. Given that biocompatibility and host bone response are critical determinants of clinical performance, published studies of in vitro and in vivo research have been considered carefully. The review concludes with a future outlook on advanced Ti production for biomedical implants using powder metallurgy. PMID:28788296

  13. Biocompatibility of Advanced Manufactured Titanium Implants—A Review

    Directory of Open Access Journals (Sweden)

    Alfred T. Sidambe

    2014-12-01

    Full Text Available Titanium (Ti and its alloys may be processed via advanced powder manufacturing routes such as additive layer manufacturing (or 3D printing or metal injection moulding. This field is receiving increased attention from various manufacturing sectors including the medical devices sector. It is possible that advanced manufacturing techniques could replace the machining or casting of metal alloys in the manufacture of devices because of associated advantages that include design flexibility, reduced processing costs, reduced waste, and the opportunity to more easily manufacture complex or custom-shaped implants. The emerging advanced manufacturing approaches of metal injection moulding and additive layer manufacturing are receiving particular attention from the implant fabrication industry because they could overcome some of the difficulties associated with traditional implant fabrication techniques such as titanium casting. Using advanced manufacturing, it is also possible to produce more complex porous structures with improved mechanical performance, potentially matching the modulus of elasticity of local bone. While the economic and engineering potential of advanced manufacturing for the manufacture of musculo-skeletal implants is therefore clear, the impact on the biocompatibility of the materials has been less investigated. In this review, the capabilities of advanced powder manufacturing routes in producing components that are suitable for biomedical implant applications are assessed with emphasis placed on surface finishes and porous structures. Given that biocompatibility and host bone response are critical determinants of clinical performance, published studies of in vitro and in vivo research have been considered carefully. The review concludes with a future outlook on advanced Ti production for biomedical implants using powder metallurgy.

  14. Biocompatibility of Advanced Manufactured Titanium Implants-A Review.

    Science.gov (United States)

    Sidambe, Alfred T

    2014-12-19

    Titanium (Ti) and its alloys may be processed via advanced powder manufacturing routes such as additive layer manufacturing (or 3D printing) or metal injection moulding. This field is receiving increased attention from various manufacturing sectors including the medical devices sector. It is possible that advanced manufacturing techniques could replace the machining or casting of metal alloys in the manufacture of devices because of associated advantages that include design flexibility, reduced processing costs, reduced waste, and the opportunity to more easily manufacture complex or custom-shaped implants. The emerging advanced manufacturing approaches of metal injection moulding and additive layer manufacturing are receiving particular attention from the implant fabrication industry because they could overcome some of the difficulties associated with traditional implant fabrication techniques such as titanium casting. Using advanced manufacturing, it is also possible to produce more complex porous structures with improved mechanical performance, potentially matching the modulus of elasticity of local bone. While the economic and engineering potential of advanced manufacturing for the manufacture of musculo-skeletal implants is therefore clear, the impact on the biocompatibility of the materials has been less investigated. In this review, the capabilities of advanced powder manufacturing routes in producing components that are suitable for biomedical implant applications are assessed with emphasis placed on surface finishes and porous structures. Given that biocompatibility and host bone response are critical determinants of clinical performance, published studies of in vitro and in vivo research have been considered carefully. The review concludes with a future outlook on advanced Ti production for biomedical implants using powder metallurgy.

  15. A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines

    OpenAIRE

    Stanisavljevic, Darko; Spitzer, Michael

    2017-01-01

    This paper deals with applications of machine learning algorithms in manufacturing. Machine learning can be defined as a field of computer science that gives computers the ability to learn without explicitly developing the needed algorithms. Manufacturing is the production of merchandise by manual labour, machines and tools. The focus of this paper is on automatic production lines. The areas of interest of this paper are semiconductor manufacturing and production on assembly lines. The purpos...

  16. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  17. Development of Advanced Ceramic Manufacturing Technology; FINAL

    International Nuclear Information System (INIS)

    Pujari, V.K.

    2001-01-01

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. I n order to achieve these objectives, NAC, a leading U.S. advanced ceramics component manufacturer, assembled a multidisciplinary, vertically integrated team. This team included: a major diesel engine builder, Detroit Diesel Corporation (DDC); a corporate ceramics research division, SGIC's Northboro R and D Center; intelligent processing system developers, BDM Federal/MATSYS; a furnace equipment company, Centorr/Vacuum Industries; a sintering expert, Wittmer Consultants; a production OEM, Deco-Grand; a wheel manufacturer and grinding operation developer, Norton Company's Higgins Grinding Technology Center (HGTC); a ceramic machine shop, Chand Kare Technical Ceramics; and a manufacturing cost consultant, IBIS Associates. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration

  18. Advance Manufacturing Office FY 2017 Budget At-A-Glance

    Energy Technology Data Exchange (ETDEWEB)

    None

    2016-03-01

    The Advanced Manufacturing Office (AMO) brings together manufacturers, research institutions, suppliers, and universities to investigate manufacturing processes, information, and materials technologies critical to advance domestic manufacturing of clean energy products, and to support energy productivity across the entire manufacturing sector.

  19. Fabrication of Circuit QED Quantum Processors, Part 2: Advanced Semiconductor Manufacturing Perspectives

    Science.gov (United States)

    Michalak, D. J.; Bruno, A.; Caudillo, R.; Elsherbini, A. A.; Falcon, J. A.; Nam, Y. S.; Poletto, S.; Roberts, J.; Thomas, N. K.; Yoscovits, Z. R.; Dicarlo, L.; Clarke, J. S.

    Experimental quantum computing is rapidly approaching the integration of sufficient numbers of quantum bits for interesting applications, but many challenges still remain. These challenges include: realization of an extensible design for large array scale up, sufficient material process control, and discovery of integration schemes compatible with industrial 300 mm fabrication. We present recent developments in extensible circuits with vertical delivery. Toward the goal of developing a high-volume manufacturing process, we will present recent results on a new Josephson junction process that is compatible with current tooling. We will then present the improvements in NbTiN material uniformity that typical 300 mm fabrication tooling can provide. While initial results on few-qubit systems are encouraging, advanced processing control is expected to deliver the improvements in qubit uniformity, coherence time, and control required for larger systems. Research funded by Intel Corporation.

  20. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  1. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  2. Ohio Advanced Energy Manufacturing Center

    Energy Technology Data Exchange (ETDEWEB)

    Kimberly Gibson; Mark Norfolk

    2012-07-30

    The program goal of the Ohio Advanced Energy Manufacturing Center (OAEMC) is to support advanced energy manufacturing and to create responsive manufacturing clusters that will support the production of advanced energy and energy-efficient products to help ensure the nation's energy and environmental security. This goal cuts across a number of existing industry segments critical to the nation's future. Many of the advanced energy businesses are starting to make the transition from technology development to commercial production. Historically, this transition from laboratory prototypes through initial production for early adopters to full production for mass markets has taken several years. Developing and implementing manufacturing technology to enable production at a price point the market will accept is a key step. Since these start-up operations are configured to advance the technology readiness of the core energy technology, they have neither the expertise nor the resources to address manufacturing readiness issues they encounter as the technology advances toward market entry. Given the economic realities of today's business environment, finding ways to accelerate this transition can make the difference between success and failure for a new product or business. The advanced energy industry touches a wide range of industry segments that are not accustomed to working together in complex supply chains to serve large markets such as automotive and construction. During its first three years, the Center has catalyzed the communication between companies and industry groups that serve the wide range of advanced energy markets. The Center has also found areas of common concern, and worked to help companies address these concerns on a segment or industry basis rather than having each company work to solve common problems individually. EWI worked with three industries through public-private partnerships to sew together disparate segments helping to promote

  3. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    Science.gov (United States)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  4. Study on Measurement of Advanced Manufacturing: Case by China

    Directory of Open Access Journals (Sweden)

    She Jinghuai

    2017-01-01

    Full Text Available This article has built a system of China's Advanced Manufacturing measurement indicators. By applying the datum from 2004 to 2013, we estimate the level of development and current status of China’s Advanced Manufacturing (AM, and evaluate the measurement results by establishing Hierarchical Linear Model (HLM. We confirmed that China's Advanced Manufacturing is in the rapid development trend. And due to the difference of initial conditions in Advanced Manufacturing development there is a greater imbalance. In contrast, a region with poor initial condition of has a relatively fast development speed.

  5. Development of Advanced Ceramic Manufacturing Technology

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.K.

    2001-04-05

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration. A high-power diesel engine valve for the DDC Series 149 engine was chosen as the demonstration part for this program. This was determined to be an ideal component type to demonstrate cost-effective process enhancements, the beneficial impact of advanced ceramics on transportation systems, and near-term commercialization potential. The baseline valve material was NAC's NT451 SiAION. It was replaced, later in the program, by an alternate silicon nitride composition (NT551), which utilized a lower cost raw material and a simplified powder-processing approach. The material specifications were defined based on DDC's engine requirements, and the initial and final component design tasks were completed.

  6. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  7. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  8. Research Staff | Advanced Manufacturing Research | NREL

    Science.gov (United States)

    manages wind turbine rotor blade composite manufacturing projects at the National Wind Technology Center postdoctoral researcher working to develop and validate advanced composite manufacturing processes using novel materials for wind and marine and hydrokinetic (MHK) turbines. This includes hands-on composite

  9. Advanced Engineering Environments: Implications for Aerospace Manufacturing

    Science.gov (United States)

    Thomas, D.

    2001-01-01

    There are significant challenges facing today's aerospace industry. Global competition, more complex products, geographically-distributed design teams, demands for lower cost, higher reliability and safer vehicles, and the need to incorporate the latest technologies quicker all face the developer of aerospace systems. New information technologies offer promising opportunities to develop advanced engineering environments (AEEs) to meet these challenges. Significant advances in the state-of-the-art of aerospace engineering practice are envisioned in the areas of engineering design and analytical tools, cost and risk tools, collaborative engineering, and high-fidelity simulations early in the development cycle. These advances will enable modeling and simulation of manufacturing methods, which will in turn allow manufacturing considerations to be included much earlier in the system development cycle. Significant cost savings, increased quality, and decreased manufacturing cycle time are expected to result. This paper will give an overview of the NASA's Intelligent Synthesis Environment, the agency initiative to develop an AEE, with a focus on the anticipated benefits in aerospace manufacturing.

  10. Advanced Manufacturing Technologies (AMT): Modular Rapidly Manufactured SmallSat

    Data.gov (United States)

    National Aeronautics and Space Administration — Utilize advanced manufacturing processes to design and fabricate a fully functional prototype flight model, with the goal of demonstrating rapid on-orbit assembly of...

  11. Analyzing the Drivers of Advanced Sustainable Manufacturing System Using AHP Approach

    Directory of Open Access Journals (Sweden)

    K. Madan Shankar

    2016-08-01

    Full Text Available A number of current manufacturing sectors are striving hard to introduce innovative long-term strategies into their operations. As a result, many scholarly studies have found it fruitful to investigate advanced manufacturing strategies such as agile, computer-integrated, and cellular manufacturing. Through the example of downstream cases, manufacturing sectors have learned that financial benefits garnered through automated technologies cannot be counted on as a sole measure to ensure their success in today’s competitive and fluctuating marketplaces. The objective of this study is to integrate those advanced techniques with sustainable operations, to promote advanced sustainable manufacturing so those manufacturing sectors can thrive even in uncertain markets. To establish this connection, this study analyzes the drivers of advanced sustainable manufacturing through a proposed framework validated through a case study in India. Common drivers are collected from the literature, calibrated with opinions from experts, and analyzed through an analytical hierarchy process (AHP, which is a multi-criteria decision making (MCDM approach. This study reveals that quality is the primary driver that pressures manufacturing sectors to adopt advanced sustainable manufacturing. Manufacturers can easily note the top ranked driver and adopt it to soundly implement advanced sustainable manufacturing. In addition, some key future scopes are explored along with possible recommendations for effective implementation of advanced sustainable manufacturing systems.

  12. Research overview: Advanced Manufacturing in Switzerland

    OpenAIRE

    Schärer, Claudia

    2016-01-01

    SATW is convinced that industrial production methods will see fundamental changes over the coming years. Mastering new production technologies (advanced manufacturing) such as additive manufacturing and industry 4.0 will be vital to keep Swiss production at a competitive level. New additive manufacturing processes such as 3D printing offer revolutionary opportunities and have the potential to replace traditional production methods. Industry 4.0 has seen the definition of a new concept for...

  13. Measure of manufacturing performance in advanced manufacturing systems

    NARCIS (Netherlands)

    Ron, de A.J.

    1995-01-01

    Because of the financial risks as a result of the high investments, decisions concerning investing in advanced manufacturing systems are difficult. The difficulty to decide is gained by the lack of a well-defined measure to support decisions and alarming messages from the industry concerning inverse

  14. 10 CFR 611.202 - Advanced Technology Vehicle Manufacturing Facility Award Program.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 4 2010-01-01 2010-01-01 false Advanced Technology Vehicle Manufacturing Facility Award... TECHNOLOGY VEHICLES MANUFACTURER ASSISTANCE PROGRAM Facility/Funding Awards § 611.202 Advanced Technology Vehicle Manufacturing Facility Award Program. DOE may issue, under the Advanced Technology Vehicle...

  15. Advanced Material Strategies for Next-Generation Additive Manufacturing.

    Science.gov (United States)

    Chang, Jinke; He, Jiankang; Mao, Mao; Zhou, Wenxing; Lei, Qi; Li, Xiao; Li, Dichen; Chua, Chee-Kai; Zhao, Xin

    2018-01-22

    Additive manufacturing (AM) has drawn tremendous attention in various fields. In recent years, great efforts have been made to develop novel additive manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and 4D printing for the fabrication of complex 3D structures with high resolution, living components, and multimaterials. The development of advanced functional materials is important for the implementation of these novel additive manufacturing processes. Here, a state-of-the-art review on advanced material strategies for novel additive manufacturing processes is provided, mainly including conductive materials, biomaterials, and smart materials. The advantages, limitations, and future perspectives of these materials for additive manufacturing are discussed. It is believed that the innovations of material strategies in parallel with the evolution of additive manufacturing processes will provide numerous possibilities for the fabrication of complex smart constructs with multiple functions, which will significantly widen the application fields of next-generation additive manufacturing.

  16. Advanced Material Strategies for Next-Generation Additive Manufacturing

    Directory of Open Access Journals (Sweden)

    Jinke Chang

    2018-01-01

    Full Text Available Additive manufacturing (AM has drawn tremendous attention in various fields. In recent years, great efforts have been made to develop novel additive manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and 4D printing for the fabrication of complex 3D structures with high resolution, living components, and multimaterials. The development of advanced functional materials is important for the implementation of these novel additive manufacturing processes. Here, a state-of-the-art review on advanced material strategies for novel additive manufacturing processes is provided, mainly including conductive materials, biomaterials, and smart materials. The advantages, limitations, and future perspectives of these materials for additive manufacturing are discussed. It is believed that the innovations of material strategies in parallel with the evolution of additive manufacturing processes will provide numerous possibilities for the fabrication of complex smart constructs with multiple functions, which will significantly widen the application fields of next-generation additive manufacturing.

  17. Advanced Material Strategies for Next-Generation Additive Manufacturing

    Science.gov (United States)

    Chang, Jinke; He, Jiankang; Zhou, Wenxing; Lei, Qi; Li, Xiao; Li, Dichen

    2018-01-01

    Additive manufacturing (AM) has drawn tremendous attention in various fields. In recent years, great efforts have been made to develop novel additive manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and 4D printing for the fabrication of complex 3D structures with high resolution, living components, and multimaterials. The development of advanced functional materials is important for the implementation of these novel additive manufacturing processes. Here, a state-of-the-art review on advanced material strategies for novel additive manufacturing processes is provided, mainly including conductive materials, biomaterials, and smart materials. The advantages, limitations, and future perspectives of these materials for additive manufacturing are discussed. It is believed that the innovations of material strategies in parallel with the evolution of additive manufacturing processes will provide numerous possibilities for the fabrication of complex smart constructs with multiple functions, which will significantly widen the application fields of next-generation additive manufacturing. PMID:29361754

  18. Measurement of ionising radiation semiconductor detectors: a review

    International Nuclear Information System (INIS)

    Aussel, J.P.

    1986-06-01

    Manufacturing techniques for nuclear detectors using semiconductors are constantly advancing, and a large range of models with different specificities and characteristics are available. After a theoretical reminder, this report describes the main types of detectors, their working and their preferential use. A comparative table guides the neophyte reader in his choice [fr

  19. Advanced Manufacturing Technologies (AMT): Advanced Near Net Shape Technology

    Data.gov (United States)

    National Aeronautics and Space Administration — The objective of the Advanced Near Net Shape Technology (ANNST) project is to radically improve near net shape manufacturing methods from the current...

  20. Recent Advances in Precision Machinery and Manufacturing Technology

    DEFF Research Database (Denmark)

    Liu, Chien-Hung; Hsieh, Wen-Hsiang; Chang, Zong-Yu

    2014-01-01

    Precision machinery and manufacturing technology are be- coming more important in current and future technologies. New knowledge in this field will aid in the advancement of various technologies that are needed to gain industrial competitiveness. To this end, the special issue aims to disseminate...... the latest advancements of relevant fundamental and applied research works of high quality to the inter- national community. The topics of the accepted articles in the special issue include precision manufacturing pro- cesses, measurements and control, robotics and automation, machine tools, advanced...

  1. 2014 Joint Conference on Mechanical Design Engineering and Advanced Manufacturing

    CERN Document Server

    Daidie, Alain; Eynard, Benoit; Paredes, Manuel

    2016-01-01

    Covering key topics in the field such as technological innovation, human-centered sustainable engineering and manufacturing, and manufacture at a global scale in a virtual world, this book addresses both advanced techniques and industrial applications of key research in interactive design and manufacturing. Featuring the full papers presented at the 2014 Joint Conference on Mechanical Design Engineering and Advanced Manufacturing, which took place in June 2014 in Toulouse, France, it presents recent research and industrial success stories related to implementing interactive design and manufacturing solutions.

  2. Advanced Manufacturing Office Clean Water Processing Technologies

    Energy Technology Data Exchange (ETDEWEB)

    None

    2018-03-01

    The DOE Office of Energy Efficiency and Renewable Energy (EERE)’s Advanced Manufacturing Office partners with industry, small business, universities, and other stakeholders to identify and invest in emerging technologies with the potential to create high-quality domestic manufacturing jobs and enhance the global competitiveness of the United States.

  3. Advanced manufacturing: Technology diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Tesar, A.

    1995-12-01

    In this paper we examine how manufacturing technology diffuses rom the developers of technology across national borders to those who do not have the capability or resources to develop advanced technology on their own. None of the wide variety of technology diffusion mechanisms discussed in this paper are new, yet the opportunities to apply these mechanisms are growing. A dramatic increase in technology diffusion occurred over the last decade. The two major trends which probably drive this increase are a worldwide inclination towards ``freer`` markets and diminishing isolation. Technology is most rapidly diffusing from the US In fact, the US is supplying technology for the rest of the world. The value of the technology supplied by the US more than doubled from 1985 to 1992 (see the Introduction for details). History shows us that technology diffusion is inevitable. It is the rates at which technologies diffuse to other countries which can vary considerably. Manufacturers in these countries are increasingly able to absorb technology. Their manufacturing efficiency is expected to progress as technology becomes increasingly available and utilized.

  4. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  5. Micro-manufacturing: design and manufacturing of micro-products

    National Research Council Canada - National Science Library

    Koç, Muammer; Özel, Tuğrul

    2011-01-01

    .... After addressing the fundamentals and non-metallic-based micro-manufacturing processes in the semiconductor industry, it goes on to address specific metallic-based micro-manufacturing processes...

  6. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  7. An Assessment of Advanced Manufacturing Technologies Implementation in Manufacturing Enterprises

    Directory of Open Access Journals (Sweden)

    Ghulam Yasin Shaikh

    2011-04-01

    Full Text Available The implementation of AMTs (Advanced Manufacturing Technologies has always been the high interest and core issue for the manufacturing enterprises to get rapid production for global market place. The developed countries have achieved its competitive advantage by implementing this unique model of technologies with full range of systems. In developing countries, the implementation of such technologies is not much common due to so many reasons, (political, social, economical and technical but entrepreneurs of growing economies are contemplating to reshape long term strategy to adopt Computer systems oriented technologies in their manufacturing companies to meet the growing needs of their indigenous market on one hand and to make a place in the international market on the other. Although, very few manufacturing organization do meet the global market requirements. But there is still lot of efforts to be taken for world class competition. An attempt has been made in this paper to develop a conceptual model taking in to account the three parameters such as, Direct, Indirect and Administrative AMTs. This research work further attempts to present an empirical data analysis conducted in the manufacturing enterprises in province of Sindh, Pakistan. The overall indigenous progress of manufacturing enterprises as according to the data collected from 60 companies reveals that the AMTs systems are partially understood and practiced that is also one of the cause towards slow progress of national exchequer.

  8. Advances in 3D printing & additive manufacturing technologies

    CERN Document Server

    Pandey, Pulak; Kumar, L

    2017-01-01

    This edited volume comprises select chapters on advanced technologies for 3D printing and additive manufacturing and how these technologies have changed the face of direct, digital technologies for rapid production of models, prototypes and patterns. Because of its wide applications, 3D printing and additive manufacturing technology has become a powerful new industrial revolution in the field of manufacturing. The evolution of 3D printing and additive manufacturing technologies has changed design, engineering and manufacturing processes across industries such as consumer products, aerospace, medical devices and automotives. The objective of this book is to help designers, R&D personnel, and practicing engineers understand the state-of-the-art developments in the field of 3D Printing and Additive Manufacturing. .

  9. Magnetic resonance of semiconductors and their nanostructures basic and advanced applications

    CERN Document Server

    Baranov, Pavel G; Jelezko, Fedor; Wrachtrup, Jörg

    2017-01-01

    This book explains different magnetic resonance (MR) techniques and uses different combinations of these techniques to analyze defects in semiconductors and nanostructures. It also introduces novelties such as single defects MR and electron-paramagnetic-resonance-based methods: electron spin echo, electrically detected magnetic resonance, optically detected magnetic resonance and electron-nuclear double resonance – the designated tools for investigating the structural and spin properties of condensed systems, living matter, nanostructures and nanobiotechnology objects. Further, the authors address problems existing in semiconductor and nanotechnology sciences that can be resolved using MR, and discuss past, current and future applications of MR, with a focus on advances in MR methods. The book is intended for researchers in MR studies of semiconductors and nanostructures wanting a comprehensive review of what has been done in their own and related fields of study, as well as future perspectives.

  10. International Joint Conference on Mechanics, Design Engineering & Advanced Manufacturing

    CERN Document Server

    Nigrelli, Vincenzo; Oliveri, Salvatore; Peris-Fajarnes, Guillermo; Rizzuti, Sergio

    2017-01-01

    This book gathers papers presented at the International Joint Conference on Mechanics, Design Engineering and Advanced Manufacturing (JCM 2016), held on 14-16 September, 2016, in Catania, Italy. It reports on cutting-edge topics in product design and manufacturing, such as industrial methods for integrated product and process design; innovative design; and computer-aided design. Further topics covered include virtual simulation and reverse engineering; additive manufacturing; product manufacturing; engineering methods in medicine and education; representation techniques; and nautical, aeronautics and aerospace design and modeling. The book is divided into eight main sections, reflecting the focus and primary themes of the conference. The contributions presented here will not only provide researchers, engineers and experts in a range of industrial engineering subfields with extensive information to support their daily work; they are also intended to stimulate new research directions, advanced applications of t...

  11. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Science.gov (United States)

    2010-07-27

    ... Advanced Lithography Techniques and Products Containing Same; Notice of Investigation AGENCY: U.S... violations of section 337 based upon the importation into the United States, the sale for importation, and the sale within the United States after importation of certain semiconductor products made by advanced...

  12. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  13. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  14. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  15. Productivity improvement through industrial engineering in the semiconductor industry

    Science.gov (United States)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  16. Soft computing in design and manufacturing of advanced materials

    Science.gov (United States)

    Cios, Krzysztof J.; Baaklini, George Y; Vary, Alex

    1993-01-01

    The potential of fuzzy sets and neural networks, often referred to as soft computing, for aiding in all aspects of manufacturing of advanced materials like ceramics is addressed. In design and manufacturing of advanced materials, it is desirable to find which of the many processing variables contribute most to the desired properties of the material. There is also interest in real time quality control of parameters that govern material properties during processing stages. The concepts of fuzzy sets and neural networks are briefly introduced and it is shown how they can be used in the design and manufacturing processes. These two computational methods are alternatives to other methods such as the Taguchi method. The two methods are demonstrated by using data collected at NASA Lewis Research Center. Future research directions are also discussed.

  17. Decade of PV Industry R and D Advances in Silicon Module Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Symko-Davis, M.; Mitchell, R.L.; Witt, C.E.; Thomas, H.P. [National Renewable Energy Laboratory; King, R.[U.S. Department of Energy; Ruby, D.S. [Sandia National Laboratories

    2001-01-18

    The US Photovoltaic (PV) industry has made significant technical advances in crystalline silicon (Si) module manufacturing through the PV Manufacturing R and D Project during the past decade. Funded Si technologies in this project have been Czochralski, cast polycrystalline, edge-defined film-fed growth (EFG) ribbon, string ribbon, and Si-film. Specific R and D Si module-manufacturing categories that have shown technical growth and will be discussed are in crystal growth and processing, wafering, cell fabrication, and module manufacturing. These R and D advancements since 1992 have contributed to a 30% decrease in PV manufacturing costs and stimulated a sevenfold increase in PV production capacity.

  18. The Vulcan Advanced Hybrid Manufacturing System, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Made In Space is developing the The Vulcan Advanced Hybrid Manufacturing System (VULCAN) to address NASA's requirement to produce high-strength, high-precision...

  19. Recent advances in fuel product and manufacturing process development

    International Nuclear Information System (INIS)

    Slember, R.J.; Doshi, P.K.

    1987-01-01

    This paper discusses advancements in commercial nuclear fuel products and manufacturing made by the Westinghouse Electric Corporation in response to the commercial nuclear fuel industry's demand for high reliability, increased plant availability and improved operating flexibility. The features and benefits of Westinghouse's most advanced fuel products--VANTAGE 5 for PWR plants and QUAD+ for BWR plants--are described, as well as 'high performance' fuel concepts now under development for delivery in the late 1980s. The paper also disusses the importance of in-process quality control throughout manufacturing towards reducing product variability and improving fuel reliability. (author)

  20. Semiconductor device and method of manufacturing the same

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a semiconductor device (10) with a semiconductor body (12) comprising a bipolar transistor with an emitter region, a base region and a collector region (1, 2, 3) of, respectively, a first conductivity type, a second conductivity type opposite to the first conductivity type,

  1. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  2. Analytical chemistry in semiconductor manufacturing: Techniques, role of nuclear methods and need for quality control

    International Nuclear Information System (INIS)

    1989-06-01

    This report is the result of a consultants meeting held in Gaithersburg, USA, 2-3 October 1987. The meeting was hosted by the National Bureau of Standards and Technology, and it was attended by 18 participants from Denmark, Finland, India, Japan, Norway, People's Republic of China and the USA. The purpose of the meeting was to assess the present status of analytical chemistry in semiconductor manufacturing, the role of nuclear analytical methods and the need for internationally organized quality control of the chemical analysis. The report contains the three presentations in full and a summary report of the discussions. Thus, it gives an overview of the need of analytical chemistry in manufacturing of silicon based devices, the use of nuclear analytical methods, and discusses the need for quality control. Refs, figs and tabs

  3. Exploring the evolution of investment pattern on advanced manufacturing technology

    DEFF Research Database (Denmark)

    Yang, Cheng; Matthiesen, Rikke Vestergaard; Johansen, John

    2014-01-01

    This paper explores the evolution of investment pattern on advanced manufacturing technology in a manner that builds on a longitudinal perspective. Based on the data of investments in AMTs from 567 manufacturing companies this paper develops a longitudinal taxonomy defined by the evolution of inv...... of technology management, which is comprised primarily of cross-sectional studies that do not address the dynamic nature of investments in AMTs.......This paper explores the evolution of investment pattern on advanced manufacturing technology in a manner that builds on a longitudinal perspective. Based on the data of investments in AMTs from 567 manufacturing companies this paper develops a longitudinal taxonomy defined by the evolution...... of investment patterns on AMT followed by companies over time; identifies the possible evolutionary features of different groups of companies; and suggests the possible explanatory and outcome factors on the evolution of investment pattern on AMTs. By doing so, this study seeks to fill a void in the area...

  4. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  5. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    OpenAIRE

    Cheng-Shih Su; Shu-Chen Hsu

    2014-01-01

    This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT). In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successf...

  6. Space Technology Mission Directorate Game Changing Development Program FY2015 Annual Program Review: Advanced Manufacturing Technology

    Science.gov (United States)

    Vickers, John; Fikes, John

    2015-01-01

    The Advance Manufacturing Technology (AMT) Project supports multiple activities within the Administration's National Manufacturing Initiative. A key component of the Initiative is the Advanced Manufacturing National Program Office (AMNPO), which includes participation from all federal agencies involved in U.S. manufacturing. In support of the AMNPO the AMT Project supports building and Growing the National Network for Manufacturing Innovation through a public-private partnership designed to help the industrial community accelerate manufacturing innovation. Integration with other projects/programs and partnerships: STMD (Space Technology Mission Directorate), HEOMD, other Centers; Industry, Academia; OGA's (e.g., DOD, DOE, DOC, USDA, NASA, NSF); Office of Science and Technology Policy, NIST Advanced Manufacturing Program Office; Generate insight within NASA and cross-agency for technology development priorities and investments. Technology Infusion Plan: PC; Potential customer infusion (TDM, HEOMD, SMD, OGA, Industry); Leverage; Collaborate with other Agencies, Industry and Academia; NASA roadmap. Initiatives include: Advanced Near Net Shape Technology Integrally Stiffened Cylinder Process Development (launch vehicles, sounding rockets); Materials Genome; Low Cost Upper Stage-Class Propulsion; Additive Construction with Mobile Emplacement (ACME); National Center for Advanced Manufacturing.

  7. Neural manufacturing: a novel concept for processing modeling, monitoring, and control

    Science.gov (United States)

    Fu, Chi Y.; Petrich, Loren; Law, Benjamin

    1995-09-01

    Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.

  8. NASA's National Center for Advanced Manufacturing

    Science.gov (United States)

    Vickers, John

    2003-01-01

    NASA has designated the Principal Center Assignment to the Marshall Space Flight Center (MSFC) for implementation of the National Center for Advanced Manufacturing (NCAM). NCAM is NASA s leading resource for the aerospace manufacturing research, development, and innovation needs that are critical to the goals of the Agency. Through this initiative NCAM s people work together with government, industry, and academia to ensure the technology base and national infrastructure are available to develop innovative manufacturing technologies with broad application to NASA Enterprise programs, and U.S. industry. Educational enhancements are ever-present within the NCAM focus to promote research, to inspire participation and to support education and training in manufacturing. Many important accomplishments took place during 2002. Through NCAM, NASA was among five federal agencies involved in manufacturing research and development (R&D) to launch a major effort to exchange information and cooperate directly to enhance the payoffs from federal investments. The Government Agencies Technology Exchange in Manufacturing (GATE-M) is the only active effort to specifically and comprehensively address manufacturing R&D across the federal government. Participating agencies include the departments of Commerce (represented by the National Institute of Standards and Technology), Defense, and Energy, as well as the National Science Foundation and NASA. MSFC s ongoing partnership with the State of Louisiana, the University of New Orleans, and Lockheed Martin Corporation at the Michoud Assembly Facility (MAF) progressed significantly. Major capital investments were initiated for world-class equipment additions including a universal friction stir welding system, composite fiber placement machine, five-axis machining center, and ten-axis laser ultrasonic nondestructive test system. The NCAM consortium of five universities led by University of New Orleans with Mississippi State University

  9. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Science.gov (United States)

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  10. Handbook on advanced design and manufacturing technologies for biomedical devices

    CERN Document Server

    2013-01-01

    The last decades have seen remarkable advances in computer-aided design, engineering and manufacturing technologies, multi-variable simulation tools, medical imaging, biomimetic design, rapid prototyping, micro and nanomanufacturing methods and information management resources, all of which provide new horizons for the Biomedical Engineering fields and the Medical Device Industry. Handbook on Advanced Design and Manufacturing Technologies for Biomedical Devices covers such topics in depth, with an applied perspective and providing several case studies that help to analyze and understand the key factors of the different stages linked to the development of a novel biomedical device, from the conceptual and design steps, to the prototyping and industrialization phases. Main research challenges and future potentials are also discussed, taking into account relevant social demands and a growing market already exceeding billions of dollars. In time, advanced biomedical devices will decisively change methods and resu...

  11. Advances in High Temperature Materials for Additive Manufacturing

    Science.gov (United States)

    Nordin, Nurul Amira Binti; Johar, Muhammad Akmal Bin; Ibrahim, Mohd Halim Irwan Bin; Marwah, Omar Mohd Faizan bin

    2017-08-01

    In today’s technology, additive manufacturing has evolved over the year that commonly known as 3D printing. Currently, additive manufacturing have been applied for many industries such as for automotive, aerospace, medical and other commercial product. The technologies are supported by materials for the manufacturing process to produce high quality product. Plus, additive manufacturing technologies has been growth from the lowest to moderate and high technology to fulfil manufacturing industries obligation. Initially from simple 3D printing such as fused deposition modelling (FDM), poly-jet, inkjet printing, to selective laser sintering (SLS), and electron beam melting (EBM). However, the high technology of additive manufacturing nowadays really needs high investment to carry out the process for fine products. There are three foremost type of material which is polymer, metal and ceramic used for additive manufacturing application, and mostly they were in the form of wire feedstock or powder. In circumstance, it is crucial to recognize the characteristics of each type of materials used in order to understand the behaviours of the materials on high temperature application via additive manufacturing. Therefore, this review aims to provide excessive inquiry and gather the necessary information for further research on additive material materials for high temperature application. This paper also proposed a new material based on powder glass, which comes from recycled tempered glass from automotive industry, having a huge potential to be applied for high temperature application. The technique proposed for additive manufacturing will minimize some cost of modelling with same quality of products compare to the others advanced technology used for high temperature application.

  12. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    Science.gov (United States)

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  13. 3D Ceramic Microfluidic Device Manufacturing

    International Nuclear Information System (INIS)

    Natarajan, Govindarajan; Humenik, James N

    2006-01-01

    Today, semiconductor processing serves as the backbone for the bulk of micromachined devices. Precision lithography and etching technology used in the semiconductor industry are also leveraged by alternate techniques like electroforming and molding. The nature of such processing is complex, limited and expensive for any manufacturing foundry. This paper details the technology elements developed to manufacture cost effective and versatile microfluidic devices for applications ranging from medical diagnostics to characterization of bioassays. Two applications using multilayer ceramic technology to manufacture complex 3D microfluidic devices are discussed

  14. Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Tethers Unlimited, Inc. (TUI) proposes to develop the Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, a robotically managed metal press and...

  15. The Environmental Impact of Advanced Manufacturing Technologies: Examples from Hungary

    OpenAIRE

    Andrea Szalavetz

    2017-01-01

    The purpose of the paper is to demonstrate the beneficial impact of advanced manufacturing technologies (AMT) on firms’ environmental performance. Drawing on interviews conducted with 16 Hungarian manufacturing subsidiaries on their experience with AMT, we find three functional areas, where industry 4.0 solutions can not only enhance operational excellence and cost-efficiency, but they can also improve eco-efficiency, but they can also improve eco-efficiency, namely in the f...

  16. Offshoring in the Semiconductor Industry: Historical Perspectives

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these earlie...

  17. NATO Advanced Research Institute on the Efficiency of Manufacturing Systems

    CERN Document Server

    Berg, C; French, D

    1983-01-01

    The Advanced Research Institute (A.R. 1.) on "the efficiency of Manufacturing Systems" was held under the auspices of the NATO Special Programm~ Panel on Systems Science as a part of the NATO Science Committee's continuous effort to promote the advancement of science through international co-operation. Advanced Research Institutes are organised for the purpose of bringing together experts in a particular field of interest to identify and make known the present state of knowledge in that area and, through informed debate, to make recommendations for directions for future research that would benefit the community at large. To this end two kinds of contribution were obtained by invitation. There were those papers which were about the current state of work in the area of manufacturing systems and its organisation; in addition three theme papers were presented to provide a stimulus to the discussion in terms of ways of thinking, both about the area and about the kind of research needed.

  18. Advanced Manufacture of Spiral Bevel and Hypoid Gears

    Directory of Open Access Journals (Sweden)

    Vilmos Simon

    2016-11-01

    Full Text Available In this study, an advanced method for the manufacture of spiral bevel and hypoid gears on CNC hypoid generators is proposed. The optmal head-cutter geometry and machine tool settings are determined to introduce the optimal tooth surface modifications into the teeth of spiral bevel and hypoid gears. The aim of these tooth surface modifications is to simultaneously reduce the tooth contact pressure and the transmission errors, to maximize the EHD load carrying capacity of the oil film, and to minimize power losses in the oil film. The proposed advanced method for the manufacture of spiral bevel and hypoid gears is based on machine tool setting variation on the cradle-type generator conducted by optimal polynomial functions and on the use of a CNC hypoid generator. An algorithm is developed for the execution of motions on the CNC hypoid generator using the optimal relations on the cradle-type machine. Effectiveness of the method was demonstrated by using spiral bevel and hypoid gear examples. Significant improvements in the operating characteristics of the gear pairs are achieved.

  19. Based on Weibull Information Fusion Analysis Semiconductors Quality the Key Technology of Manufacturing Execution Systems Reliability

    Science.gov (United States)

    Huang, Zhi-Hui; Tang, Ying-Chun; Dai, Kai

    2016-05-01

    Semiconductor materials and Product qualified rate are directly related to the manufacturing costs and survival of the enterprise. Application a dynamic reliability growth analysis method studies manufacturing execution system reliability growth to improve product quality. Refer to classical Duane model assumptions and tracking growth forecasts the TGP programming model, through the failure data, established the Weibull distribution model. Combining with the median rank of average rank method, through linear regression and least squares estimation method, match respectively weibull information fusion reliability growth curve. This assumption model overcome Duane model a weakness which is MTBF point estimation accuracy is not high, through the analysis of the failure data show that the method is an instance of the test and evaluation modeling process are basically identical. Median rank in the statistics is used to determine the method of random variable distribution function, which is a good way to solve the problem of complex systems such as the limited sample size. Therefore this method has great engineering application value.

  20. Advanced Microelectronics Technologies for Future Small Satellite Systems

    Science.gov (United States)

    Alkalai, Leon

    1999-01-01

    Future small satellite systems for both Earth observation as well as deep-space exploration are greatly enabled by the technological advances in deep sub-micron microelectronics technologies. Whereas these technological advances are being fueled by the commercial (non-space) industries, more recently there has been an exciting new synergism evolving between the two otherwise disjointed markets. In other words, both the commercial and space industries are enabled by advances in low-power, highly integrated, miniaturized (low-volume), lightweight, and reliable real-time embedded systems. Recent announcements by commercial semiconductor manufacturers to introduce Silicon On Insulator (SOI) technology into their commercial product lines is driven by the need for high-performance low-power integrated devices. Moreover, SOI has been the technology of choice for many space semiconductor manufacturers where radiation requirements are critical. This technology has inherent radiation latch-up immunity built into the process, which makes it very attractive to space applications. In this paper, we describe the advanced microelectronics and avionics technologies under development by NASA's Deep Space Systems Technology Program (also known as X2000). These technologies are of significant benefit to both the commercial satellite as well as the deep-space and Earth orbiting science missions. Such a synergistic technology roadmap may truly enable quick turn-around, low-cost, and highly capable small satellite systems for both Earth observation as well as deep-space missions.

  1. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  2. Offshoring in the Semiconductor Industry: A Historical Perspective

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these...

  3. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  4. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  5. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    Energy Technology Data Exchange (ETDEWEB)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories` operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment.

  6. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    International Nuclear Information System (INIS)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories' operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment

  7. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  8. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  9. The Effect of the Implementation of Advanced Manufacturing Technologies on Training in the Manufacturing Sector

    Science.gov (United States)

    Castrillon, Isabel Dieguez; Cantorna, Ana I. Sinde

    2005-01-01

    Purpose: The aim of this article is to gain insight into some of the factors that determine personnel-training efforts in companies introducing advanced manufacturing technologies (AMTs). The study provides empirical evidence from a sector with high rates of technological modernisation. Design/methodology/approach: "Ad hoc" survey of 90…

  10. Advanced Manufacturing Systems in Food Processing and Packaging Industry

    International Nuclear Information System (INIS)

    Sani, Mohd Shafie; Aziz, Faieza Abdul

    2013-01-01

    In this paper, several advanced manufacturing systems in food processing and packaging industry are reviewed, including: biodegradable smart packaging and Nano composites, advanced automation control system consists of fieldbus technology, distributed control system and food safety inspection features. The main purpose of current technology in food processing and packaging industry is discussed due to major concern on efficiency of the plant process, productivity, quality, as well as safety. These application were chosen because they are robust, flexible, reconfigurable, preserve the quality of the food, and efficient.

  11. Advanced Manufacturing Systems in Food Processing and Packaging Industry

    Science.gov (United States)

    Shafie Sani, Mohd; Aziz, Faieza Abdul

    2013-06-01

    In this paper, several advanced manufacturing systems in food processing and packaging industry are reviewed, including: biodegradable smart packaging and Nano composites, advanced automation control system consists of fieldbus technology, distributed control system and food safety inspection features. The main purpose of current technology in food processing and packaging industry is discussed due to major concern on efficiency of the plant process, productivity, quality, as well as safety. These application were chosen because they are robust, flexible, reconfigurable, preserve the quality of the food, and efficient.

  12. Advances in battery manufacturing, service, and management systems

    CERN Document Server

    Zhou, Shiyu; Han, Yehui

    2016-01-01

    This book brings together experts in the field to highlight the cutting edge research advances in BM2S2 and to promote an innovative integrated research framework responding to the challenges. There are three major parts included in this book: manufacturing, service, and management. The first part focuses on battery manufacturing systems, including modeling, analysis, design and control, as well as economic and risk analyses. The second part focuses on information technology’s impact on service systems, such as data-driven reliability modeling, failure prognosis, and service decision making methodologies for battery services. The third part addresses battery management systems (BMS) for control and optimization of battery cells, opera ions, and hybrid storage systems to ensure overall performance and safety, as well as EV management.

  13. 3D metal droplet printing development and advanced materials additive manufacturing

    Directory of Open Access Journals (Sweden)

    Lawrence E. Murr

    2017-01-01

    Full Text Available While commercial additive manufacturing processes involving direct metal wire or powder deposition along with powder bed fusion technologies using laser and electron beam melting have proliferated over the past decade, inkjet printing using molten metal droplets for direct, 3D printing has been elusive. In this paper we review the more than three decades of development of metal droplet generation for precision additive manufacturing applications utilizing advanced, high-temperature metals and alloys. Issues concerning process optimization, including product structure and properties affected by oxidation are discussed and some comparisons of related additive manufactured microstructures are presented.

  14. A system approach to controlling semiconductor manufacturing operations

    OpenAIRE

    Σταυράκης, Γιώργος Δ.

    1987-01-01

    Semicoductor manufacturers, faced with stiffening competition in both product cost and quality, require improved utilization of their development and manufacturing resources. Manufacturing philosophy must be changed, from focusing on short term results, to support continuous improvements in both output and quality. Such improvements demand better information management to monitor and control the manufacturing process. From these considerations, a process control methodology was develope...

  15. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  16. Application of statistics to VLSI circuit manufacturing : test, diagnosis, and reliability

    NARCIS (Netherlands)

    Krishnan, Shaji

    2017-01-01

    Semiconductor product manufacturing companies strive to deliver defect free, and reliable products to their customers. However, with the down-scaling of technology, increasing the throughput at every stage of semiconductor product manufacturing becomes a harder challenge. To avoid process-related

  17. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  18. The Environmental Impact of Advanced Manufacturing Technologies: Examples from Hungary

    Directory of Open Access Journals (Sweden)

    Andrea Szalavetz

    2017-06-01

    Full Text Available The purpose of the paper is to demonstrate the beneficial impact of advanced manufacturing technologies (AMT on firms’ environmental performance. Drawing on interviews conducted with 16 Hungarian manufacturing subsidiaries on their experience with AMT, we find three functional areas, where industry 4.0 solutions can not only enhance operational excellence and cost-efficiency, but they can also improve eco-efficiency, but they can also improve eco-efficiency, namely in the field of quality management (through smart production control, data analytics and predictive modelling solutions; process optimization (through capacity planning and production scheduling solutions; and product and process engineering (through advanced virtual technologies. We also find that AMT adoption facilitated subsidiary upgrading along various dimensions. The main managerial implication is that subsidiaries need to be proactive, and emphasize also the benefits stemming from energy and resource efficiency improvement when lobbying for investment in AMT.

  19. National Manufacturing Strategy: Is a National Manufacturing Strategy Essential to National Security?

    Science.gov (United States)

    2011-05-01

    cycle found nearly a quarter of all homeowners owning more than their home was worth. 11 Both Paul Volcker and Warren Buffet arrived at similar...November 15, 2010; Warren Buffet , Testimony, Financial Crisis Inquiry Commission, June 2, 2010; “Subprime Mortgage Crisis,” http://en.wikipedia.org...overseas manufacturing. Case Study: Semiconductor Wafer Industry. The history of the semiconductor industry is an instructive account . It begins with

  20. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  1. Microeconomics of advanced process window control for 50-nm gates

    Science.gov (United States)

    Monahan, Kevin M.; Chen, Xuemei; Falessi, Georges; Garvin, Craig; Hankinson, Matt; Lev, Amir; Levy, Ady; Slessor, Michael D.

    2002-07-01

    Fundamentally, advanced process control enables accelerated design-rule reduction, but simple microeconomic models that directly link the effects of advanced process control to profitability are rare or non-existent. In this work, we derive these links using a simplified model for the rate of profit generated by the semiconductor manufacturing process. We use it to explain why and how microprocessor manufacturers strive to avoid commoditization by producing only the number of dies required to satisfy the time-varying demand in each performance segment. This strategy is realized using the tactic known as speed binning, the deliberate creation of an unnatural distribution of microprocessor performance that varies according to market demand. We show that the ability of APC to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process window variation.

  2. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  3. Analysis of the influence of advanced materials for aerospace products R&D and manufacturing cost

    Science.gov (United States)

    Shen, A. W.; Guo, J. L.; Wang, Z. J.

    2015-12-01

    In this paper, we pointed out the deficiency of traditional cost estimation model about aerospace products Research & Development (R&D) and manufacturing based on analyzing the widely use of advanced materials in aviation products. Then we put up with the estimating formulas of cost factor, which representing the influences of advanced materials on the labor cost rate and manufacturing materials cost rate. The values ranges of the common advanced materials such as composite materials, titanium alloy are present in the labor and materials two aspects. Finally, we estimate the R&D and manufacturing cost of F/A-18, F/A- 22, B-1B and B-2 aircraft based on the common DAPCA IV model and the modified model proposed by this paper. The calculation results show that the calculation precision improved greatly by the proposed method which considering advanced materials. So we can know the proposed method is scientific and reasonable.

  4. Phase 1 Development Testing of the Advanced Manufacturing Demonstrator Engine

    Science.gov (United States)

    Case, Nicholas L.; Eddleman, David E.; Calvert, Marty R.; Bullard, David B.; Martin, Michael A.; Wall, Thomas R.

    2016-01-01

    The Additive Manufacturing Development Breadboard Engine (BBE) is a pressure-fed liquid oxygen/pump-fed liquid hydrogen (LOX/LH2) expander cycle engine that was built and operated by NASA at Marshall Space Flight Center's East Test Area. The breadboard engine was conceived as a technology demonstrator for the additive manufacturing technologies for an advanced upper stage prototype engine. The components tested on the breadboard engine included an ablative chamber, injector, main fuel valve, turbine bypass valve, a main oxidizer valve, a mixer and the fuel turbopump. All parts minus the ablative chamber were additively manufactured. The BBE was successfully hot fire tested seven times. Data collected from the test series will be used for follow on demonstration tests with a liquid oxygen turbopump and a regeneratively cooled chamber and nozzle.

  5. Inkjet printing for biosensor fabrication: combining chemistry and technology for advanced manufacturing.

    Science.gov (United States)

    Li, Jia; Rossignol, Fabrice; Macdonald, Joanne

    2015-06-21

    Inkjet printing is emerging at the forefront of biosensor fabrication technologies. Parallel advances in both ink chemistry and printers have led to a biosensor manufacturing approach that is simple, rapid, flexible, high resolution, low cost, efficient for mass production, and extends the capabilities of devices beyond other manufacturing technologies. Here we review for the first time the factors behind successful inkjet biosensor fabrication, including printers, inks, patterning methods, and matrix types. We discuss technical considerations that are important when moving beyond theoretical knowledge to practical implementation. We also highlight significant advances in biosensor functionality that have been realised through inkjet printing. Finally, we consider future possibilities for biosensors enabled by this novel combination of chemistry and technology.

  6. Advanced Manufacturing Technology: The Perceived Impact on Producer’s Value

    Directory of Open Access Journals (Sweden)

    Rohani Abdullah

    2012-09-01

    Full Text Available The purpose of this study is to determine which AMT has the greatest perceived impact on producer’s value and to identify which AMTs has been most successfully employed. The study population consists of senior manufacturing executives in electrical and electronic firms located in the northern region of Malaysia. The study addresses the senior manufacturing executives’ perceptions on how well specific AMTs have achieved the expectation of the firms implementing them. They are selected as respondents because of their understanding of the technology and their effects, and because as top manufacturing decision makers, their opinions are likely to shape the future technology of the organization. This study found that the type of AMT that perceived the greatest impact on producer’s value is Flexible Manufacturing System, due to its high effects on two dimensions of producer’s value: quality and cost while Just-in-Time is found to be the most successfully employed AMT among respondents. The findings of this study are significant as they contribute to the AMT literature especially in the context of Electrical and Electronic firms. Keywords: advanced manufacturing technology, producer’s value

  7. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  8. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  9. Model-based Engineering for the Integration of Manufacturing Systems with Advanced Analytics

    OpenAIRE

    Lechevalier , David; Narayanan , Anantha; Rachuri , Sudarsan; Foufou , Sebti; Lee , Y Tina

    2016-01-01

    Part 3: Interoperability and Systems Integration; International audience; To employ data analytics effectively and efficiently on manufacturing systems, engineers and data scientists need to collaborate closely to bring their domain knowledge together. In this paper, we introduce a domain-specific modeling approach to integrate a manufacturing system model with advanced analytics, in particular neural networks, to model predictions. Our approach combines a set of meta-models and transformatio...

  10. Tungsten and other heavy metal contamination in aquatic environments receiving wastewater from semiconductor manufacturing

    International Nuclear Information System (INIS)

    Hsu, Shih-Chieh; Hsieh, Hwey-Lian; Chen, Chang-Po; Tseng, Chun-Mao; Huang, Shou-Chung; Huang, Chou-Hao; Huang, Yi-Tang; Radashevsky, Vasily; Lin, Shuen-Hsin

    2011-01-01

    Through analyses of water and sediments, we investigate tungsten and 14 other heavy metals in a stream receiving treated effluents from a semiconductor manufacturer-clustered science park in Taiwan. Treated effluents account for ∼50% of total annual river discharge and <1% of total sediment discharge. Dissolved tungsten concentrations in the effluents abnormally reach 400 μg/L, as compared to the world river average concentration of <0.1 μg/L. Particulate tungsten concentrations are up to 300 μg/g in suspended and deposited sediments, and the corresponding enrichment factors are three orders of magnitude higher than average crust composition. Surprisingly, the estimated amount of tungsten exported to the adjacent ocean is 23.5 t/yr, which can approximate the amount from the Yangtze River should it be unpolluted. This study highlights the urgency of investigating the biological effect of such contamination.

  11. 5th International Conference on Advanced Manufacturing Engineering and Technologies

    CERN Document Server

    Jakovljevic, Zivana; NEWTECH2017

    2017-01-01

    This book presents the proceedings from the 5th NEWTECH conference (Belgrade, Serbia, 5–9 June 2017), the latest in a series of high-level conferences that bring together experts from academia and industry in order to exchange knowledge, ideas, experiences, research results, and information in the field of manufacturing. The range of topics addressed is wide, including, for example, machine tool research and in-machine measurements, progress in CAD/CAM technologies, rapid prototyping and reverse engineering, nanomanufacturing, advanced material processing, functional and protective surfaces, and cyber-physical and reconfigurable manufacturing systems. The book will benefit readers by providing updates on key issues and recent progress in manufacturing engineering and technologies and will aid the transfer of valuable knowledge to the next generation of academics and practitioners. It will appeal to all who work or conduct research in this rapidly evolving field.

  12. Advanced manufacturing: optimising the factories of tomorrow

    International Nuclear Information System (INIS)

    Philippon, Patrick

    2013-01-01

    Faced with competition Patrick Philippon - Les Defis du CEA no.179 - April 2013 from the emerging countries, the competitiveness of the industrialised nations depends on the ability of their industries to innovate. This strategy necessarily entails the reorganisation and optimisation of the production systems. This is the whole challenge for 'advanced manufacturing', which relies on the new information and communication technologies. Interactive robotics, virtual reality and non-destructive testing are all technological building blocks developed by CEA, now approved within a cross-cutting programme, to meet the needs of industry and together build the factories of tomorrow. (author)

  13. Advanced Manufacturing Technology Adoption In SMEs: An Integrative Model

    Directory of Open Access Journals (Sweden)

    Mirmahdi Darbanhosseiniamirkhiz

    2012-12-01

    Full Text Available The objective of this study is to assess the critical factors which influence adoption of  Advanced Manufacturing Technologies (AMTs and identify hurdles and barriers which prevent small- and medium-sized enterprises (SMEs from accomplishing the desired goals of AMTs utilization. The proposed framework has synthesized previous studies and integrated related studies through conducting a comprehensive literature review. This paper is a theoretical construction that synthesizes previous studies, and centers on three context (Environmental, Organizational, and Technological which influence  adoption of AMTs. This model can provide managers with practical solutions through granting in-depth understanding of whole internal, external, and technological environments, and awarding empirical insight into overcoming barriers to the adoption and implementation of AMT and other process innovations in manufacturing organizations.

  14. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  15. Past and Present of the Chinese and Korean Trainees and Survival of a Small Manufacturing Industry

    Science.gov (United States)

    Nishihata, Mikio

    In 1973, the author established the Nippon Bell Parts Co., Ltd. in Funabashi-city under his estimation of the advances in communication, information, semiconductor and automotive industries, then he has focused on R&D and developed the manufacturing of precise parts. During the past 30 years, he has himself experienced the importance of the mutual exchange between Japan and China and Korea, for keeping the human capability as well as for the management and the technical development to avoid a bankruptcy. The author is intentionally acting for the education of craftsmen in small and medium-sized manufacturing industries.

  16. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  17. Analysis of the influence of advanced materials for aerospace products R and D and manufacturing cost

    International Nuclear Information System (INIS)

    Shen, A W; Guo, J L; Wang, Z J

    2015-01-01

    In this paper, we pointed out the deficiency of traditional cost estimation model about aerospace products Research and Development (R and D) and manufacturing based on analyzing the widely use of advanced materials in aviation products. Then we put up with the estimating formulas of cost factor, which representing the influences of advanced materials on the labor cost rate and manufacturing materials cost rate. The values ranges of the common advanced materials such as composite materials, titanium alloy are present in the labor and materials two aspects. Finally, we estimate the R and D and manufacturing cost of F/A-18, F/A- 22, B-1B and B-2 aircraft based on the common DAPCA IV model and the modified model proposed by this paper. The calculation results show that the calculation precision improved greatly by the proposed method which considering advanced materials. So we can know the proposed method is scientific and reasonable. (paper)

  18. Advanced Manufacture of Reflectors

    Energy Technology Data Exchange (ETDEWEB)

    Angel, Roger [Univ. of Arizona, Tucson, AZ (United States)

    2014-12-17

    The main project objective has been to develop an advanced gravity sag method for molding large glass solar reflectors with either line or point focus, and with long or short focal length. The method involves taking standard sized squares of glass, 1.65 m x 1.65 m, and shaping them by gravity sag into precision steel molds. The method is designed for high volume manufacture when incorporated into a production line with separate pre-heating and cooling. The performance objectives for the self-supporting glass mirrors made by this project include mirror optical accuracy of 2 mrad root mean square (RMS), requiring surface slope errors less than 1 mrad rms, a target not met by current production of solar reflectors. Our objective also included development of new methods for rapidly shaping glass mirrors and coating them for higher reflectivity and soil resistance. Reflectivity of 95% for a glass mirror with anti-soil coating was targeted, compared to the present ~94% with no anti-soil coating. Our mirror cost objective is ~$20/m2 in 2020, a significant reduction compared to the present ~$35/m2 for solar trough mirrors produced for trough solar plants.

  19. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  20. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  1. Risk for work-related fatigue among the employees on semiconductor manufacturing lines.

    Science.gov (United States)

    Lin, Yu-Cheng; Chen, Yen-Cheng; Hsieh, Hui-I; Chen, Pau-Chung

    2015-03-01

    To examine the potential risk factors for work-related fatigue (WRF) among workers in modern industries, the authors analyzed the records of need-for-recovery questionnaires and health checkup results for 1545 employees. Compared with regular daytime workers, and after adjusting for confounders, the workers adapting to day-and-night rotating shift work (RSW) had a 4.0-fold (95% confidence interval [CI] = 2.7-5.9) increased risk for WRF, higher than the 2.2-fold risk (95% CI = 1.5-3.3) for persistent shift workers. Based on highest education level, the male employees with university degrees had the highest adjusted odds ratio (a-OR) 2.8 (95% CI = 1.0-7.8) for complaining of WRF versus compulsory education group. For female workers, currently married/cohabiting status was inversely associated with WRF (a-OR = 0.5; 95% CI = 0.2-0.9), and child-rearing responsibility moderately increased WRF risk (a-OR = 1.9; 95% CI = 1.0-3.7). Day-and-night RSW and the adaptation, educational levels of males, and domestic factors for females contributed to WRF among semiconductor manufacturing employees. © 2013 APJPH.

  2. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  3. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  4. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    Science.gov (United States)

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  6. Revenue sharing in semiconductor industry supply chain ...

    Indian Academy of Sciences (India)

    to reduce demand opportunities, inventory needs and production efficiencies, in addition to reducing .... design based on coalition structures in semiconductor supply chain. ..... supplier/contract manufacturer for a product/component category.

  7. A system approach for reducing the environmental impact of manufacturing and sustainability improvement of nano-scale manufacturing

    Science.gov (United States)

    Yuan, Yingchun

    This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of

  8. Advanced Continuous Flow Platform for On-Demand Pharmaceutical Manufacturing.

    Science.gov (United States)

    Zhang, Ping; Weeranoppanant, Nopphon; Thomas, Dale A; Tahara, Kohei; Stelzer, Torsten; Russell, Mary Grace; O'Mahony, Marcus; Myerson, Allan S; Lin, Hongkun; Kelly, Liam P; Jensen, Klavs F; Jamison, Timothy F; Dai, Chunhui; Cui, Yuqing; Briggs, Naomi; Beingessner, Rachel L; Adamo, Andrea

    2018-02-21

    As a demonstration of an alternative to the challenges faced with batch pharmaceutical manufacturing including the large production footprint and lengthy time-scale, we previously reported a refrigerator-sized continuous flow system for the on-demand production of essential medicines. Building on this technology, herein we report a second-generation, reconfigurable and 25 % smaller (by volume) continuous flow pharmaceutical manufacturing platform featuring advances in reaction and purification equipment. Consisting of two compact [0.7 (L)×0.5 (D)×1.3 m (H)] stand-alone units for synthesis and purification/formulation processes, the capabilities of this automated system are demonstrated with the synthesis of nicardipine hydrochloride and the production of concentrated liquid doses of ciprofloxacin hydrochloride, neostigmine methylsulfate and rufinamide that meet US Pharmacopeia standards. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  10. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  11. A manufacturing database of advanced materials used in spacecraft structures

    Science.gov (United States)

    Bao, Han P.

    1994-12-01

    Cost savings opportunities over the life cycle of a product are highest in the early exploratory phase when different design alternatives are evaluated not only for their performance characteristics but also their methods of fabrication which really control the ultimate manufacturing costs of the product. In the past, Design-To-Cost methodologies for spacecraft design concentrated on the sizing and weight issues more than anything else at the early so-called 'Vehicle Level' (Ref: DOD/NASA Advanced Composites Design Guide). Given the impact of manufacturing cost, the objective of this study is to identify the principal cost drivers for each materials technology and propose a quantitative approach to incorporating these cost drivers into the family of optimization tools used by the Vehicle Analysis Branch of NASA LaRC to assess various conceptual vehicle designs. The advanced materials being considered include aluminum-lithium alloys, thermoplastic graphite-polyether etherketone composites, graphite-bismaleimide composites, graphite- polyimide composites, and carbon-carbon composites. Two conventional materials are added to the study to serve as baseline materials against which the other materials are compared. These two conventional materials are aircraft aluminum alloys series 2000 and series 7000, and graphite-epoxy composites T-300/934. The following information is available in the database. For each material type, the mechanical, physical, thermal, and environmental properties are first listed. Next the principal manufacturing processes are described. Whenever possible, guidelines for optimum processing conditions for specific applications are provided. Finally, six categories of cost drivers are discussed. They include, design features affecting processing, tooling, materials, fabrication, joining/assembly, and quality assurance issues. It should be emphasized that this database is not an exhaustive database. Its primary use is to make the vehicle designer

  12. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  13. Co-Extrusion: Advanced Manufacturing for Energy Devices

    Energy Technology Data Exchange (ETDEWEB)

    Cobb, Corie Lynn [PARC, Palo Alto, CA (United States)

    2016-11-18

    The development of mass markets for large-format batteries, including electric vehicles (EVs) and grid support, depends on both cost reductions and performance enhancements to improve their economic viability. Palo Alto Research Center (PARC) has developed a multi-material, advanced manufacturing process called co-extrusion (CoEx) to remove multiple steps in a conventional battery coating process with the potential to simultaneously increase battery energy and power density. CoEx can revolutionize battery manufacturing across most chemistries, significantly lowering end-product cost and shifting the underlying economics to make EVs and other battery applications a reality. PARC’s scale-up of CoEx for electric vehicle (EV) batteries builds on a solid base of experience in applying CoEx to solar cell manufacturing, deposition of viscous ceramic pastes, and Li-ion battery chemistries. In the solar application, CoEx has been deployed commercially at production scale where multi-channel CoEx printheads are used to print viscous silver gridline pastes at full production speeds (>40 ft/min). This operational scale-up provided invaluable experience with the nuances of speed, yield, and maintenance inherent in taking a new technology to the factory floor. PARC has leveraged this experience, adapting the CoEx process for Lithium-ion (Li-ion) battery manufacturing. To date, PARC has worked with Li-ion battery materials and structured cathodes with high-density Li-ion regions and low-density conduction regions, documenting both energy and power performance. Modeling results for a CoEx cathode show a path towards a 10-20% improvement in capacity for an EV pouch cell. Experimentally, we have realized a co-extruded battery structure with a Lithium Nickel Manganese Cobalt (NMC) cathode at print speeds equivalent to conventional roll coating processes. The heterogeneous CoEx cathode enables improved capacity in thick electrodes at higher C-rates. The proof-of-principle coin cells

  14. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  15. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.; Hanna, Amir; Yapici, Tahir; Wehbe, N.; Diallo, Elhadj; Kutbee, Arwa T.; Bahabry, Rabab R.; Hussain, Muhammad Mustafa

    2017-01-01

    , in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured

  16. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  17. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  18. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  19. Characterization of advanced semiconductor materials by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Ishibashi, Shoji

    2005-01-01

    Positron annihilation is an established technique for investigating vacancy-type defects near surfaces or interfaces. Using this technique, one can identify defect species in a nondestructive manner. Because there is no restriction of sample conductivity or temperature, this technique can be applied to a various materials, such as semiconductors, metals, metal oxides, and polymers. The positron annihilation has been applied to the studies of Si-technology related materials, which show that it can provide useful information for the development of semiconductor devices. In this article, we report the results obtained for electroplated Cu, strained Si and high-k materials. (author)

  20. Emerging technology: A key enabler for modernizing pharmaceutical manufacturing and advancing product quality.

    Science.gov (United States)

    O'Connor, Thomas F; Yu, Lawrence X; Lee, Sau L

    2016-07-25

    Issues in product quality have produced recalls and caused drug shortages in United States (U.S.) in the past few years. These quality issues were often due to outdated manufacturing technologies and equipment as well as lack of an effective quality management system. To ensure consistent supply of safe, effective and high-quality drug products available to the patients, the U.S. Food and Drug Administration (FDA) supports modernizing pharmaceutical manufacturing for improvements in product quality. Specifically, five new initiatives are proposed here to achieve this goal. They include: (i) advancing regulatory science for pharmaceutical manufacturing; (ii) establishing a public-private institute for pharmaceutical manufacturing innovation; (iii) creating incentives for investment in the technological upgrade of manufacturing processes and facilities; (iv) leveraging external expertise for regulatory quality assessment of emerging technologies; and (v) promoting the international harmonization of approaches for expediting the global adoption of emerging technologies. Published by Elsevier B.V.

  1. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    Science.gov (United States)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with NFC) link. The device draws its power from the NFC transmitter common on smartphones and eliminates the need for a fixed battery. This allows for the mass deployment of flexible, interactive displays on product packaging.

  2. Advanced manufacturing technology effectiveness: A review of literature and some issues

    Science.gov (United States)

    Goyal, Sanjeev; Grover, Sandeep

    2012-09-01

    Advanced manufacturing technology (AMT) provides advantages to manufacturing managers in terms of flexibility, quality, reduced delivery times, and global competitiveness. Although a large number of publications had presented the importance of this technology, only a few had delved into related literature review. Considering the importance of this technology and the recent contributions by various authors, the present paper conducts a more comprehensive review. Literature was reviewed in a way that will help researchers, academicians, and practitioners to take a closer look at the implementation, evaluation, and justification of the AMT. The authors reviewed various papers, proposed a different classification scheme, and identified certain gaps that will provide hints for further research in AMT management.

  3. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    Energy Technology Data Exchange (ETDEWEB)

    Datskos, Panos G [ORNL; Joshi, Pooran C [ORNL; List III, Frederick Alyious [ORNL; Duty, Chad E [ORNL; Armstrong, Beth L [ORNL; Ivanov, Ilia N [ORNL; Jacobs, Christopher B [ORNL; Graham, David E [ORNL; Moon, Ji Won [ORNL

    2015-08-01

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean room facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.

  4. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  5. Advances in compact manufacturing for shape and performance controllability of large-scale components-a review

    Science.gov (United States)

    Qin, Fangcheng; Li, Yongtang; Qi, Huiping; Ju, Li

    2017-01-01

    Research on compact manufacturing technology for shape and performance controllability of metallic components can realize the simplification and high-reliability of manufacturing process on the premise of satisfying the requirement of macro/micro-structure. It is not only the key paths in improving performance, saving material and energy, and green manufacturing of components used in major equipments, but also the challenging subjects in frontiers of advanced plastic forming. To provide a novel horizon for the manufacturing in the critical components is significant. Focused on the high-performance large-scale components such as bearing rings, flanges, railway wheels, thick-walled pipes, etc, the conventional processes and their developing situations are summarized. The existing problems including multi-pass heating, wasting material and energy, high cost and high-emission are discussed, and the present study unable to meet the manufacturing in high-quality components is also pointed out. Thus, the new techniques related to casting-rolling compound precise forming of rings, compact manufacturing for duplex-metal composite rings, compact manufacturing for railway wheels, and casting-extruding continuous forming of thick-walled pipes are introduced in detail, respectively. The corresponding research contents, such as casting ring blank, hot ring rolling, near solid-state pressure forming, hot extruding, are elaborated. Some findings in through-thickness microstructure evolution and mechanical properties are also presented. The components produced by the new techniques are mainly characterized by fine and homogeneous grains. Moreover, the possible directions for further development of those techniques are suggested. Finally, the key scientific problems are first proposed. All of these results and conclusions have reference value and guiding significance for the integrated control of shape and performance in advanced compact manufacturing.

  6. 75 FR 24742 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2010-05-05

    ... Semiconductor, Xiqing Integrated Semiconductor, Manufacturing Site, No. 15 Xinghua Road, Xiqing Economic... Malaysia Sdn. Bhd., NO. 2 Jalan SS 8/2, Free Industrial Zone, Sungai Way, 47300 Petaling Jaya, Selengor, Malaysia. Freescale Semiconductor Pte. Ltd., 7 Changi South Street 2, 03-00, Singapore 486415. Freescale...

  7. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  8. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  9. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  10. Gender differences on the job satisfaction in the phase of implementing advanced manufacturing technology in the Chinese manufacturing firms.

    Science.gov (United States)

    Yu, Na; Shen, Li Ming; Lewark, Siegfried

    2012-01-01

    This research gave an effort to study on gender differences in the job satisfaction for technological innovation at Chinese manufacturing firm. The exploratory study was conducted in four Chinese furniture manufacturing firms, which are all in the phases of introducing advanced manufacturing system. The results of statistical analysis show that general satisfaction of female employees to their jobs is significantly higher than male employees. In addition, supervisory satisfaction of female employees is significantly higher than male employees. The findings of the study reveal that activities are suggested to be carried out to increase the job satisfaction of male employees, especially improve communication and relationship between the managerial and the non-managerial levels in the innovation process. In addition, the higher job satisfaction of female employees could be considered a positive factor for the successful implementation of AMT in the technological innovation, although male employees are still dominated work force in the case study firms.

  11. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  12. Green Manufacturing Fundamentals and Applications

    CERN Document Server

    2013-01-01

    Green Manufacturing: Fundamentals and Applications introduces the basic definitions and issues surrounding green manufacturing at the process, machine and system (including supply chain) levels. It also shows, by way of several examples from different industry sectors, the potential for substantial improvement and the paths to achieve the improvement. Additionally, this book discusses regulatory and government motivations for green manufacturing and outlines the path for making manufacturing more green as well as making production more sustainable. This book also: • Discusses new engineering approaches for manufacturing and provides a path from traditional manufacturing to green manufacturing • Addresses regulatory and economic issues surrounding green manufacturing • Details new supply chains that need to be in place before going green • Includes state-of-the-art case studies in the areas of automotive, semiconductor and medical areas as well as in the supply chain and packaging areas Green Manufactu...

  13. Single frequency semiconductor lasers

    CERN Document Server

    Fang, Zujie; Chen, Gaoting; Qu, Ronghui

    2017-01-01

    This book systematically introduces the single frequency semiconductor laser, which is widely used in many vital advanced technologies, such as the laser cooling of atoms and atomic clock, high-precision measurements and spectroscopy, coherent optical communications, and advanced optical sensors. It presents both the fundamentals and characteristics of semiconductor lasers, including basic F-P structure and monolithic integrated structures; interprets laser noises and their measurements; and explains mechanisms and technologies relating to the main aspects of single frequency lasers, including external cavity lasers, frequency stabilization technologies, frequency sweeping, optical phase locked loops, and so on. It paints a clear, physical picture of related technologies and reviews new developments in the field as well. It will be a useful reference to graduate students, researchers, and engineers in the field.

  14. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  15. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  16. Manufacturing strategy issues in selected Indian manufacturing industry

    Directory of Open Access Journals (Sweden)

    Mahender Singh

    2013-03-01

    Full Text Available This paper presents some findings of Indian manufacturing sectors viz. automobile (especially two-wheeler, tractor and general manufacturing industry. Various manufacturing strategy issues such as competitive priorities, improvement activities, and performance measures, have been identified and assessed in Indian context. Sector wise comparison of competitive priorities, improvement activities i.e. advanced manufacturing technology (AMT, integrated information systems (IIS, and advanced management systems (AMS, and performance measure, is provided. Our results showed that most of the Indian companies are still emphasizing on quality. However, automobile sector has set to compete globally with high innovation rate, faster new product development, and continuous improvement. It is also observed that Indian companies are investing more in AMS as compared to IIS and AMT. Manufacturing competence index is also computed for each sector.

  17. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  18. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    Science.gov (United States)

    Basu, Raktima; Magudapathy, P.; Sardar, Manas; Pandian, Ramanathaswamy; Dhara, Sandip

    2017-11-01

    Textured VO2(0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet-visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO2. The thermochromism in VO2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO2 applicable as advanced smart windows for overall heat management of a closure.

  19. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    International Nuclear Information System (INIS)

    Basu, Raktima; Pandian, Ramanathaswamy; Dhara, Sandip; Magudapathy, P; Sardar, Manas

    2017-01-01

    Textured VO 2 (0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO 2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO 2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet–visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO 2 . The thermochromism in VO 2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO 2 applicable as advanced smart windows for overall heat management of a closure. (paper)

  20. 76 FR 59542 - Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To...

    Science.gov (United States)

    2011-09-27

    ... Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To Provide... regulation to amend the calculation and monitoring provisions in the Electronics Manufacturing portion of the... Electronics Manufacturing 334111 Microcomputer manufacturing facilities. 334413 Semiconductor, photovoltaic...

  1. Unified Controller Design for Intelligent Manufacturing Automation

    National Research Council Canada - National Science Library

    Kosut, Robert

    1997-01-01

    .... The demonstration system selected was rapid thermal processing (RTP) of semiconductor wafers. This novel approach in integrated circuit manufacturing demands fast tracking control laws that achieve near uniform spatial temperature distributions...

  2. Semiconductor research with reactor neutrons

    International Nuclear Information System (INIS)

    Kimura, Itsuro

    1992-01-01

    Reactor neutrons play an important role for characterization of semiconductor materials as same as other advanced materials. On the other hand reactor neutrons bring about not only malignant irradiation effects called radiation damage, but also useful effects such as neutron transmutation doping and defect formation for opto-electronics. Research works on semiconductor materials with the reactor neutrons of the Kyoto University Reactor (KUR) are briefly reviewed. In this review, a stress is laid on the present author's works. (author)

  3. Agile Multi-Parallel Micro Manufacturing Using a Grid of Equiplets

    NARCIS (Netherlands)

    Leo van Moergestel; Ing. Erik Puik

    2010-01-01

    Abstract: Unlike manufacturing technology for semiconductors and printed circuit boards, the market for traditional micro assembly lacks a clear public roadmap. More agile manufacturing strategies are needed in an environment in which dealing with change becomes a rule instead of an exception. In

  4. Experiences and Trends of Manufacturing Technology of Advanced Nuclear Fuels

    International Nuclear Information System (INIS)

    2012-08-01

    The 'Atoms for Peace' mission initiated in the mid-1950s paved the way for the development and deployment of nuclear fission reactors as a source of heat energy for electricity generation in nuclear power reactors and as a source of neutrons in non-power reactors for research, materials irradiation, and testing and production of radioisotopes. The fuels for nuclear reactors are manufactured from natural uranium (∼99.3% 238 U + ∼0.7% 235 U) and natural thorium (∼100% 232 Th) resources. Currently, most power and research reactors use 235 U, the only fissile isotope found in nature, as fuel. The fertile isotopes 238 U and 232 Th are transmuted in the reactor to human-made 239 Pu and 233 U fissile isotopes, respectively. Likewise, minor actinides (MA) (Np, Am and Cm) and other plutonium isotopes are also formed by a series of neutron capture reactions with 238 U and 235 U. Long term sustainability of nuclear power will depend to a great extent on the efficient, safe and secure utilization of fissile and fertile materials. Light water reactors (LWRs) account for more than 82% of the operating reactors, followed by pressurized heavy water reactors (PHWRs), which constitute ∼10% of reactors. LWRs will continue to dominate the nuclear power market for several decades, as long as economically viable natural uranium resources are available. Currently, the plutonium obtained from spent nuclear fuel is subjected to mono recycling in LWRs as uranium-plutonium mixed oxide (MOX), containing up to 12% PuO 2 , in a very limited way. The reprocessed uranium (RepU) is also re-enriched and recycled in LWRs in a few countries. Unfortunately, the utilization of natural uranium resources in thermal neutron reactors is 2 and MOX fuel technology has matured during the past five decades. These fuels are now being manufactured, used and reprocessed on an industrial scale. Mixed uranium- plutonium monocarbide (MC), mononitride (MN) and U-Pu-Zr alloys are recognized as advanced fuels

  5. Semiconductor sensor device, diagnostic instrument comprising such a device and method of manufacturing such a device

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor sensor device (10) for sensing a substance comprising at least one mesa- shaped semiconductor region (11) which is formed on a surface of a semiconductor body (12) and which is connected at a first end to a first electrically conducting connection region (13)

  6. Manufacturing Initiative

    Data.gov (United States)

    National Aeronautics and Space Administration — The Advanced Manufacturing Technologies (AMT) Project supports multiple activities within the Administration's National Manufacturing Initiative. A key component of...

  7. Soft X-ray spectromicroscopy and application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.; Warwick, A.; Padmore, H.A.; Triplett, B.B.

    1997-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved by conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at thr Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An Advanced Light Source μ-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers. (author)

  8. Importance of Advanced Planning of Manufacturing for Nuclear Industry

    Directory of Open Access Journals (Sweden)

    Shykinov Nick

    2016-06-01

    Full Text Available In the context of energy demands by growing economies, climate changes, fossil fuel pricing volatility, and improved safety and performance of nuclear power plants, many countries express interest in expanding or acquiring nuclear power capacity. In the light of the increased interest in expanding nuclear power the supply chain for nuclear power projects has received more attention in recent years. The importance of the advanced planning of procurement and manufacturing of components of nuclear facilities is critical for these projects. Many of these components are often referred to as long-lead items. They may be equipment, products and systems that are identified to have a delivery time long enough to affect directly the overall timing of a project. In order to avoid negatively affecting the project schedule, these items may need to be sourced out or manufactured years before the beginning of the project. For nuclear facilities, long-lead items include physical components such as large pressure vessels, instrumentation and controls. They may also mean programs and management systems important to the safety of the facility. Authorized nuclear operator training, site evaluation programs, and procurement are some of the examples. The nuclear power industry must often meet very demanding construction and commissioning timelines, and proper advanced planning of the long-lead items helps manage risks to project completion time. For nuclear components there are regulatory and licensing considerations that need to be considered. A national nuclear regulator must be involved early to ensure the components will meet the national legal regulatory requirements. This paper will discuss timing considerations to address the regulatory compliance of nuclear long-lead items.

  9. Present Status and Future Growth of Advanced Maintenance Technology and Strategy in US Manufacturing.

    Science.gov (United States)

    Jin, Xiaoning; Weiss, Brian A; Siegel, David; Lee, Jay

    2016-01-01

    The goals of this paper are to 1) examine the current practices of diagnostics, prognostics, and maintenance employed by United States (U.S.) manufacturers to achieve productivity and quality targets and 2) to understand the present level of maintenance technologies and strategies that are being incorporated into these practices. A study is performed to contrast the impact of various industry-specific factors on the effectiveness and profitability of the implementation of prognostics and health management technologies, and maintenance strategies using both surveys and case studies on a sample of U.S. manufacturing firms ranging from small to mid-sized enterprises (SMEs) to large-sized manufacturing enterprises in various industries. The results obtained provide important insights on the different impacts of specific factors on the successful adoption of these technologies between SMEs and large manufacturing enterprises. The varying degrees of success with respect to current maintenance programs highlight the opportunity for larger manufacturers to improve maintenance practices and consider the use of advanced prognostics and health management (PHM) technology. This paper also provides the existing gaps, barriers, future trends, and roadmaps for manufacturing PHM technology and maintenance strategy.

  10. Supplymentary type semiconductor device and manufacturing method. Soho gata handotai sochi oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Uno, Masaaki

    1990-01-08

    As a supplementary type semiconductor device has a complicated structure, it is extremely difficult to construct it in a three dimensional structure. This invention aims to reduce its occupying area by forming p-channel and n-channel transistors in a solid structure; moreover in an easy method of production. In other words, an opening is made in the element-forming region of a semiconductor substrate, forming a gate-insulation film on each of the p-type and n-type semiconductors which are exposed on the two facing surfaces; on it formed a gate electrode; p-type semiconductor surface is used as a channel domain; a drain region of n-channel transistor on one surface and a source region on another surface; the n-type semiconductor surface corresponding to the gate electrode is used as a channel region; a source region of the n-channel transistor is formed on the same surface and the drain region on the substrate surface. Occupied area is thus made less and the production gets easier. 20 figs.

  11. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  12. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  13. Review of the Semiconductor Industry and Technology Roadmap.

    Science.gov (United States)

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  14. Advanced Manufacturing for Thermal and Environmental Control Systems: Achieving National Energy Goals

    Energy Technology Data Exchange (ETDEWEB)

    Bogucz, Edward A. [Syracuse Univ., NY (United States)

    2017-02-20

    This project was part of a regional initiative in the five counties of Central New York (CNY) that received funding from the U.S. Department of Energy (DOE) and four other federal agencies through the 2012 Advanced Manufacturing Jobs and Innovation Accelerator Challenge (AMJIAC). The CNY initiative was focused on cultivating the emergent regional cluster in “Advanced Manufacturing for Thermal and Environmental Control (AM-TEC).” As one component of the CNY AM-TEC initiative, the DOE-funded project supported five research & development seed projects that strategically targeted: 1) needs and opportunities of CNY AM-TEC companies, and 2) the goal of DOE’s Advanced Manufacturing Office (AMO) to reduce energy consumption by 50% across product life-cycles over 10 years. The project also sought to fulfill the AMO mission of developing and demonstrating new, energy-efficient processing and materials technologies at a scale adequate to prove their value to manufacturers and spur investment. The five seed projects demonstrated technologies and processes that can reduce energy intensity and improve production as well as use less energy throughout their lifecycles. The project was conducted over three years in two 18-month budget periods. During the first budget period, two projects proposed in the original AMJAIC application were successfully completed: Seed Project 1 focused on saving energy in heat transfer processes via development of nano structured surfaces to significantly increase heat flux; Seed Project 2 addressed saving energy in data centers via subzero cooling of the computing processors. Also during the first budget period, a process was developed and executed to select a second round of seed projects via a competitive request for proposals from regional companies and university collaborators. Applicants were encouraged to form industry-academic partnerships to leverage experience and resources of public and private sectors in the CNY region. Proposals were

  15. Novel conformal organic antireflective coatings for advanced I-line lithography

    Science.gov (United States)

    Deshpande, Shreeram V.; Nowak, Kelly A.; Fowler, Shelly; Williams, Paul; Arjona, Mikko

    2001-08-01

    Flash memory chips are playing a critical role in semiconductor devices due to increased popularity of hand held electronic communication devices such as cell phones and PDAs (personal Digital Assistants). Flash memory offers two primary advantages in semiconductor devices. First, it offers flexibility of in-circuit programming capability to reduce the loss from programming errors and to significantly reduce commercialization time to market for new devices. Second, flash memory has a double density memory capability through stacked gate structures which increases the memory capability and thus saves significantly on chip real estate. However, due to stacked gate structures the requirements for manufacturing of flash memory devices are significantly different from traditional memory devices. Stacked gate structures also offer unique challenges to lithographic patterning materials such as Bottom Anti-Reflective Coating (BARC) compositions used to achieve CD control and to minimize standing wave effect in photolithography. To be applicable in flash memory manufacturing a BARC should form a conformal coating on high topography of stacked gate features as well as provide the normal anti-reflection properties for CD control. In this paper we report on a new highly conformal advanced i-line BARC for use in design and manufacture of flash memory devices. Conformal BARCs being significantly thinner in trenches than the planarizing BARCs offer the advantage of reducing BARC overetch and thus minimizing resist thickness loss.

  16. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  17. A flexible architecture for advanced process control solutions

    Science.gov (United States)

    Faron, Kamyar; Iourovitski, Ilia

    2005-05-01

    Advanced Process Control (APC) is now mainstream practice in the semiconductor manufacturing industry. Over the past decade and a half APC has evolved from a "good idea", and "wouldn"t it be great" concept to mandatory manufacturing practice. APC developments have primarily dealt with two major thrusts, algorithms and infrastructure, and often the line between them has been blurred. The algorithms have evolved from very simple single variable solutions to sophisticated and cutting edge adaptive multivariable (input and output) solutions. Spending patterns in recent times have demanded that the economics of a comprehensive APC infrastructure be completely justified for any and all cost conscious manufacturers. There are studies suggesting integration costs as high as 60% of the total APC solution costs. Such cost prohibitive figures clearly diminish the return on APC investments. This has limited the acceptance and development of pure APC infrastructure solutions for many fabs. Modern APC solution architectures must satisfy the wide array of requirements from very manual R&D environments to very advanced and automated "lights out" manufacturing facilities. A majority of commercially available control solutions and most in house developed solutions lack important attributes of scalability, flexibility, and adaptability and hence require significant resources for integration, deployment, and maintenance. Many APC improvement efforts have been abandoned and delayed due to legacy systems and inadequate architectural design. Recent advancements (Service Oriented Architectures) in the software industry have delivered ideal technologies for delivering scalable, flexible, and reliable solutions that can seamlessly integrate into any fabs" existing system and business practices. In this publication we shall evaluate the various attributes of the architectures required by fabs and illustrate the benefits of a Service Oriented Architecture to satisfy these requirements. Blue

  18. Enhancing cell and gene therapy manufacture through the application of advanced fluorescent optical sensors (Review).

    Science.gov (United States)

    Harrison, Richard P; Chauhan, Veeren M

    2017-12-15

    Cell and gene therapies (CGTs) are examples of future therapeutics that can be used to cure or alleviate the symptoms of disease, by repairing damaged tissue or reprogramming defective genetic information. However, despite the recent advancements in clinical trial outcomes, the path to wide-scale adoption of CGTs remains challenging, such that the emergence of a "blockbuster" therapy has so far proved elusive. Manufacturing solutions for these therapies require the application of scalable and replicable cell manufacturing techniques, which differ markedly from the existing pharmaceutical incumbent. Attempts to adopt this pharmaceutical model for CGT manufacture have largely proved unsuccessful. The most significant challenges facing CGT manufacturing are process analytical testing and quality control. These procedures would greatly benefit from improved sensory technologies that allow direct measurement of critical quality attributes, such as pH, oxygen, lactate and glucose. In turn, this would make manufacturing more robust, replicable and standardized. In this review, the present-day state and prospects of CGT manufacturing are discussed. In particular, the authors highlight the role of fluorescent optical sensors, focusing on their strengths and weaknesses, for CGT manufacture. The review concludes by discussing how the integration of CGT manufacture and fluorescent optical sensors could augment future bioprocessing approaches.

  19. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  20. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  1. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  2. Nitride semiconductor devices fundamentals and applications

    CERN Document Server

    Morkoç, Hadis

    2013-01-01

    This book gives a clear presentation of the necessary basics of semiconductor and device physics and engineering. It introduces readers to fundamental issues that will enable them to follow the latest technological research. It also covers important applications, including LED and lighting, semiconductor lasers, high power switching devices, and detectors. This balanced and up-to-date treatment makes the text an essential educational tool for both advanced students and professionals in the electronics industry.

  3. Advances in defect characterizations of semiconductors using positrons

    International Nuclear Information System (INIS)

    Lynn, K.G.; Asoka-Kumar, P.

    1996-01-01

    Positron Annihilation Spectroscopy (PAS) is a sensitive probe for studying the electronic structure of defects in solids. The authors summarize recent developments in defect characterization of semiconductors using depth-resolved PAS. The progress achieved in extending the capabilities of the PAS method is also described

  4. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  5. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    Science.gov (United States)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  6. Good Manufacturing Practices (GMP) manufacturing of advanced therapy medicinal products: a novel tailored model for optimizing performance and estimating costs.

    Science.gov (United States)

    Abou-El-Enein, Mohamed; Römhild, Andy; Kaiser, Daniel; Beier, Carola; Bauer, Gerhard; Volk, Hans-Dieter; Reinke, Petra

    2013-03-01

    Advanced therapy medicinal products (ATMP) have gained considerable attention in academia due to their therapeutic potential. Good Manufacturing Practice (GMP) principles ensure the quality and sterility of manufacturing these products. We developed a model for estimating the manufacturing costs of cell therapy products and optimizing the performance of academic GMP-facilities. The "Clean-Room Technology Assessment Technique" (CTAT) was tested prospectively in the GMP facility of BCRT, Berlin, Germany, then retrospectively in the GMP facility of the University of California-Davis, California, USA. CTAT is a two-level model: level one identifies operational (core) processes and measures their fixed costs; level two identifies production (supporting) processes and measures their variable costs. The model comprises several tools to measure and optimize performance of these processes. Manufacturing costs were itemized using adjusted micro-costing system. CTAT identified GMP activities with strong correlation to the manufacturing process of cell-based products. Building best practice standards allowed for performance improvement and elimination of human errors. The model also demonstrated the unidirectional dependencies that may exist among the core GMP activities. When compared to traditional business models, the CTAT assessment resulted in a more accurate allocation of annual expenses. The estimated expenses were used to set a fee structure for both GMP facilities. A mathematical equation was also developed to provide the final product cost. CTAT can be a useful tool in estimating accurate costs for the ATMPs manufactured in an optimized GMP process. These estimates are useful when analyzing the cost-effectiveness of these novel interventions. Copyright © 2013 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  7. Lightweighting Automotive Materials for Increased Fuel Efficiency and Delivering Advanced Modeling and Simulation Capabilities to U.S. Manufacturers

    Energy Technology Data Exchange (ETDEWEB)

    Hale, Steve

    2013-09-11

    Abstract The National Center for Manufacturing Sciences (NCMS) worked with the U.S. Department of Energy (DOE), National Energy Technology Laboratory (NETL), to bring together research and development (R&D) collaborations to develop and accelerate the knowledgebase and infrastructure for lightweighting materials and manufacturing processes for their use in structural and applications in the automotive sector. The purpose/importance of this DOE program: • 2016 CAFÉ standards. • Automotive industry technology that shall adopt the insertion of lightweighting material concepts towards manufacturing of production vehicles. • Development and manufacture of advanced research tools for modeling and simulation (M&S) applications to reduce manufacturing and material costs. • U.S. competitiveness that will help drive the development and manufacture of the next generation of materials. NCMS established a focused portfolio of applied R&D projects utilizing lightweighting materials for manufacture into automotive structures and components. Areas that were targeted in this program: • Functionality of new lightweighting materials to meet present safety requirements. • Manufacturability using new lightweighting materials. • Cost reduction for the development and use of new lightweighting materials. The automotive industry’s future continuously evolves through innovation, and lightweight materials are key in achieving a new era of lighter, more efficient vehicles. Lightweight materials are among the technical advances needed to achieve fuel/energy efficiency and reduce carbon dioxide (CO2) emissions: • Establish design criteria methodology to identify the best materials for lightweighting. • Employ state-of-the-art design tools for optimum material development for their specific applications. • Match new manufacturing technology to production volume. • Address new process variability with new production-ready processes.

  8. Organizational Considerations for Advanced Manufacturing Technology

    Science.gov (United States)

    DeRuntz, Bruce D.; Turner, Roger M.

    2003-01-01

    In the last several decades, the United States has experienced a decline in productivity, while the world has seen a maturation of the global marketplace. Nations have moved manufacturing strategy and process technology issues to the top of management priority lists. The issues surrounding manufacturing technologies and their implementations have…

  9. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    Science.gov (United States)

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  10. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  11. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  12. Developing novel 3D antennas using advanced additive manufacturing technology

    Science.gov (United States)

    Mirzaee, Milad

    In today's world of wireless communication systems, antenna engineering is rapidly advancing as the wireless services continue to expand in support of emerging commercial applications. Antennas play a key role in the performance of advanced transceiver systems where they serve to convert electric power to electromagnetic waves and vice versa. Researchers have held significant interest in developing this crucial component for wireless communication systems by employing a variety of design techniques. In the past few years, demands for electrically small antennas continues to increase, particularly among portable and mobile wireless devices, medical electronics and aerospace systems. This trend toward smaller electronic devices makes the three dimensional (3D) antennas very appealing, since they can be designed in a way to use every available space inside the devise. Additive Manufacturing (AM) method could help to find great solutions for the antennas design for next generation of wireless communication systems. In this thesis, the design and fabrication of 3D printed antennas using AM technology is studied. To demonstrate this application of AM, different types of antennas structures have been designed and fabricated using various manufacturing processes. This thesis studies, for the first time, embedded conductive 3D printed antennas using PolyLactic Acid (PLA) and Acrylonitrile Butadiene Styrene (ABS) for substrate parts and high temperature carbon paste for conductive parts which can be a good candidate to overcome the limitations of direct printing on 3D surfaces that is the most popular method to fabricate conductive parts of the antennas. This thesis also studies, for the first time, the fabrication of antennas with 3D printed conductive parts which can contribute to the new generation of 3D printed antennas.

  13. PowerGuard{reg_sign} Advanced Manufacturing; PVMaT Phase 1 Final Technical Report: June 1, 1998 to September 30, 1999

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, M. C.; Dinwoodie, T. L.; O' Brian, C.; Botkin, J.; Ansley, J.

    2000-06-14

    During Phase 1 of PowerGuard{reg_sign} Advanced Manufacturing, PowerLight Corporation accomplished the following advancements: (1) Decreased system cost by 15%; (2) Increased PowerGuard tile production capacity from 5 MW/year to 8 MW/yr; (3) Established a manufacturing layout master plan for sequential integration of semi-automated and automated component workstations; (4) Defined semi-automation or automation of selected stages of the existing tile fabrication sequence, including PV module preparation, XPS processing, and coating; (5) Completed the advancement of several design improvements to the grid-tied inverter control board, including controller redesign, integrated data acquisition system (DAS), and communications for audit-worthy verification of PV system performance; (6) Conformed to NEPA, OSHA, and other federal and state regulations applicable to the proposed production process and mitigated potential for waste streams; (7) Initiated Underwriters Laboratories listings and international certifications on PowerGuard improvements; (8) Developed finance packages and integrated warranties; (9) Evaluated commercial demonstrations that incorporated the new design features and manufacturing process.

  14. Innovations in Advanced Materials and Metals Manufacturing Project (IAM2)

    Energy Technology Data Exchange (ETDEWEB)

    Scott, Elizabeth [Columbia River Economic Development Council, Vancouver, WA (United States)

    2017-01-06

    This project, under the Jobs and Innovation Accelerator Challenge, Innovations in Advanced Materials and Metals Manufacturing Project, contracted with Cascade Energy to provide a shared energy project manager engineer to work with five different companies throughout the Portland metro grant region to implement ten energy efficiency projects and develop a case study to analyze the project model. As a part of the project, the energy project manager also looked into specific new technologies and methodologies that could change the way energy is consumed by manufacturers—from game-changing equipment and technology to monitor energy use to methodologies that change the way companies interact and use their machines to reduce energy consumption.

  15. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  16. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  17. Luminescence in colloidal Mn2+-doped semiconductor nanocrystals

    International Nuclear Information System (INIS)

    Beaulac, Remi; Archer, Paul I.; Gamelin, Daniel R.

    2008-01-01

    Recent advances in nanocrystal doping chemistries have substantially broadened the variety of photophysical properties that can be observed in colloidal Mn 2+ -doped semiconductor nanocrystals. A brief overview is provided, focusing on Mn 2+ -doped II-VI semiconductor nanocrystals prepared by direct chemical synthesis and capped with coordinating surface ligands. These Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation. A brief outlook on future research directions is provided. - Graphical abstract: Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation

  18. [Chinese medicine industry 4.0:advancing digital pharmaceutical manufacture toward intelligent pharmaceutical manufacture].

    Science.gov (United States)

    Cheng, Yi-Yu; Qu, Hai-Bin; Zhang, Bo-Li

    2016-01-01

    A perspective analysis on the technological innovation in pharmaceutical engineering of Chinese medicine unveils a vision on "Future Factory" of Chinese medicine industry in mind. The strategy as well as the technical roadmap of "Chinese medicine industry 4.0" is proposed, with the projection of related core technology system. It is clarified that the technical development path of Chinese medicine industry from digital manufacture to intelligent manufacture. On the basis of precisely defining technical terms such as process control, on-line detection and process quality monitoring for Chinese medicine manufacture, the technical concepts and characteristics of intelligent pharmaceutical manufacture as well as digital pharmaceutical manufacture are elaborated. Promoting wide applications of digital manufacturing technology of Chinese medicine is strongly recommended. Through completely informationized manufacturing processes and multi-discipline cluster innovation, intelligent manufacturing technology of Chinese medicine should be developed, which would provide a new driving force for Chinese medicine industry in technology upgrade, product quality enhancement and efficiency improvement. Copyright© by the Chinese Pharmaceutical Association.

  19. NATO Advanced Study Institute on Nondestructive Evaluation of Semiconductor Materials and Devices

    CERN Document Server

    1979-01-01

    From September 19-29, a NATO Advanced Study Institute on Non­ destructive Evaluation of Semiconductor Materials and Devices was held at the Villa Tuscolano in Frascati, Italy. A total of 80 attendees and lecturers participated in the program which covered many of the important topics in this field. The subject matter was divided to emphasize the following different types of problems: electrical measurements; acoustic measurements; scanning techniques; optical methods; backscatter methods; x-ray observations; accele­ rated life tests. It would be difficult to give a full discussion of such an Institute without going through the major points of each speaker. Clearly this is the proper task of the eventual readers of these Proceedings. Instead, it would be preferable to stress some general issues. What came through very clearly is that the measurements of the basic scientists in materials and device phenomena are of sub­ stantial immediate concern to the device technologies and end users.

  20. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    Science.gov (United States)

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  1. The Flexible Foundry: Advanced Reconfigurable Manufacturing for Semiconductors

    Data.gov (United States)

    Federal Laboratory Consortium —  Sometimes it is not a device that is obsolete, but an idea. In logistics terms, the problem is not that the device becomes obsolete; the problem is that the device...

  2. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  3. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  4. Advanced Manufacturing Technologies and Strategically Flexible Production. A Review and Outlook

    DEFF Research Database (Denmark)

    Boer, Harry

    2016-01-01

    ) led to only partial results, and were often abandoned or scaled down. At the same time, a number of soft organizational and managerial approaches and improvement programs, mostly derived from Japan, began to spread in response to the dramatic changes in the competitive environment that seemed...... to require new rationales to organize and manage production systems. However, the compatibility and coherence between changing organizational paradigms and CIM approaches were not extensively explored nor understood. This paper aims to investigate the interactions between the implementation and integration...... of Advanced Manufacturing Technologies (AMT) and the adoption of new managerial and organizational principles....

  5. Optics education for machine operators in the semiconductor industry: moving beyond button pushing

    Science.gov (United States)

    Karakekes, Meg; Currier, Deborah

    1995-10-01

    In the competitive semiconductor manufacturing industry, employees who operate equipment are able to make greater contributions if they understand how the equipment works. By understanding the 'why' behind the 'what', the equipment operators can better partner with other technical staff to produce quality integrated circuits efficiently and effectively. This additional knowledge also opens equipment operators to job enrichment and enlargement opportunities. Advanced Micro Devices (AMD) is in the process of upgrading the skills of its equipment operators. This paper is an overview of a pilot program that employs optics education to upgrade stepper operators' skills. The paper starts with stepper tasks that require optics knowledge, examines teaching methods, reports both end-of-course and three months post-training knowledge retention, and summarizes how the training has impacted the production floor.

  6. The Physics of Semiconductors An Introduction Including Devices and Nanophysics

    CERN Document Server

    Grundmann, Marius

    2006-01-01

    The Physics of Semiconductors provides material for a comprehensive upper-level-undergrauate and graduate course on the subject, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. For the interested reader some additional advanced topics are included, such as Bragg mirrors, resonators, polarized and magnetic semiconductors are included. Also supplied are explicit formulas for many results, to support better understanding. The Physics of Semiconductors requires little or no prior knowledge of solid-state physics and evolved from ...

  7. Benefits of Hot Isostatic Pressure/Powdered Metal (HIP/PM) and Additive Manufacturing (AM) To Fabricate Advanced Energy System Components

    Energy Technology Data Exchange (ETDEWEB)

    Horton, Nancy [Energy Industries of Ohio, Cleveland, OH (United States); Sheppard, Roy [Energy Industries of Ohio, Cleveland, OH (United States)

    2016-12-31

    Advanced Energy systems require large, complex components produced from materials capable of withstanding severe operating environments (high temperature, pressure, corrosivity). Such parts can be difficult to source, as conventional material processing technologies must be tailored to ensure a safe and cost effective approach to large-scale manufacture of quality structural advanced alloy components that meet the performance specifications of AE systems. (HIP/PM) has shown advantages over other manufacturing methods when working with these materials. For example, using HIP’ing in lieu of casting means significant savings in raw material costs, which for expensive, high-nickel alloys can be considerable for large-scale production. Use of HIP/PM also eliminates the difficulties resulting from reactivity of these materials in the molten state and facilitates manufacture of the large size requirements of the AE industry, producing a part that is defect and porosity free, thus further reducing or eliminating time and expense of post processing machining and weld repair. New advances in Additive Manufacturing (AM) techniques make it possible to further expand the benefits of HIP/PM in producing AE system components to create an even more robust manufacturing approach. Traditional techniques of welding and forming sheet metal to produce the HIP canisters can be time consuming and costly, with limitations on the complexity of part which can be achieved. A key benefit of AM is the freedom of design that it offers, so use of AM could overcome such challenges, ultimately enabling redesign of complete energy systems. A critical step toward this goal is material characterization of the required advanced alloys, for use in AM. Using Haynes 282, a high nickel alloy of interest to the Fossil Energy community, particularly for Advanced-UltraSuperCritical (AUSC) operating environments, as well as the crosscutting interests of the aerospace, defense and medical markets, this

  8. New organic semiconductors with imide/amide-containing molecular systems.

    Science.gov (United States)

    Liu, Zitong; Zhang, Guanxin; Cai, Zhengxu; Chen, Xin; Luo, Hewei; Li, Yonghai; Wang, Jianguo; Zhang, Deqing

    2014-10-29

    Due to their high electron affinities, chemical and thermal stabilities, π-conjugated molecules with imide/amide frameworks have received considerable attentions as promising candidates for high-performance optoelectronic materials, particularly for organic semiconductors with high carrier mobilities. The purpose of this Research News is to give an overview of recent advances in development of high performance imide/amide based organic semiconductors for field-effect transistors. It covers naphthalene diimide-, perylene diimide- and amide-based conjugated molecules and polymers for organic semiconductors. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. JIT Manufacturing: A Survey of Implementations in Small and Large U.S. Manufacturers

    OpenAIRE

    Richard E. White; John N. Pearson; Jeffrey R. Wilson

    1999-01-01

    Since the early 1980s, the diffusion of Just-In-Time (JIT) manufacturing from Japanese manufacturers to U.S. manufacturers has progressed at an accelerated rate. At this stage of the diffusion process, JIT implementations are more common and more advanced in large U.S. manufacturers than in small; consequently, U.S. businessmen's understanding of issues associated with JIT implementations in large manufacturers is more developed than that of small manufacturers. When small manufacturers repre...

  10. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  11. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  12. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  13. Basic semiconductor physics

    CERN Document Server

    Hamaguchi, Chihiro

    2017-01-01

    This book presents a detailed description of basic semiconductor physics. The text covers a wide range of important phenomena in semiconductors, from the simple to the advanced. Four different methods of energy band calculations in the full band region are explained: local empirical pseudopotential, non-local pseudopotential, KP perturbation and tight-binding methods. The effective mass approximation and electron motion in a periodic potential, Boltzmann transport equation and deformation potentials used for analysis of transport properties are discussed. Further, the book examines experiments and theoretical analyses of cyclotron resonance in detail. Optical and transport properties, magneto-transport, two-dimensional electron gas transport (HEMT and MOSFET) and quantum transport are reviewed, while optical transition, electron-phonon interaction and electron mobility are also addressed. Energy and electronic structure of a quantum dot (artificial atom) are explained with the help of Slater determinants. The...

  14. NATO Advanced Study Institute on Physics of Submicron Semiconductor Devices

    CERN Document Server

    Ferry, David; Jacoboni, C

    1988-01-01

    The papers contained in the volume represent lectures delivered as a 1983 NATO ASI, held at Urbino, Italy. The lecture series was designed to identify the key submicron and ultrasubmicron device physics, transport, materials and contact issues. Nonequilibrium transport, quantum transport, interfacial and size constraints issues were also highlighted. The ASI was supported by NATO and the European Research Office. H. L. Grubin D. K. Ferry C. Jacoboni v CONTENTS MODELLING OF SUB-MICRON DEVICES.................. .......... 1 E. Constant BOLTZMANN TRANSPORT EQUATION... ... ...... .................... 33 K. Hess TRANSPORT AND MATERIAL CONSIDERATIONS FOR SUBMICRON DEVICES. . .. . . . . .. . . . .. . .. . .... ... .. . . . .. . . . .. . . . . . . . . . . 45 H. L. Grubin EPITAXIAL GROWTH FOR SUB MICRON STRUCTURES.................. 179 C. E. C. Wood INSULATOR/SEMICONDUCTOR INTERFACES.......................... 195 C. W. Wilms en THEORY OF THE ELECTRONIC STRUCTURE OF SEMICONDUCTOR SURFACES AND INTERFACES...................

  15. A note on “A new approach for the selection of advanced manufacturing technologies: Data envelopment analysis with double frontiers”

    Directory of Open Access Journals (Sweden)

    Hossein Azizi

    2015-08-01

    Full Text Available Recently, using the data envelopment analysis (DEA with double frontiers approach, Wang and Chin (2009 proposed a new approach for the selection of advanced manufacturing technologies: DEA with double frontiers and a new measure for the selection of the best advanced manufacturing technologies (AMTs. In this note, we show that their proposed overall performance measure for the selection of the best AMT has an additional computational burden. Moreover, we propose a new measure for developing a complete ranking of AMTs. Numerical examples are examined using the proposed measure to show its simplicity and usefulness in the AMT selection and justification.

  16. Advanced Blade Manufacturing Project - Final Report

    Energy Technology Data Exchange (ETDEWEB)

    POORE, ROBERT Z.

    1999-08-01

    The original scope of the project was to research improvements to the processes and materials used in the manufacture of wood-epoxy blades, conduct tests to qualify any new material or processes for use in blade design and subsequently build and test six blades using the improved processes and materials. In particular, ABM was interested in reducing blade cost and improving quality. In addition, ABM needed to find a replacement material for the mature Douglas fir used in the manufacturing process. The use of mature Douglas fir is commercially unacceptable because of its limited supply and environmental concerns associated with the use of mature timber. Unfortunately, the bankruptcy of FloWind in June 1997 and a dramatic reduction in AWT sales made it impossible for ABM to complete the full scope of work. However, sufficient research and testing were completed to identify several promising changes in the blade manufacturing process and develop a preliminary design incorporating these changes.

  17. Tribology in Manufacturing Technology

    CERN Document Server

    2013-01-01

    The present book aims to provide research advances on tribology in manufacturing technology for modern industry. This book can be used as a research book for final undergraduate engineering course (for example, mechanical, manufacturing, materials, etc) or as a subject on manufacturing at the postgraduate level. Also, this book can serve as a useful reference for academics, manufacturing and tribology researchers, mechanical, mechanical, manufacturing and materials engineers, professionals in related industries with manufacturing and tribology.

  18. Advanced Methods for Direct Ink Write Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Compel, W. S. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Lewicki, J. P. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2018-01-24

    Lawrence Livermore National Laboratory is one of the world’s premier labs for research and development of additive manufacturing processes. Out of these many processes, direct ink write (DIW) is arguably one of the most relevant for the manufacture of architected polymeric materials, components and hardware. However, a bottleneck in this pipeline that has largely been ignored to date is the lack of advanced software implementation with respect to toolpath execution. There remains to be a convenient, automated method to design and produce complex parts that is user-friendly and enabling for the realization of next generation designs and structures. For a material to be suitable as a DIW ink it must possess the appropriate rheological properties for this process. Most importantly, the material must exhibit shear-thinning in order to extrude through a print head and have a rapid recovery of its static shear modulus. This makes it possible for the extrudate to be self-supporting upon exiting the print head. While this and other prerequisites narrow the scope of ‘offthe- shelf’ printable materials directly amenable to DIW, the process still tolerates a wide range of potential feedstock materials. These include metallic alloys, inorganic solvent borne dispersions, polymeric melts, filler stabilized monomer compositions, pre-elastomeric feedstocks and thermoset resins each of which requires custom print conditions tailored to the individual ink. As such, an ink perfectly suited for DIW may be prematurely determined to be undesirable for the process if printed under the wrong conditions. Defining appropriate print conditions such as extrusion rate, layer height, and maximum bridge length is a vital first step in validating an ink’s DIW capability.

  19. Advances in Additive Manufacturing

    Science.gov (United States)

    2016-07-14

    with a collection of information if it does not display a currently valid OMB control number. PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ADDRESS...Hamilton • Beth Bimber Air Force Research Laboratory, Metals Branch • Eddie Schwalbach • Mike Groeber • Benjamin Leever • James Hardin...conducting more in-field, or point-of-need, manufacturing than ever before. Other areas of concentration include man- machine interface, capabilities

  20. Semiconductor relay and its manufacture method. Handotai relay oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, M

    1993-06-01

    The invention relates to a semiconductor relay in which a light emitting diode and a photovoltaic element are arranged in the opposite positions and connected with a light connection and aims to present a light transmission path to transmit input signals to the light emitting diode to the side of the photovoltaic element with a negligible light loss effectively. The invention presents a semiconductor relay, in which a light emitting diode loaded on the first lead frame and the light receiving part of the photovoltaic element to drive a MOSFET element loaded on the second lead frame and acting as a switch element are connected through an insulator tube with an opaque outer wall, and the interior of the insulator tube is filled with a transparent insulating filler, so that the invention affords a light transmission path without light leakage from the interior of the opaque insulator tube and with the stability in the form and no light loss. 3 figs.

  1. Applications of Nuclear Reaction Analysis for Semiconductor Industry

    International Nuclear Information System (INIS)

    Wei Luncun

    2003-01-01

    Many thin film samples used in the semiconductor industry contain C, N and O. The detection limits and accuracy obtained by Rutherford Backscattering Spectroscopy (RBS) measurement are limited due to the small cross section values. High energy non-Rutherford backscattering is often used to enhance the sensitivities. But non-Rutherford cross section values are irregular and can not be calculated as normal Rutherford backscattering values. It is also difficult to find an appropriate energy window that for all these elements, and high-energy ions are needed. In this paper, the Nuclear Reaction Analysis (NRA) method is used to simultaneously measure C, N and O. several applications in the semiconductor research, development, and manufacturing areas are presented

  2. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  3. Advances in solid dosage form manufacturing technology.

    Science.gov (United States)

    Andrews, Gavin P

    2007-12-15

    Currently, the pharmaceutical and healthcare industries are moving through a period of unparalleled change. Major multinational pharmaceutical companies are restructuring, consolidating, merging and more importantly critically assessing their competitiveness to ensure constant growth in an ever-more demanding market where the cost of developing novel products is continuously increasing. The pharmaceutical manufacturing processes currently in existence for the production of solid oral dosage forms are associated with significant disadvantages and in many instances provide many processing problems. Therefore, it is well accepted that there is an increasing need for alternative processes to dramatically improve powder processing, and more importantly to ensure that acceptable, reproducible solid dosage forms can be manufactured. Consequently, pharmaceutical companies are beginning to invest in innovative processes capable of producing solid dosage forms that better meet the needs of the patient while providing efficient manufacturing operations. This article discusses two emerging solid dosage form manufacturing technologies, namely hot-melt extrusion and fluidized hot-melt granulation.

  4. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  5. Evaluation of efficiency of a semiconductor gamma camera

    CERN Document Server

    Otake, H; Takeuchi, Y

    2002-01-01

    We evaluation basic characteristics of a compact type semiconductor gamma camera (eZ-SCOPE AN) of Cadmium Zinc Telluride (CdZnTe). This new compact gamma camera has 256 semiconductors representing the same number of pixels. Each semiconductor is 2 mm square and is located in 16 lines and rows on the surface of the detector. The specific performance characteristics were evaluated in the study referring to National Electrical Manufactures Association (NEMA) standards; intrinsic energy resolution, intrinsic count rate performance, integral uniformity, system planar sensitivity, system spatial resolution, and noise to the neighboring pixels. The intrinsic energy resolution measured 5.7% as full width half maximum (FWHM). The intrinsic count rate performance ranging from 17 kcps to 1,285 kcps was evaluated, but the highest intrinsic count rate was not observed. Twenty percents count loss was recognized at 1,021 kcps. The integral uniformity was 1.3% with high sensitivity collimator. The system planar sensitivity w...

  6. Design and exploration of semiconductors from first principles: A review of recent advances

    Science.gov (United States)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  7. Reduced toxicity polyester resins and microvascular pre-preg tapes for advanced composites manufacturing

    Science.gov (United States)

    Poillucci, Richard

    Advanced composites manufacturing broadly encapsulates topics ranging from matrix chemistries to automated machines that lay-up fiber-reinforced materials. Environmental regulations are stimulating research to reduce matrix resin formulation toxicity. At present, composites fabricated with polyester resins expose workers to the risk of contact with and inhalation of styrene monomer, which is a potential carcinogen, neurotoxin, and respiratory irritant. The first primary goal of this thesis is to reduce the toxicity associated with polyester resins by: (1) identification of potential monomers to replace styrene, (2) determination of monomer solubility within the polyester, and (3) investigation of approaches to rapidly screen a large resin composition parameter space. Monomers are identified based on their ability to react with polyester and their toxicity as determined by the Globally Harmonized System (GHS) and a green screen method. Solubilities were determined by the Hoftyzer -- Van Krevelen method, Hansen solubility parameter database, and experimental mixing of monomers. A combinatorial microfluidic mixing device is designed and tested to obtain distinct resin compositions from two input chemistries. The push for safer materials is complemented by a thrust for multifunctional composites. The second primary goal of this thesis is to design and implement the manufacture of sacrificial fiber materials suitable for use in automated fiber placement of microvascaular multifunctional composites. Two key advancements are required to achieve this goal: (1) development of a roll-to-roll method to place sacrificial fibers onto carbon fiber pre-preg tape; and (2) demonstration of feasible manufacture of microvascular carbon fiber plates with automated fiber placement. An automated method for placing sacrificial fibers onto carbon fiber tapes is designed and a prototype implemented. Carbon fiber tows with manual placement of sacrificial fibers is implemented within an

  8. Ballistic superconductivity in semiconductor nanowires

    Science.gov (United States)

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  9. Advanced Shape Memory Technology to Reshape Product Design, Manufacturing and Recycling

    Directory of Open Access Journals (Sweden)

    Wen Guang Yang

    2014-08-01

    Full Text Available This paper provides a brief review on the advanced shape memory technology (ASMT with a focus on polymeric materials. In addition to introducing the concept and fundamentals of the ASMT, the potential applications of the ASMT either alone or integrated with an existing mature technique (such as, 3D printing, quick response (QR code, lenticular lens and phenomena (e.g., wrinkling and stress-enhanced swelling effect in product design, manufacturing, and recycling are demonstrated. It is concluded that the ASMT is indeed able to provide a range of powerful approaches to reshape part of the life cycle or the whole life cycle of products.

  10. Manufacturing technologies

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-09-01

    The Manufacturing Technologies Center is an integral part of Sandia National Laboratories, a multiprogram engineering and science laboratory, operated for the Department of Energy (DOE) with major facilities at Albuquerque, New Mexico, and Livermore, California. Our Center is at the core of Sandia`s Advanced Manufacturing effort which spans the entire product realization process.

  11. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  12. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  14. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  15. Hot stamping advanced manufacturing technology of lightweight car body

    CERN Document Server

    Hu, Ping; He, Bin

    2017-01-01

    This book summarizes the advanced manufacturing technology of original innovations in hot stamping of lightweight car body. A detailed description of the technical system and basic knowledge of sheet metal forming is given, which helps readers quickly understand the relevant knowledge in the field. Emphasis has been placed on the independently developed hot stamping process and equipment, which help describe the theoretical and experimental research on key problems involving stress field, thermal field and phase transformation field in hot stamping process. Also, a description of the formability at elevated temperature and the numerical simulation algorithms for high strength steel hot stamping is given in combination with the experiments. Finally, the book presents some application cases of hot stamping technology such as the lightweight car body design using hot stamping components and gradient hardness components, and the cooling design of the stamping tool. This book is intended for researchers, engineers...

  16. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    Science.gov (United States)

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  17. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications.

    Science.gov (United States)

    Wen, Lin; Qiu, Liping; Wu, Yongxiang; Hu, Xiaoxiao; Zhang, Xiaobing

    2017-07-28

    Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  18. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications

    Directory of Open Access Journals (Sweden)

    Lin Wen

    2017-07-01

    Full Text Available Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  19. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  20. Integrated and Intelligent Manufacturing: Perspectives and Enablers

    Directory of Open Access Journals (Sweden)

    Yubao Chen

    2017-10-01

    Full Text Available With ever-increasing market competition and advances in technology, more and more countries are prioritizing advanced manufacturing technology as their top priority for economic growth. Germany announced the Industry 4.0 strategy in 2013. The US government launched the Advanced Manufacturing Partnership (AMP in 2011 and the National Network for Manufacturing Innovation (NNMI in 2014. Most recently, the Manufacturing USA initiative was officially rolled out to further “leverage existing resources... to nurture manufacturing innovation and accelerate commercialization” by fostering close collaboration between industry, academia, and government partners. In 2015, the Chinese government officially published a 10-year plan and roadmap toward manufacturing: Made in China 2025. In all these national initiatives, the core technology development and implementation is in the area of advanced manufacturing systems. A new manufacturing paradigm is emerging, which can be characterized by two unique features: integrated manufacturing and intelligent manufacturing. This trend is in line with the progress of industrial revolutions, in which higher efficiency in production systems is being continuously pursued. To this end, 10 major technologies can be identified for the new manufacturing paradigm. This paper describes the rationales and needs for integrated and intelligent manufacturing (i2M systems. Related technologies from different fields are also described. In particular, key technological enablers, such as the Internet of Things and Services (IoTS, cyber-physical systems (CPSs, and cloud computing are discussed. Challenges are addressed with applications that are based on commercially available platforms such as General Electric (GE’s Predix and PTC’s ThingWorx.

  1. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  2. The physics of semiconductors an introduction including nanophysics and applications

    CERN Document Server

    Grundmann, Marius

    2016-01-01

    The 3rd edition of this successful textbook contains ample material for a comprehensive upper-level undergraduate or beginning graduate course, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. Coverage also includes additional advanced topics, such as Bragg mirrors, resonators, polarized and magnetic semiconductors, nanowires, quantum dots, multi-junction solar cells, thin film transistors, carbon-based nanostructures and transparent conductive oxides. The text derives explicit formulas for many results to support better under...

  3. Advanced Manufacturing - National Information Infrastructure (AM-NII) Final Report CRADA No. TO-4013-01

    Energy Technology Data Exchange (ETDEWEB)

    Vickers, Don [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2001-03-23

    Advanced Manufacturing - National Information Infrastructure (AM-NII) was a multiyear DOE/DP program, involving multiple DOE laboratories and production facilities, focused on improving the manufacturing capabilities of the Nuclear Weapons Complex (NWC) through the application of modem information technologies. AM-NII's published mission states: "In partnership with the manufacturing business sector, AMNII will leverage DOE capabilities to develop, demonstrate, and pilot industrial information infrastructure and applications that enhance national security." LLNL's AM-NII project targeted two opportunities for improving NWC manufacturing capabilities. First was the link between the NWC and its outside suppliers of manufactured parts - web-based supply-chain integration. Second was the cross-site enterprise integration (EI) within the Complex itself. The general approach to supply-chain integration was to leverage the National Information Infrastructure (including Internet) to demonstrate the procurement of fabricated electrical and mechanical parts using a completely paperless procurement process. The general approach to NWC enterprise integration was to utilize SecureNet, a network that provides a secure, high-speed data link among the various NWC sites. If one looks at SecureNet as "the track," our goal was to get the trains running. Cross-site enterprise integration presupposes there is some level of local integration, so we worked both local and cross-site is sues simultaneously. Our EI work was in support of the LLNL Stockpile Life Extension Programs (SLEPs), the Submarine Launch Ballistic Missile Warhead Protection Program (SWPP), and the Laser Cutter Workstation installed at Y-12.

  4. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying; Tee, Benjamin C-K.; Giri, Gaurav; Xu, Jie; Kim, Do Hwan; Becerril, Hector A.; Stoltenberg, Randall M.; Lee, Tae Hoon; Xue, Gi; Mannsfeld, Stefan C. B.; Bao, Zhenan

    2013-01-01

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control

  5. Raman spectroscopy as an advanced structural nanoprobe for conjugated molecular semiconductors

    International Nuclear Information System (INIS)

    Wood, Sebastian; Hollis, Joseph Razzell; Kim, Ji-Seon

    2017-01-01

    Raman spectroscopy has emerged as a powerful and important characterisation tool for probing molecular semiconducting materials. The useful optoelectronic properties of these materials arise from the delocalised π -electron density in the conjugated core of the molecule, which also results in large Raman scattering cross-sections and a strong coupling between its electronic states and vibrational modes. For this reason, Raman spectroscopy offers a unique insight into the properties of molecular semiconductors, including: chemical structure, molecular conformation, molecular orientation, and fundamental photo- and electro-chemical processes—all of which are critically important to the performance of a wide range of optical and electronic organic semiconductor devices. Experimentally, Raman spectroscopy is non-intrusive, non-destructive, and requires no special sample preparation, and so is suitable for a wide range of in situ measurements, which are particularly relevant to issues of thermal and photochemical stability. Here we review the development of the family of Raman spectroscopic techniques, which have been applied to the study of conjugated molecular semiconductors. We consider the suitability of each technique for particular circumstances, and the unique insights it can offer, with a particular focus on the significance of these measurements for the continuing development of stable, high performance organic electronic devices. (topical review)

  6. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  7. Advances in Opto Electronics

    Indian Academy of Sciences (India)

    First page Back Continue Last page Overview Graphics. Advances in Opto Electronics. Optoelectronics is where electronics was 15 years back. All Optical Amplifiers and Semiconductor Amplifiers. Fastest Semiconductor (InP) switch is at 170GHz- where is terrabit ? MEMS based switches that route traffic at wavelength level ...

  8. Tribal Colleges and Universities/American Indian Research and Education Initiatives Advanced Manufacturing Technical Assistance Project

    Energy Technology Data Exchange (ETDEWEB)

    Atcitty, Stanley [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-02-01

    The overall goal of this project is to establish a network of TCUs with essential advanced manufacturing (AM) facilities, associated training and education programs, and private sector and federal agency partnerships to both prepare an American Indian AM workforce and create economic and employment opportunities within Tribal communities through design, manufacturing, and marketing of high quality products. Some examples of high quality products involve next generation grid components such as mechanical energy storage, cabling for distribution of energy, and electrochemical energy storage enclosures. Sandia National Laboratories (Sandia) is tasked to provide technical advising, planning, and academic program development support for the TCU/American Indian Higher Education Consortium (AIHEC) Advanced Manufacturing Project. The TCUs include Bay Mills Community College (BMCC), Cankdeska Cikana Community College (CCCC), Navajo Technical University (NTU), Southwestern Indian Polytechnic Institute (SIPI), and Salish Kooteani College. AIHEC and Sandia, with collaboration from SIPI, will be establishing an 8-week summer institute on the SIPI campus during the summer of 2017. Up to 20 students from TCUs are anticipated to take part in the summer program. The goal of the program is to bring AM science, technology, engineering, and mathematics (STEM) awareness and opportunities for the American Indian students. Prior to the summer institute, Sandia will be providing reviews on curriculum plans at the each of the TCUs to ensure the content is consistent with current AM design and engineering practice. In addition, Sandia will provide technical assistance to each of the TCUs in regards to their current AM activities.

  9. Advanced energy conversion & mechatronics systems

    NARCIS (Netherlands)

    Lomonova, E.A.

    2015-01-01

    Ultra-high precision systems are encountered in high-tech industrial applications including semiconductor lithography equipment, pick-and-place machines for the manufacturing of electronic components, microsurgery equipment, MRI equipment and calibration devices in electron microscopes. The

  10. Measuring Manufacturing Innovativeness

    DEFF Research Database (Denmark)

    Blichfeldt, Henrik; Knudsen, Mette Præst

    2017-01-01

    Globalization and customization increases the pressure on manufacturing companies, and the ability to provide innovativeness is a potential source of competitive advantage. This paper positions the manufacturing entity in the innovation process, and investigates the relation between innovation vers...... technology and organizational concepts. Based on Danish survey data from the European Manufacturing Survey (EMS-2015) this paper finds that there is a relation between innovative companies, and their level of technology and use of organizational concepts. Technology and organizational concepts act...... as manufacturing levers to support the manufacturing and production system to provide innovativeness. The managerial implication lies in building manufacturing capabilities to support the innovative process, by standardization, optimization and creating stability in combination with automation and advanced...

  11. FY1995 ultra-high performance semiconductor lasers for advanced optical information network; 1995 nendo kodo hikari joho tsushinmo e muketa kyokugen seino handotai laser

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this research was to study and develop ultra-high performance semiconductor light source devices that should facilitate construction of advanced optical information networks. The semiconductor devices mentioned above are enhanced and integrated versions of distributed feedback (DFB) lasers based on 'gain coupling', which the group of the research coordinator has been investigating as a pioneer in the world. This research aimed at development of ultra-high performance semiconductor lasers that surpass the first generation conventional DFB lasers in any respect, by strengthening important device characteristics for system applications of the gain-coupled DFB lasers. The achievements of this research are listed below : 1. In-situ characterization of As-P exchange in MOVPE 2. Development of 1.55 {mu}m gain-coupled DFB lasers of absorptive grating type 3. Establishment of measurement technique for gain-coupling coefficients 4. Enlargement of small signal modulation response by the absorptive grating 5. Prediction of lower analog modulation distortion 6. Characterization of reflection-induced noise 7. Proposal and Demonstration of wavelength trimming 8. Proposal and Fabrication of GC DFB laser triode (NEDO)

  12. Toward New-Generation Intelligent Manufacturing

    Directory of Open Access Journals (Sweden)

    Ji Zhou

    2018-02-01

    Full Text Available Intelligent manufacturing is a general concept that is under continuous development. It can be categorized into three basic paradigms: digital manufacturing, digital-networked manufacturing, and new-generation intelligent manufacturing. New-generation intelligent manufacturing represents an in-depth integration of new-generation artificial intelligence (AI technology and advanced manufacturing technology. It runs through every link in the full life-cycle of design, production, product, and service. The concept also relates to the optimization and integration of corresponding systems; the continuous improvement of enterprises’ product quality, performance, and service levels; and reduction in resources consumption. New-generation intelligent manufacturing acts as the core driving force of the new industrial revolution and will continue to be the main pathway for the transformation and upgrading of the manufacturing industry in the decades to come. Human-cyber-physical systems (HCPSs reveal the technological mechanisms of new-generation intelligent manufacturing and can effectively guide related theoretical research and engineering practice. Given the sequential development, cross interaction, and iterative upgrading characteristics of the three basic paradigms of intelligent manufacturing, a technology roadmap for “parallel promotion and integrated development” should be developed in order to drive forward the intelligent transformation of the manufacturing industry in China. Keywords: Advanced manufacturing, New-generation intelligent manufacturing, Human-cyber-physical system, New-generation AI, Basic paradigms, Parallel promotion, Integrated development

  13. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  14. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  15. Advanced radiation detector development: Advanced semiconductor detector development: Development of a oom-temperature, gamma ray detector using gallium arsenide to develop an electrode detector

    International Nuclear Information System (INIS)

    Knoll, G.F.

    1995-11-01

    The advanced detector development project at the University of Michigan has completed the first full year of its current funding. Our general goals are the development of radiation detectors and spectrometers that are capable of portable room temperature operation. Over the past 12 months, we have worked primarily in the development of semiconductor spectrometers with open-quotes single carrierclose quotes response that offer the promise of room temperature operation and good energy resolution in gamma ray spectroscopy. We have also begun a small scale effort at investigating the properties of a small non-spectroscopic detector system with directional characteristics that will allow identification of the approximate direction in which gamma rays are incident. These activities have made use of the extensive clean room facilities at the University of Michigan for semiconductor device fabrication, and also the radiation measurement capabilities provided in our laboratory in the Phoenix Building on the North Campus. In addition to our laboratory based activities, Professor Knoll has also been a participant in several Department of Energy review activities held in the Forrestal Building and at the Germantown site. The most recent of these has been service on a DOE review panel chaired by Dr. Hap Lamonds that is reviewing the detector development programs supported through the Office of Arms Control and International Security

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. Training for my Life: Lived Experiences of Dislocated Workers in an Advanced Manufacturing Training Program

    OpenAIRE

    Marquita R. Walker

    2012-01-01

    This qualitative paper explores the lived experiences of one group of workers dislocated because of globalized trade policies who completed a hybrid Advanced Manufacturing Training Program (AMTP) by taking advantage of Trade Adjustment Assistance (TAA), a federally-funded program for retraining workers dislocated because of trade policies. The research questions focus on how satisfied these workers are with the services and programs provided by TAA. Focus groups and survey instrument results ...

  18. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  19. Advances and highlights of the CNEA qualification program as high density fuel manufacturer for research reactors

    Energy Technology Data Exchange (ETDEWEB)

    Adelfang, P.; Alvarez, L.; Boero, N.; Calabrese, R.; Echenique, P.; Markiewicz, M.; Pasqualini, E.; Ruggirello, G.; Taboada, H. [Unidad de Actividad Combustibles Nucleares Comision Nacional de Energia Atomica (CNE4), Avda. del Libertador, 8250 C1429BNO Buenos Aires (Argentina)

    2002-07-01

    One of the main objectives of CNEA regarding the fuel for research reactors is the development and qualification of the manufacturing of LEU high-density fuels. The qualification programs for both types of fuels, Silicide fuel and U- x Mo fuel, are similar. They include the following activities: development and set up of the fissile compound manufacturing technology, set up of fuel plate manufacturing, fabrication and irradiation of mini plates and plates, design and fabrication of fuel assembly prototypes for irradiation, post-irradiation examination and feedback for manufacturing improvements. This paper describes the different activities performed within each program during the last year and the main advances and achievements of the programs within this period. The main achievements may be summarized in the following activities: Continuation of the irradiation of the first silicide fuel element in the R A3. Completion of the manufacturing of the second silicide fuel element, licensing and beginning of its irradiation in the R A3. Development of the HMD Process to manufacture U-Mo powder (pUMA project). Set up of fuel plates manufacturing at industrial level using U-Mo powder. Preliminary studies and the design for the irradiation of mini plates, plates and full scale fuel elements with U-Mo and 7 g U/cm{sup 3}. PIE destructive studies for the P-04 silicide fuel prototype (accurate burnup determination through chemical analysis, metallography and SEM of samples from the irradiated fuel plates). Improvement and development of new characterization techniques for high density fuel plates quality control including US testing and densitometric analysis of X-ray examinations. The results obtained in this period are encouraging and also allow to foresee a wider participation of CNEA in the international effort to qualify U-Mo as a new material for the manufacturing of research reactor fuels. (author)

  20. Advances and highlights of the CNEA qualification program as high density fuel manufacturer for research reactors

    International Nuclear Information System (INIS)

    Adelfang, P.; Alvarez, L.; Boero, N.; Calabrese, R.; Echenique, P.; Markiewicz, M.; Pasqualini, E.; Ruggirello, G.; Taboada, H.

    2002-01-01

    One of the main objectives of CNEA regarding the fuel for research reactors is the development and qualification of the manufacturing of LEU high-density fuels. The qualification programs for both types of fuels, Silicide fuel and U- x Mo fuel, are similar. They include the following activities: development and set up of the fissile compound manufacturing technology, set up of fuel plate manufacturing, fabrication and irradiation of mini plates and plates, design and fabrication of fuel assembly prototypes for irradiation, post-irradiation examination and feedback for manufacturing improvements. This paper describes the different activities performed within each program during the last year and the main advances and achievements of the programs within this period. The main achievements may be summarized in the following activities: Continuation of the irradiation of the first silicide fuel element in the R A3. Completion of the manufacturing of the second silicide fuel element, licensing and beginning of its irradiation in the R A3. Development of the HMD Process to manufacture U-Mo powder (pUMA project). Set up of fuel plates manufacturing at industrial level using U-Mo powder. Preliminary studies and the design for the irradiation of mini plates, plates and full scale fuel elements with U-Mo and 7 g U/cm 3 . PIE destructive studies for the P-04 silicide fuel prototype (accurate burnup determination through chemical analysis, metallography and SEM of samples from the irradiated fuel plates). Improvement and development of new characterization techniques for high density fuel plates quality control including US testing and densitometric analysis of X-ray examinations. The results obtained in this period are encouraging and also allow to foresee a wider participation of CNEA in the international effort to qualify U-Mo as a new material for the manufacturing of research reactor fuels. (author)

  1. Latest advances in the manufacturing of 3D rechargeable lithium microbatteries

    Science.gov (United States)

    Ferrari, Stefania; Loveridge, Melanie; Beattie, Shane D.; Jahn, Marcus; Dashwood, Richard J.; Bhagat, Rohit

    2015-07-01

    Recent advances in micro- and nano-electromechanical systems (MEMS/NEMS) technology have led to a niche industry of diverse small-scale devices that include microsensors, micromachines and drug-delivery systems. For these devices, there is an urgent need to develop Micro Lithium Ion Batteries (MLIBs) with dimensions on the scale 1-10 mm3 enabling on-board power delivery. Unfortunately, power limitations are inherent in planar 2D cells and only the advent of 3D designs and microarchitectures will lead to a real breakthrough in the microbattery technology. During the last few years, many efforts to optimise MLIBs were discussed in literature, both in the planar and 3D configurations. This review highlights the importance of 3D microarchitectured electrodes to fabricate batteries that can be device-integrated with exceptionally high specific power density coupled with exquisite miniaturisation. A wide literature overview is provided and recent advances in manufacturing routes to 3D-MLIBs comprising materials synthesis, device formulation, device testing are herein discussed. The advent of simple, economic and easily scalable fabrication processes such as 3D printing will have a decisive role in the growing field of micropower sources and microdevices.

  2. Advanced Manufacturing Technologies (AMT): Additive Manufactured Hot Fire Planning and Testing in GRC Cell 32 Project

    Science.gov (United States)

    Fikes, John C.

    2014-01-01

    The objective of this project is to hot fire test an additively manufactured thrust chamber assembly TCA (injector and thrust chamber). GRC will install the additively manufactured Inconel 625 injector, two additively manufactured (SLM) water cooled Cu-Cr thrust chamber barrels and one additively manufactured (SLM) water cooled Cu-Cr thrust chamber nozzle on the test stand in Cell 32 and perform hot fire testing of the integrated TCA.

  3. Sustainable Manufacturing via Multi-Scale, Physics-Based Process Modeling and Manufacturing-Informed Design

    Energy Technology Data Exchange (ETDEWEB)

    None

    2017-04-01

    This factsheet describes a project that developed and demonstrated a new manufacturing-informed design framework that utilizes advanced multi-scale, physics-based process modeling to dramatically improve manufacturing productivity and quality in machining operations while reducing the cost of machined components.

  4. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  5. Evaluation of Advanced Polymers for Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Rios, Orlando [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Carter, William G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kutchko, Cindy [PPG Industries, Pittsburgh, PA (United States); Fenn, David [PPG Industries, Pittsburgh, PA (United States); Olson, Kurt [PPG Industries, Pittsburgh, PA (United States)

    2017-09-08

    The goal of this Manufacturing Demonstration Facility (MDF) technical collaboration project between Oak Ridge National Laboratory (ORNL) and PPG Industries, Inc. (PPG) was to evaluate the feasibility of using conventional coatings chemistry and technology to build up material layer-by-layer. The PPG-ORNL study successfully demonstrated that polymeric coatings formulations may overcome many limitations of common thermoplastics used in additive manufacturing (AM), allow lightweight nozzle design for material deposition, and increase build rate. The materials effort focused on layer-by-layer deposition of coatings with each layer fusing together. The combination of materials and deposition results in an additively manufactured build that has sufficient mechanical properties to bear the load of additional layers, yet is capable of bonding across the z-layers to improve build direction strength. The formulation properties were tuned to enable a novel, high-throughput deposition method that is highly scalable, compatible with high loading of reinforcing fillers, and inherently low-cost.

  6. PREFACE: Trends in Aerospace Manufacturing 2009 International Conference

    Science.gov (United States)

    Ridgway, Keith; Gault, Rosemary; Allen, Adrian

    2011-12-01

    The aerospace industry is rapidly changing. New aircraft structures are being developed and aero-engines are becoming lighter and more environmentally friendly. In both areas, innovative materials and manufacturing methods are used in an attempt to get maximum performance for minimum cost. At the same time, the structure of the industry has changed and there has been a move from large companies designing, manufacturing components and assembling aircraft to one of large global supply chains headed by large system integrators. All these changes have forced engineers and managers to bring in innovations in design, materials, manufacturing technologies and supply chain management. In September 2009, the Advanced Manufacturing Research Centre (AMRC) at the University of Sheffield held the inaugural Trends in Aerospace Manufacturing conference (TRAM09). This brought together 28 speakers over two days, who presented in sessions on advanced manufacturing trends for the aerospace sector. Areas covered included new materials, including composites, advanced machining, state of the art additive manufacturing techniques, assembly and supply chain issues.

  7. MANAGEMENT OPTIMISATION OF MASS CUSTOMISATION MANUFACTURING USING COMPUTATIONAL INTELLIGENCE

    Directory of Open Access Journals (Sweden)

    Louwrens Butler

    2018-05-01

    Full Text Available Computational intelligence paradigms can be used for advanced manufacturing system optimisation. A static simulation model of an advanced manufacturing system was developed in order to simulate a manufacturing system. The purpose of this advanced manufacturing system was to mass-produce a customisable product range at a competitive cost. The aim of this study was to determine whether this new algorithm could produce a better performance than traditional optimisation methods. The algorithm produced a lower cost plan than that for a simulated annealing algorithm, and had a lower impact on the workforce.

  8. Quantifying Adoption Rates and Energy Savings Over Time for Advanced Manufacturing Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Hanes, Rebecca [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Carpenter Petri, Alberta C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Riddle, Matt [Argonne National Laboratory; Graziano, Diane [Argonne National Laboratory

    2017-10-09

    Energy-efficient manufacturing technologies can reduce energy consumption and lower operating costs for an individual manufacturing facility, but increased process complexity and the resulting risk of disruption means that manufacturers may be reluctant to adopt such technologies. In order to quantify potential energy savings at scales larger than a single facility, it is necessary to account for how quickly and how widely the technology will be adopted by manufacturers. This work develops a methodology for estimating energy-efficient manufacturing technology adoption rates using quantitative, objectively measurable technology characteristics, including energetic, economic and technical criteria. Twelve technology characteristics are considered, and each characteristic is assigned an importance weight that reflects its impact on the overall technology adoption rate. Technology characteristic data and importance weights are used to calculate the adoption score, a number between 0 and 1 that represents how quickly the technology is likely to be adopted. The adoption score is then used to estimate parameters for the Bass diffusion curve, which quantifies the change in the number of new technology adopters in a population over time. Finally, energy savings at the sector level are calculated over time by multiplying the number of new technology adopters at each time step with the technology's facility-level energy savings. The proposed methodology will be applied to five state-of-the-art energy-efficient technologies in the carbon fiber composites sector, with technology data obtained from the Department of Energy's 2016 bandwidth study. Because the importance weights used in estimating the Bass curve parameters are subjective, a sensitivity analysis will be performed on the weights to obtain a range of parameters for each technology. The potential energy savings for each technology and the rate at which each technology is adopted in the sector are quantified

  9. 2012 Gordon Research Conference on Defects in Semiconductors - Formal Schedule and Speaker/Poster Program

    Energy Technology Data Exchange (ETDEWEB)

    Glaser, Evan [Naval Research Lab. (NRL), Washington, DC (United States)

    2012-08-17

    The meeting shall strive to develop and further the fundamental understanding of defects and their roles in the structural, electronic, optical, and magnetic properties of bulk, thin film, and nanoscale semiconductors and device structures. Point and extended defects will be addressed in a broad range of electronic materials of particular current interest, including wide bandgap semiconductors, metal-oxides, carbon-based semiconductors (e.g., diamond, graphene, etc.), organic semiconductors, photovoltaic/solar cell materials, and others of similar interest. This interest includes novel defect detection/imaging techniques and advanced defect computational methods.

  10. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  11. Recent advancements in the development of radiation hard semiconductor detectors for S-LHC

    CERN Document Server

    Fretwurst, E; Al-Ajili, A A; Alfieri, G; Allport, P P; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Barcz, A; Bates, R; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Bruzzi, M; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Charron, S; Chilingarov, A G; Chren, D; Cindro, V; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, L; Dalla Betta, G F; Dawson, I; de Boer, Wim; De Palma, M; Demina, R; Dervan, P; Dittongo, S; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Ferbel, T; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; García, C; García-Navarro, J E; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; González-Sevilla, S; Gorelov,I; Goss, J; Gouldwell-Bates, A; Grégoire, G; Gregori, P; Grigoriev, E; Grillo, A A; Groza, A; Guskov, J; Haddad, L; Härkönen, J; Hauler, F; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, R P; Horn, M; Houdayer, A; Hourahine, B; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Johansen, K M H; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V P; Kierstead, J A; Klaiber Lodewigs, J M; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Koski, M; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Lazanu, I; Lazanu, S; Lebedev, A; Lebel, C; Leinonen, K; Leroy, C; Li, Z; Lindström, G; Linhart, V; Litovchenko, P G; Litovchenko, A P; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, Panja; Macchiolo, A; Makarenko, L F; Mandic, I; Manfredotti, C; Manna, N; Martí i García, S; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Messineo, A; Metcalfe, J; Miglio, S; Mikuz, M; Miyamoto, J; Moll, M; Monakhov, E; Moscatelli, F; Naoumov, D; Nossarzhevska, E; Nysten, J; Olivero, P; O'Shea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A; Populea, J; Pospísil, S; Pozza, A; Radicci, V; Rafí, J M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Ruzin, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidela, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Sopko, V; Spencer, N; Stahl, J; Stolze, D; Stone, R; Storasta, J; Strokan, N; Sudzius, M; Surma, B; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Velthuis, J; Verbitskaya, E; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Yiuri, Y; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N

    2005-01-01

    The proposed luminosity upgrade of the Large Hadron Collider (S-LHC) at CERN will demand the innermost layers of the vertex detectors to sustain fluences of about 1016 hadrons/cm2. Due to the high multiplicity of tracks, the required spatial resolution and the extremely harsh radiation field new detector concepts and semiconductor materials have to be explored for a possible solution of this challenge. The CERN RD50 collaboration “Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders” has started in 2002 an R&D program for the development of detector technologies that will fulfill the requirements of the S-LHC. Different strategies are followed by RD50 to improve the radiation tolerance. These include the development of defect engineered silicon like Czochralski, epitaxial and oxygen-enriched silicon and of other semiconductor materials like SiC and GaN as well as extensive studies of the microscopic defects responsible for the degradation of irradiated sensors. Furthe...

  12. Soft X-ray spectromicroscopy and its application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.

    1996-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved with conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at the Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An ALS(mu)-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers

  13. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  14. Physics of semiconductors in high magnetic fields

    CERN Document Server

    Miura, Noboru

    2008-01-01

    This book summarizes most of the fundamental physical phenomena which semiconductors and their modulated structures exhibit in high magnetic fields. Readers can learn not only the basic theoretical background but also the present state of the art from the most advanced data in this rapidly growing research area.

  15. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  16. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  17. Advanced crystal growth techniques for thallium bromide semiconductor radiation detectors

    Science.gov (United States)

    Datta, Amlan; Becla, Piotr; Guguschev, Christo; Motakef, Shariar

    2018-02-01

    Thallium Bromide (TlBr) is a promising room-temperature radiation detector candidate with excellent charge transport properties. Currently, Travelling Molten Zone (TMZ) technique is widely used for growth of semiconductor-grade TlBr crystals. However, there are several challenges associated with this type of crystal growth process including lower yield, high thermal stress, and low crystal uniformity. To overcome these shortcomings of the current technique, several different crystal growth techniques have been implemented in this study. These include: Vertical Bridgman (VB), Physical Vapor Transport (PVT), Edge-defined Film-fed Growth (EFG), and Czochralski Growth (Cz). Techniques based on melt pulling (EFG and Cz) were demonstrated for the first time for semiconductor grade TlBr material. The viability of each process along with the associated challenges for TlBr growth has been discussed. The purity of the TlBr crystals along with its crystalline and electronic properties were analyzed and correlated with the growth techniques. Uncorrected 662 keV energy resolutions around 2% were obtained from 5 mm x 5 mm x 10 mm TlBr devices with virtual Frisch-grid configuration.

  18. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  19. Game Analysis of Determinants of Stability of Semiconductor Modular Production Networks

    Directory of Open Access Journals (Sweden)

    Wei He

    2014-07-01

    Full Text Available In today’s rapidly changing environment, semiconductor manufacturers compete more in the area of modular production networks. However, the instability of semiconductor modular production networks can to a large extent lead to the failure of these networks. The aim of this paper is to discuss the significance and explore the maintenance of the stability of these semiconductor modular production networks. Firstly, this paper qualitatively and quantitatively defines the stability of semiconductor modular production networks. Based on this, by establishing game models, this paper analyzes the influence mechanism of the main factors: external market fluctuation, the internal benefit allocation mechanism, and opportunism, which can jeopardize the stability of these networks. We find that: the greater the benefits a member enterprise derives from the common benefits, the more likely it is the member enterprise will not exit the modular production network; the adaptive ability of the networks to the external environment is closely related to the stability of the modular production networks; the supervision and punishment in networks can be substituted for each other and the level of supervision, punishment and trust can exert great influence on the stability of semiconductor modular production networks. Lastly, we propose some specific suggestions.

  20. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  1. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  2. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  3. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  4. 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors

    CERN Document Server

    Saraniti, M; Nonequilibrium Carrier Dynamics in Semiconductors

    2006-01-01

    International experts gather every two years at this established conference to discuss recent developments in theory and experiment in non-equilibrium transport phenomena. These developments have been the driving force behind the spectacular advances in semiconductor physics and devices over the last few decades. Originally known as "Hot Carriers in Semiconductors," the 14th conference in the series covered a wide spectrum of traditional topics dealing with non-equilibrium phenomena, ranging from quantum transport to optical phenomena in mesoscopic and nano-scale structures. Particular attention was given this time to emerging areas of this rapidly evolving field, with many sessions covering terahertz devices, high field transport in nitride semiconductors, spintronics, molecular electronics, and bioelectronics applications.

  5. Manufacturing ontology through templates

    Directory of Open Access Journals (Sweden)

    Diciuc Vlad

    2017-01-01

    Full Text Available The manufacturing industry contains a high volume of knowhow and of high value, much of it being held by key persons in the company. The passing of this know-how is the basis of manufacturing ontology. Among other methods like advanced filtering and algorithm based decision making, one way of handling the manufacturing ontology is via templates. The current paper tackles this approach and highlights the advantages concluding with some recommendations.

  6. Multi-analytical study of historical semiconductor pigments

    International Nuclear Information System (INIS)

    Caporosso, V.

    2015-01-01

    This work is focused on the study of semiconductor-based pigments, which substituted traditional pigments in the second half of the 19. century. Synthetic semiconductor pigments may be chemically unstable due to the presence of many impurities unintentionally introduced during manufacturing. The aim of this work is to provide an insight on the application of X-ray Fluorescence (XRF) for the analysis of these painting materials, including both Cd- and Zn-based pigments. Three different approaches have been followed: the semi-quantitative analysis of samples with similar elemental composition, the complementary use of XRF and Raman spectroscopy for the analysis of elemental and molecular composition and the synchrotron-based XRF and XANES for the detection of impurities. The synergetic combination of different techniques provides information useful for the definition of specific markers for future analysis of paint-samples with implications for the conservation and treatment of late 19. and early 20. century paintings.

  7. Metrology aspects of SIMS depth profiling for advanced ULSI processes

    International Nuclear Information System (INIS)

    Budrevich, Andre; Hunter, Jerry

    1998-01-01

    As the semiconductor industry roadmap passes through the 0.1 μm technology node, the junction depth of the transistor source/drain extension will be required to be less than 20 nm and the well doping will be near 1.0 μm in depth. The development of advanced ULSI processing techniques requires the evolution of new metrology tools to ensure process capability. High sensitivity (ppb) coupled with excellent depth resolution (1 nm) makes SIMS the technique of choice for measuring the in-depth chemical distribution of these dopants with high precision and accuracy. This paper will discuss the issues, which impact the accuracy and precision of SIMS measurements of ion implants (both shallow and deep). First this paper will discuss common uses of the SIMS technique in the technology development and manufacturing of advanced ULSI processes. In the second part of this paper the ability of SIMS to make high precision measurements of ion implant depth profiles will be studied

  8. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  9. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  10. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  11. Manufacturing and material properties of ultralarge size forgings for advanced BWRPV

    International Nuclear Information System (INIS)

    Suzuki, Komei; Sato, Ikuo; Tsukada, Hisashi

    1994-01-01

    Ultralarge size forgings for the advanced boiling water reactor (ABWR) pressure vessel as represented by the bottom petal made from a 600ton ingot have been developed. The bottom petal is a larger wall thickness ring with 10 integrated nozzles inside and outside the ring. The outer diameter is 7.8m, the height is 1.8m and the wall thickness if 1.1m in the as-forged condition. A very high purity level of P≤qslant0.003% and S≤qslant0.003% can be obtained by the application of double-refining processes to all the molten steel. The forging shows a homogeneous chemical distribution, sound internal qualities and adequate impact properties.This paper summarizes the manufacturing technique and material properties of large size forgings such as the bottom petal, the shell with integrated skirt and the bottom dome. ((orig.))

  12. Optimization of broadband semiconductor chirped mirrors with genetic algorithm

    OpenAIRE

    Dems, M.; Wnuk, P.; Wasylczyk, P.; Zinkiewicz, L.; Wojcik-Jedlinska, A.; Reginski, K.; Hejduk, K.; Jasik, A.

    2016-01-01

    Genetic algorithm was applied for optimization of dispersion properties in semiconductor Bragg reflectors for applications in femtosecond lasers. Broadband, large negative group-delay dispersion was achieved in the optimized design: The group-delay dispersion (GDD) as large as −3500 fs2 was theoretically obtained over a 10-nm bandwidth. The designed structure was manufactured and tested, providing GDD −3320 fs2 over a 7-nm bandwidth. The mirror performance was ...

  13. Developments in fuel manufacturing

    International Nuclear Information System (INIS)

    Williams, T.

    1997-01-01

    BNFL has a long tradition of willingness to embrace technological challenge and a dedication to quality. This paper describes advances in the overall manufacturing philosophy at BNFL's Fuel Business Group and then covers how some new technologies are currently being employed in BNFL Fuel Business Group's flagship oxide complex (OFC), which is currently in its final stages of commissioning. This plant represents a total investment of some Pound 200 million. This paper also describes how these technologies are also being deployed in BNFL's MOX plant now being built at Sellafield and, finally, covers some new processes being developed for advanced fuel manufacture. (author)

  14. Advanced Manufacturing Technologies (AMT): Composites Integrated Modeling

    Data.gov (United States)

    National Aeronautics and Space Administration — The Composites Integrated Modeling (CIM) Element developed low cost, lightweight, and efficient composite structures, materials and manufacturing technologies with...

  15. Feasibility Study of Nanoscale Semiconductor Manufacture Using Thermal Dip Pen Nanolithography

    National Research Council Canada - National Science Library

    King, William P

    2006-01-01

    ...) for the purpose of nanoscale electronics manufacturing. In this project, we have demonstrated that using the thermal DPN technique that both indium metal, and semiconducting organic materials (PDDT, PVDF...

  16. Assimilation Patterns in the Use of Advanced Manufacturing Technologies in SMEs: Exploring their Effects on Product Innovation Performance

    Directory of Open Access Journals (Sweden)

    Sylvestre Uwizeyemungu

    2015-10-01

    Full Text Available Manufacturing small and medium-sized enterprises (SMEs are more and more adopting advanced manufacturing technologies (AMT aimed at fostering product innovation process, improving product quality, streamlining the production process, and gaining productivity. In this study, we analyze the relationship between AMT proficiency levels in manufacturing SMEs and product innovation performance. Using data from 616 manufacturing SMEs, and considering a wide range of various AMT (20 different types of AMT grouped into 5 categories, we derived three AMT assimilation patterns through a cluster analysis procedure combining hierarchical and non-hierarchical clustering algorithms. The analysis of the relationship between AMT assimilation patterns and product innovation performance shows a rather unexpected picture: in spite of the existence of clearly distinct patterns of AMT assimilation, we find no significant relationship between any pattern and product innovation performance. Instead, we find the organizational and environmental context of SMEs to be more determinant for product innovation performance than any of the AMT assimilation patterns. From a practical point of view, this study indicates that manufacturing SMEs managers interested in fostering their innovation capabilities through AMT assimilation need to be aware of the contingency effects of their organizational size, age, and sector of activity.

  17. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  19. Manufacture and installation of reactor auxiliary facilities for advanced thermal prototype reactor 'Fugen'

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Matsushita, Tadashi

    1977-01-01

    The facilities of reactor auxiliary systems for the advanced thermal prtotype reactor ''Fugen'' were manufactured in factories since 1972, and the installation at the site began in November, 1974. It was almost completed in March, 1977, except a part of the tests and inspections, therefore the outline of the works is reported. The ATR ''Fugen'' is a heavy water-moderated, boiling light water reactor, and its reactor auxiliary systems comprise mainly the facilities for handling heavy water, such as heavy water cooling system, heavy water cleaning system, poison supplying system, helium circulating system, helium cleaning system, and carbon dioxide system. The poison supplying system supplies liquid poison to the heavy water cooling system to absorb excess reactivity in the initial reactor core. The helium circulating system covers heavy water surface with helium to prevent the deterioration of heavy water and maintains heavy water level by pressure difference. The carbon dioxide system flows highly pure CO 2 gas in the space of pressure tubes and carandria tubes, and provides thermal shielding. The design, manufacture and installation of the facilities of reactor auxiliary systems, and the helium leak test, synthetic pressure test and total cleaning are explained. (Kako, I.)

  20. Prediction and theoretical characterization of p-type organic semiconductor crystals for field-effect transistor applications.

    Science.gov (United States)

    Atahan-Evrenk, Sule; Aspuru-Guzik, Alán

    2014-01-01

    The theoretical prediction and characterization of the solid-state structure of organic semiconductors has tremendous potential for the discovery of new high performance materials. To date, the theoretical analysis mostly relied on the availability of crystal structures obtained through X-ray diffraction. However, the theoretical prediction of the crystal structures of organic semiconductor molecules remains a challenge. This review highlights some of the recent advances in the determination of structure-property relationships of the known organic semiconductor single-crystals and summarizes a few available studies on the prediction of the crystal structures of p-type organic semiconductors for transistor applications.

  1. Training for New Manufacturing Technologies.

    Science.gov (United States)

    Jacobs, James

    1988-01-01

    Examines the effects of computer-based manufacturing technologies on employment opportunities and job skills. Describes the establishment of the Industrial Technology Institute in Michigan to develop and utilize advanced manufacturing technologies, and the institute's relationship to the state's community colleges. Reviews lessons learned from…

  2. Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.

    Science.gov (United States)

    Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman

    2013-03-07

    In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.

  3. Prosperity Game: Advanced Manufacturing Day, May 17, 1994

    Energy Technology Data Exchange (ETDEWEB)

    Berman, M.

    1994-12-01

    Prosperity Games are an outgrowth and adaptation of move/countermove and seminar War Games. Prosperity Games are simulations that explore complex issues in a variety of areas including economics, politics, sociology, environment, education and research. These issues can be examined from a variety of perspectives ranging from a global, macroeconomic and geopolitical viewpoint down to the details of customer/supplier/market interactions in specific industries. All Prosperity Games are unique in that both the game format and the player contributions vary from game to game. This report documents a 90-minute Prosperity Game conducted as part of Advanced Manufacturing Day on May 17, 1994. This was the fourth game conducted under the direction of the Center for National Industrial Alliances at Sandia. Although previous games lasted from one to two days, this abbreviated game produced interesting and important results. Most of the strategies proposed in previous games were reiterated here. These included policy changes in international trade, tax laws, the legal system, and the educational system. Government support of new technologies was encouraged as well as government-industry partnerships. The importance of language in international trade was an original contribution of this game. The deliberations and recommendations of these teams provide valuable insights as to the views of this diverse group of decision makers concerning policy changes, foreign competition, and the development, delivery and commercialization of new technologies.

  4. Composite fuselage crown panel manufacturing technology

    Science.gov (United States)

    Willden, Kurtis; Metschan, S.; Grant, C.; Brown, T.

    1992-01-01

    Commercial fuselage structures contain significant challenges in attempting to save manufacturing costs with advanced composite technology. Assembly issues, material costs, and fabrication of elements with complex geometry are each expected to drive the cost of composite fuselage structures. Boeing's efforts under the NASA ACT program have pursued key technologies for low-cost, large crown panel fabrication. An intricate bond panel design and manufacturing concepts were selected based on the efforts of the Design Build Team (DBT). The manufacturing processes selected for the intricate bond design include multiple large panel fabrication with the Advanced Tow Placement (ATP) process, innovative cure tooling concepts, resin transfer molding of long fuselage frames, and utilization of low-cost material forms. The process optimization for final design/manufacturing configuration included factory simulations and hardware demonstrations. These efforts and other optimization tasks were instrumental in reducing cost by 18 percent and weight by 45 percent relative to an aluminum baseline. The qualitative and quantitative results of the manufacturing demonstrations were used to assess manufacturing risks and technology readiness.

  5. Progress on Crystal Growth of Two-Dimensional Semiconductors for Optoelectronic Applications

    Directory of Open Access Journals (Sweden)

    Bingqi Sun

    2018-06-01

    Full Text Available Two-dimensional (2D semiconductors are thought to belong to the most promising candidates for future nanoelectronic applications, due to their unique advantages and capability in continuing the downscaling of complementary metal–oxide–semiconductor (CMOS devices while retaining decent mobility. Recently, optoelectronic devices based on novel synthetic 2D semiconductors have been reported, exhibiting comparable performance to the traditional solid-state devices. This review briefly describes the development of the growth of 2D crystals for applications in optoelectronics, including photodetectors, light-emitting diodes (LEDs, and solar cells. Such atomically thin materials with promising optoelectronic properties are very attractive for future advanced transparent optoelectronics as well as flexible and wearable/portable electronic devices.

  6. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  7. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  8. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  9. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  10. Industrial application of atom probe tomography to semiconductor devices

    NARCIS (Netherlands)

    Giddings, A.D.; Koelling, S.; Shimizu, Y.; Estivill, R.; Inoue, K.; Vandervorst, W.; Yeoh, W.K.

    2018-01-01

    Advanced semiconductor devices offer a metrology challenge due to their small feature size, diverse composition and intricate structure. Atom probe tomography (APT) is an emerging technique that provides 3D compositional analysis at the atomic-scale; as such, it seems uniquely suited to meet these

  11. Advanced manufacturing of microdisk vaccines for uniform control of material properties and immune cell function.

    Science.gov (United States)

    Zeng, Qin; Zhang, Peipei; Zeng, Xiangbin; Tostanoski, Lisa H; Jewell, Christopher M

    2017-12-19

    The continued challenges facing vaccines in infectious disease and cancer highlight a need for better control over the features of vaccines and the responses they generate. Biomaterials offer unique advantages to achieve this goal through features such as controlled release and co-delivery of antigens and adjuvants. However, many synthesis strategies lead to particles with heterogeneity in diameter, shape, loading level, or other properties. In contrast, advanced manufacturing techniques allow precision control of material properties at the micro- and nano-scale. These capabilities in vaccines and immunotherapies could allow more rational design to speed efficient design and clinical translation. Here we employed soft lithography to generate polymer microdisk vaccines with uniform structures and tunable compositions of vaccine antigens and toll like receptor agonists (TLRas) that serve as molecular adjuvants. Compared to conventional PLGA particles formed by emulsion, microdisks provided a dramatic improvement in the consistency of properties such as diameter. During culture with primary dendritic cells (DCs) from mice, microdisks were internalized by the cells without toxicity, while promoting co-delivery of antigen and TLRa to the same cell. Analysis of DC surface activation markers by flow cytometry revealed microdisk vaccines activated dendritic cells in a manner that depended on the level of TLRa, while antigen processing and presentation depended on the amount of antigen in the microdisks. Together, this work demonstrates the use of advanced manufacturing techniques to produce uniform vaccines that direct DC function depending on the composition in the disks.

  12. Advanced far infrared detectors

    International Nuclear Information System (INIS)

    Haller, E.E.

    1993-05-01

    Recent advances in photoconductive and bolometric semiconductor detectors for wavelength 1 mm > λ > 50 μm are reviewed. Progress in detector performance in this photon energy range has been stimulated by new and stringent requirements for ground based, high altitude and space-borne telescopes for astronomical and astrophysical observations. The paper consists of chapters dealing with the various types of detectors: Be and Ga doped Ge photoconductors, stressed Ge:Ga devices and neutron transmutation doped Ge thermistors. Advances in the understanding of basic detector physics and the introduction of modern semiconductor device technology have led to predictable and reliable fabrication techniques. Integration of detectors into functional arrays has become feasible and is vigorously pursued by groups worldwide

  13. Advanced Drying Process for Lower Manufacturing Cost of Electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, Iftikhar [Lambda Technologies, Inc., Morrisville, NC (United States); Zhang, Pu [Lambda Technologies, Inc., Morrisville, NC (United States)

    2016-11-30

    For this Vehicle Technologies Incubator/Energy Storage R&D topic, Lambda Technologies teamed with Navitas Systems and proposed a new advanced drying process that promised a 5X reduction in electrode drying time and significant reduction in the cost of large format lithium batteries used in PEV's. The operating principle of the proposed process was to use penetrating radiant energy source Variable Frequency Microwaves (VFM), that are selectively absorbed by the polar water or solvent molecules instantly in the entire volume of the electrode. The solvent molecules are thus driven out of the electrode thickness making the process more efficient and much faster than convective drying method. To evaluate the Advanced Drying Process (ADP) a hybrid prototype system utilizing VFM and hot air flow was designed and fabricated. While VFM drives the solvent out of the electrode thickness, the hot air flow exhausts the solvent vapors out of the chamber. The drying results from this prototype were very encouraging. For water based anodes there is a 5X drying advantage (time & length of oven) in using ADP over standard drying system and for the NMP based cathodes the reduction in drying time has 3X benefit. For energy savings the power consumption measurements were performed to ADP prototype and compared with the convection standard drying oven. The data collected demonstrated over 40% saving in power consumption with ADP as compared to the convection drying systems. The energy savings are one of the operational cost benefits possible with ADP. To further speed up the drying process, the ADP prototype was explored as a booster module before the convection oven and for the electrode material being evaluated it was possible to increase the drying speed by a factor of 4, which could not be accomplished with the standard dryer without surface defects and cracks. The instantaneous penetration of microwave in the entire slurry thickness showed a major advantage in rapid drying of

  14. Evolutionary fuzzy ARTMAP neural networks for classification of semiconductor defects.

    Science.gov (United States)

    Tan, Shing Chiang; Watada, Junzo; Ibrahim, Zuwairie; Khalid, Marzuki

    2015-05-01

    Wafer defect detection using an intelligent system is an approach of quality improvement in semiconductor manufacturing that aims to enhance its process stability, increase production capacity, and improve yields. Occasionally, only few records that indicate defective units are available and they are classified as a minority group in a large database. Such a situation leads to an imbalanced data set problem, wherein it engenders a great challenge to deal with by applying machine-learning techniques for obtaining effective solution. In addition, the database may comprise overlapping samples of different classes. This paper introduces two models of evolutionary fuzzy ARTMAP (FAM) neural networks to deal with the imbalanced data set problems in a semiconductor manufacturing operations. In particular, both the FAM models and hybrid genetic algorithms are integrated in the proposed evolutionary artificial neural networks (EANNs) to classify an imbalanced data set. In addition, one of the proposed EANNs incorporates a facility to learn overlapping samples of different classes from the imbalanced data environment. The classification results of the proposed evolutionary FAM neural networks are presented, compared, and analyzed using several classification metrics. The outcomes positively indicate the effectiveness of the proposed networks in handling classification problems with imbalanced data sets.

  15. Advanced manufacturing technologies for improved competitiveness of the South African manufacturing industry

    CSIR Research Space (South Africa)

    Tlale, NS

    2008-11-01

    Full Text Available In this paper the manufacturing environment with regards to technology and market is discussed. Both the South African and global view are given, together with technology management strategies. Value added products are described and determined...

  16. Semiconductor Laser Tracking Frequency Distance Gauge

    Science.gov (United States)

    Phillips, James D.; Reasenberg, Robert D.

    2009-01-01

    Advanced astronomical missions with greatly enhanced resolution and physics missions of unprecedented accuracy will require a spaceworthy laser distance gauge of substantially improved performance. The Tracking Frequency Gauge (TFG) uses a single beam, locking a laser to the measurement interferometer. We have demonstrated this technique with pm (10(exp -12) m) performance. We report on the version we are now developing based on space-qualifiable, fiber-coupled distributed-feedback semiconductor lasers.

  17. Comprehensive and fully self-consistent modeling of modern semiconductor lasers

    International Nuclear Information System (INIS)

    Nakwaski, W.; Sarzał, R. P.

    2016-01-01

    The fully self-consistent model of modern semiconductor lasers used to design their advanced structures and to understand more deeply their properties is given in the present paper. Operation of semiconductor lasers depends not only on many optical, electrical, thermal, recombination, and sometimes mechanical phenomena taking place within their volumes but also on numerous mutual interactions between these phenomena. Their experimental investigation is quite complex, mostly because of miniature device sizes. Therefore, the most convenient and exact method to analyze expected laser operation and to determine laser optimal structures for various applications is to examine the details of their performance with the aid of a simulation of laser operation in various considered conditions. Such a simulation of an operation of semiconductor lasers is presented in this paper in a full complexity of all mutual interactions between the above individual physical processes. In particular, the hole-burning effect has been discussed. The impacts on laser performance introduced by oxide apertures (their sizes and localization) have been analyzed in detail. Also, some important details concerning the operation of various types of semiconductor lasers are discussed. The results of some applications of semiconductor lasers are shown for successive laser structures. (paper)

  18. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  19. High-performance green semiconductor devices: materials, designs, and fabrication

    Science.gov (United States)

    Jung, Yei Hwan; Zhang, Huilong; Gong, Shaoqin; Ma, Zhenqiang

    2017-06-01

    From large industrial computers to non-portable home appliances and finally to light-weight portable gadgets, the rapid evolution of electronics has facilitated our daily pursuits and increased our life comforts. However, these rapid advances have led to a significant decrease in the lifetime of consumer electronics. The serious environmental threat that comes from electronic waste not only involves materials like plastics and heavy metals, but also includes toxic materials like mercury, cadmium, arsenic, and lead, which can leak into the ground and contaminate the water we drink, the food we eat, and the animals that live around us. Furthermore, most electronics are comprised of non-renewable, non-biodegradable, and potentially toxic materials. Difficulties in recycling the increasing amount of electronic waste could eventually lead to permanent environmental pollution. As such, discarded electronics that can naturally degrade over time would reduce recycling challenges and minimize their threat to the environment. This review provides a snapshot of the current developments and challenges of green electronics at the semiconductor device level. It looks at the developments that have been made in an effort to help reduce the accumulation of electronic waste by utilizing unconventional, biodegradable materials as components. While many semiconductors are classified as non-biodegradable, a few biodegradable semiconducting materials exist and are used as electrical components. This review begins with a discussion of biodegradable materials for electronics, followed by designs and processes for the manufacturing of green electronics using different techniques and designs. In the later sections of the review, various examples of biodegradable electrical components, such as sensors, circuits, and batteries, that together can form a functional electronic device, are discussed and new applications using green electronics are reviewed.

  20. Advanced surface chemical analysis of continuously manufactured drug loaded composite pellets.

    Science.gov (United States)

    Hossain, Akter; Nandi, Uttom; Fule, Ritesh; Nokhodchi, Ali; Maniruzzaman, Mohammed

    2017-04-15

    The aim of the present study was to develop and characterise polymeric composite pellets by means of continuous melt extrusion techniques. Powder blends of a steroid hormone (SH) as a model drug and either ethyl cellulose (EC N10 and EC P7 grades) or hydroxypropyl methylcellulose (HPMC AS grade) as polymeric carrier were extruded using a Pharma 11mm twin screw extruder in a continuous mode of operation to manufacture extruded composite pellets of 1mm length. Molecular modelling study using commercial Gaussian 09 software outlined a possible drug-polymer interaction in the molecular level to develop solid dispersions of the drug in the pellets. Solid-state analysis conducted via a differential scanning calorimetry (DSC), hot stage microscopy (HSM) and X-ray powder diffraction (XRPD) analyses revealed the amorphous state of the drug in the polymer matrices. Surface analysis using SEM/energy dispersive X-ray (EDX) of the produced pellets arguably showed a homogenous distribution of the C and O atoms in the pellet matrices. Moreover, advanced chemical surface analysis conducted via atomic force microscopy (AFM) showed a homogenous phase system having the drug molecule dispersed onto the amorphous matrices while Raman mapping confirmed the homogenous single-phase drug distribution in the manufactured composite pellets. Such composite pellets are expected to deliver multidisciplinary applications in drug delivery and medical sciences by e.g. modifying drug solubility/dissolutions or stabilizing the unstable drug (e.g. hormone, protein) in the composite network. Copyright © 2016. Published by Elsevier Inc.

  1. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  2. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  3. STM and synchrotron radiation studies of prototypical metal/semiconductor systems

    DEFF Research Database (Denmark)

    Lay, G. le; Aristov, V.Y.; Seehofer, L.

    1994-01-01

    Since the origin of surface science noble metal/elemental semiconductor couples have been considered as ''prototypical'' systems. After three decades of research their structural and electronic properties remain an intriguing maze despite recent advances made, especially thanks to the development...

  4. Continuous roll-to-roll a-Si photovoltaic manufacturing technology. Final subcontract report, 1 April 1992--30 September 1995

    Energy Technology Data Exchange (ETDEWEB)

    Izu, M. [Energy Conversion Devices, Inc., Troy, MI (US)

    1996-02-01

    ECD has made important progress in the development of materials, device designs, and manufacturing processes required for the continued advancement of practical photovoltaic technology{sub 1-23}. ECD has pioneered and continues further development of two key proprietary technologies, with significant potential for achieving the cost goals necessary for widespread growth of the photovoltaic market: (1) a low cost, roll-to- roll continuous substrate thin-film solar cell manufacturing process; (2) a high efficiency, monolithic, multiple-junction, spectrum- splitting thin-film amorphous silicon alloy device structure. Commercial production of multiple-junction a-Si alloy modules has been underway at ECD and its joint venture company for a number of years using ECD's proprietary roll-to-roll process and numerous advantages of this technology have been demonstrated. These include relatively low semiconductor material cost, relatively low process cost, a light-weight, rugged and flexible substrate that results in lowered installed costs of PV systems, and environmentally safe materials. Nevertheless, the manufacturing cost per watt of PV modules from our current plant remains high. In order to achieve high stable efficiency and low manufacturing cost, ECD has, at ECD's expense, engineered and constructed a 2 MW production line and a 200 kW pilot line, incorporating earlier ECD research advances in device efficiency through the use of multi-junction spectrum-splitting and high performance back-reflector cell design. Under this subcontract six tasks were directed towards achieving this goal. They are: Task I: Optimization of back-reflector system; Task II: Optimization of the Si-Ge narrow bandgap solar cells; Task III: Optimization of the stable efficiency of photovoltaic modules; Task IV: Demonstration of serpentine web continuous roll-to-roll deposition technology; Task V: Material cost reductions; and Task VI: Improving the module assembly process.

  5. Composite Structures Manufacturing Facility

    Data.gov (United States)

    Federal Laboratory Consortium — The Composite Structures Manufacturing Facility specializes in the design, analysis, fabrication and testing of advanced composite structures and materials for both...

  6. Semiconductor nanostructures for artificial photosynthesis

    Science.gov (United States)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  7. Direct observation of triplet energy transfer from semiconductor nanocrystals.

    Science.gov (United States)

    Mongin, Cédric; Garakyaraghi, Sofia; Razgoniaeva, Natalia; Zamkov, Mikhail; Castellano, Felix N

    2016-01-22

    Triplet excitons are pervasive in both organic and inorganic semiconductors but generally remain confined to the material in which they originate. We demonstrated by transient absorption spectroscopy that cadmium selenide semiconductor nanoparticles, selectively excited by green light, engage in interfacial Dexter-like triplet-triplet energy transfer with surface-anchored polyaromatic carboxylic acid acceptors, extending the excited-state lifetime by six orders of magnitude. Net triplet energy transfer also occurs from surface acceptors to freely diffusing molecular solutes, further extending the lifetime while sensitizing singlet oxygen in an aerated solution. The successful translation of triplet excitons from semiconductor nanoparticles to the bulk solution implies that such materials are generally effective surrogates for molecular triplets. The nanoparticles could thereby potentially sensitize a range of chemical transformations that are relevant for fields as diverse as optoelectronics, solar energy conversion, and photobiology. Copyright © 2016, American Association for the Advancement of Science.

  8. Defect Characterization, Imaging, and Control in Wide-Bandgap Semiconductors and Devices

    Science.gov (United States)

    Brillson, L. J.; Foster, G. M.; Cox, J.; Ruane, W. T.; Jarjour, A. B.; Gao, H.; von Wenckstern, H.; Grundmann, M.; Wang, B.; Look, D. C.; Hyland, A.; Allen, M. W.

    2018-03-01

    Wide-bandgap semiconductors are now leading the way to new physical phenomena and device applications at nanoscale dimensions. The impact of defects on the electronic properties of these materials increases as their size decreases, motivating new techniques to characterize and begin to control these electronic states. Leading these advances have been the semiconductors ZnO, GaN, and related materials. This paper highlights the importance of native point defects in these semiconductors and describes how a complement of spatially localized surface science and spectroscopy techniques in three dimensions can characterize, image, and begin to control these electronic states at the nanoscale. A combination of characterization techniques including depth-resolved cathodoluminescence spectroscopy, surface photovoltage spectroscopy, and hyperspectral imaging can describe the nature and distribution of defects at interfaces at both bulk and nanoscale surfaces, their metal interfaces, and inside nanostructures themselves. These features as well as temperature and mechanical strain inside wide-bandgap device structures at the nanoscale can be measured even while these devices are operating. These advanced capabilities enable several new directions for describing defects at the nanoscale, showing how they contribute to device degradation, and guiding growth processes to control them.

  9. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  10. Green manufacturing processes and systems

    Energy Technology Data Exchange (ETDEWEB)

    Davim, J. Paulo (ed.) [Aveiro Univ. (Portugal). Dept. of Mechanical Engineering, Campus Universitario de Santiago

    2013-02-01

    This book provides the recent advances on green manufacturing processes and systems for modern industry. Chapter 1 provides information on sustainable manufacturing through environmentally-friendly machining. Chapter 2 is dedicated to environmentally-friendly machining: vegetable based cutting fluids. Chapter 3 describes environmental-friendly joining of tubes. Chapter 4 contains information on concepts, methods and strategies for zero-waste in manufacturing. Finally, chapter 5 is dedicated to the application of hybrid MCDM approach for selecting the best tyre recycling process.

  11. Additive Manufacturing of Composites and Complex Materials

    Science.gov (United States)

    Spowart, Jonathan E.; Gupta, Nikhil; Lehmhus, Dirk

    2018-03-01

    Advanced composite materials form an important class of high-performance industrial materials used in weight-sensitive applications such as aerospace structures, automotive structures and sports equipment. In many of these applications, parts are made in small production runs, are highly customized and involve long process development times. Developments in additive manufacturing (AM) methods have helped in overcoming many of these limitations. The special topic of Additive Manufacturing of Composites and Complex Materials captures the state of the art in this area by collecting nine papers that present much novel advancement in this field. The studies under this topic show advancement in the area of AM of carbon fiber and graphene-reinforced composites with high thermal and electrical conductivities, development of new hollow glass particle-filled syntactic foam filaments for printing lightweight structures and integration of sensors or actuators during AM of metallic parts. Some of the studies are focused on process optimization or modification to increase the manufacturing speed or tuning manufacturing techniques to enable AM of new materials.

  12. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  13. Filter optimization of Si and SiC semiconductor-based H5 and Conergy-NPC transformerless PV inverters

    DEFF Research Database (Denmark)

    Saridakis, Stefanos; Koutroulis, Eftichios; Blaabjerg, Frede

    2013-01-01

    Single-phase transformerless Photovoltaic (PV) inverters are synthesized by combining available solutions in terms of the power section topology, power semiconductors manufacturing technology and structure of the output filter. A design method is presented in this paper for optimizing the power......C-based PV inverters will inject more energy into the electric grid, compared to the Si-based structures and enable the reduction of the output filter size, weight and cost. Employing an LLCL-type output filter and simultaneously reducing the cost of SiC power semiconductors to the level of their Si...

  14. Emerging Global Trends in Advanced Manufacturing

    Science.gov (United States)

    2012-03-01

    facility. Such distributed manufacturing could be made accessible to large masses even in remote areas (Ehmann 2011). For example, Zara is a Spanish...consumers. It has tightened its supply-chain management so that the consumer “pulls” the design. Zara uses state-of-the-art IT and distribution...systems to collect data daily on trends so they can quickly turn out new designs. Zara keeps costs down by using existing materials in stock and through

  15. Advanced chemical quality control techniques for use in the manufacture of (U-Pu) MOX fuels

    International Nuclear Information System (INIS)

    Panakkal, J.P.; Prakash, Amrit

    2010-01-01

    Analytical chemistry plays a very important role for nuclear fuel cycle activities be it fuel fabrication, waste management or reprocessing. Nuclear fuels are selected based on the type of reactor. The nuclear fuel has to conform to various stringent chemical specifications like B, rare earths, H, O/M heavy metal content etc. Selection of technique is very important to determine the true specification. This is important particularly when the analyses has to be performed inside leak tight enclosure. The present paper describes the details of the advanced techniques being developed and used in the manufacture of (U,Pu) MOX fuels. (author)

  16. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  17. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  18. Modernization of the Radioisotopes Production Laboratory of the La Reina Nuclear Center in Chile: Incorporating advanced concepts of safety and good manufacturing practices

    International Nuclear Information System (INIS)

    Lagos Espinoza, Silvia

    2014-01-01

    A radioisotopes and radiopharmaceuticals production laboratory was established in Chile in the 1960s for research activities. From 1967 until January 2012, it was dedicated to the manufacturing of radioisotopes and radiopharmaceuticals for medical diagnosis and treatment purposes. In 2012, modernization of the facility’s design and technology began as part of the IAEA technical cooperation project, Modernizing the Radioisotopes Production Laboratory of La Reina Nuclear Centre by Incorporating Advanced Concepts of Safety and Good Manufacturing Practices, (CHI4022)

  19. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  20. Utility of Big Area Additive Manufacturing (BAAM) For The Rapid Manufacture of Customized Electric Vehicles

    Energy Technology Data Exchange (ETDEWEB)

    Love, Lonnie J [ORNL

    2015-08-01

    This Oak Ridge National Laboratory (ORNL) Manufacturing Development Facility (MDF) technical collaboration project was conducted in two phases as a CRADA with Local Motors Inc. Phase 1 was previously reported as Advanced Manufacturing of Complex Cyber Mechanical Devices through Community Engagement and Micro-manufacturing and demonstrated the integration of components onto a prototype body part for a vehicle. Phase 2 was reported as Utility of Big Area Additive Manufacturing (BAAM) for the Rapid Manufacture of Customized Electric Vehicles and demonstrated the high profile live printing of an all-electric vehicle using ONRL s Big Area Additive Manufacturing (BAAM) technology. This demonstration generated considerable national attention and successfully demonstrated the capabilities of the BAAM system as developed by ORNL and Cincinnati, Inc. and the feasibility of additive manufacturing of a full scale electric vehicle as envisioned by the CRADA partner Local Motors, Inc.

  1. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    Science.gov (United States)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  2. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  3. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  4. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  5. Laser nano-manufacturing: state of the art and challenges

    NARCIS (Netherlands)

    Li, L.; Hong, M.; Schmidt, M.; Zhong, M.; Mashe, A.; Huis in 't veld, A.J.; Kovalenko, V.

    2011-01-01

    This paper provides an overview of advances in laser based nano-manufacturing technologies including surface nano-structure manufacturing, production of nano materials (nanoparticles, nanotubes and nanowires) and 3D nano-structures manufacture through multiple layer additive techniques and

  6. Bioprinting: an assessment based on manufacturing readiness levels.

    Science.gov (United States)

    Wu, Changsheng; Wang, Ben; Zhang, Chuck; Wysk, Richard A; Chen, Yi-Wen

    2017-05-01

    Over the last decade, bioprinting has emerged as a promising technology in the fields of tissue engineering and regenerative medicine. With recent advances in additive manufacturing, bioprinting is poised to provide patient-specific therapies and new approaches for tissue and organ studies, drug discoveries and even food manufacturing. Manufacturing Readiness Level (MRL) is a method that has been applied to assess manufacturing maturity and to identify risks and gaps in technology-manufacturing transitions. Technology Readiness Level (TRL) is used to evaluate the maturity of a technology. This paper reviews recent advances in bioprinting following the MRL scheme and addresses corresponding MRL levels of engineering challenges and gaps associated with the translation of bioprinting from lab-bench experiments to ultimate full-scale manufacturing of tissues and organs. According to our step-by-step TRL and MRL assessment, after years of rigorous investigation by the biotechnology community, bioprinting is on the cusp of entering the translational phase where laboratory research practices can be scaled up into manufacturing products specifically designed for individual patients.

  7. Nanoscale chirality in metal and semiconductor nanoparticles.

    Science.gov (United States)

    Kumar, Jatish; Thomas, K George; Liz-Marzán, Luis M

    2016-10-18

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided.

  8. Manufacture of Radio Frequency Micromachined Switches with Annealing

    OpenAIRE

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-01

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspe...

  9. Center for Advanced Materials Manufacturing | College of Engineering &

    Science.gov (United States)

    generation, transmission and purification; biomedical applications; green manufacturing techniques, and finally materials used for national defense by the Navy, Air Force, and Army. Specific areas of research

  10. Industry 4.0 implies lean manufacturing: research activities in industry 4.0 function as enablers for lean manufacturing

    OpenAIRE

    Sanders, Adam; Elangeswaran, Chola; Wulfsberg, Jens

    2016-01-01

    Purpose: Lean Manufacturing is widely regarded as a potential methodology to improve productivity and decrease costs in manufacturing organisations. The success of lean manufacturing demands consistent and conscious efforts from the organisation, and has to overcome several hindrances. Industry 4.0 makes a factory smart by applying advanced information and communication systems and future-oriented technologies. This paper analyses the incompletely perceived link between Industr...

  11. Low-cost solar module manufacturing

    International Nuclear Information System (INIS)

    Little, Roger G.; Nowlan, Michael J.; Matthei, Keith W.; Darkazalli, Ghazi

    1997-01-01

    As the market for terrestrial photovoltaic modules expands beyond the 80 MW per year level, module manufacturers are adopting a number of cost-reduction strategies, including the use of higher throughput equipment, increased process automation, and the fabrication of larger area cells and modules. This paper reviews recent activities at Spire Corporation in the development of advanced module manufacturing and testing equipment

  12. USCAR LEP ESST Advanced Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Lazarus, L.J.

    2000-09-25

    The objective of this task was to provide processing information data summaries on powder metallurgy (PM) alloys that meet the partner requirements for the production of low mass, highly accurate, near-net-shape powertrain components. This required modification to existing ISO machinability test procedures and development of a new drilling test procedure. These summaries could then be presented in a web page format. When combined with information generated from the USCAR CRADA this would allow chemical, metallurgical, and machining data on PM alloys to be available to all engineering and manufacturing personnel that have access to in-house networks. The web page format also allows for the additions of other wrought materials, making this a valuable tool to the technical staffs.

  13. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  14. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  15. Fuel manufacturing and utilization

    International Nuclear Information System (INIS)

    2005-01-01

    The efficient utilisation of nuclear fuel requires manufacturing facilities capable of making advanced fuel types, with appropriate quality control. Once made, the use of such fuels requires a proper understanding of their behaviour in the reactor environment, so that safe operation for the design life can be achieved. The International Atomic Energy Agency supports Member States to improve in-pile fuel performance and management of materials; and to develop advanced fuel technologies for ensuring reliability and economic efficiency of the nuclear fuel cycle. It provides assistance to Member States to support fuel-manufacturing capability, including quality assurance techniques, optimization of manufacturing parameters and radiation protection. The IAEA supports the development fuel modelling expertise in Member States, covering both normal operation and postulated and severe accident conditions. It provides information and support for the operation of Nuclear Power Plant to ensure that the environment and water chemistry is appropriate for fuel operation. The IAEA supports fuel failure investigations, including equipment for failed fuel detection and for post-irradiation examination and inspection, as well as fuel repair, it provides information and support research into the basic properties of fuel materials, including UO 2 , MOX and zirconium alloys. It further offers guidance on the relationship with back-end requirement (interim storage, transport, reprocessing, disposal), fuel utilization and management, MOX fuels, alternative fuels and advanced fuel technology

  16. The ATLAS semiconductor tracker (SCT)

    International Nuclear Information System (INIS)

    Jackson, J.N.

    2005-01-01

    The ATLAS detector (CERN,LHCC,94-43 (1994)) is designed to study a wide range of physics at the CERN Large Hadron Collider (LHC) at luminosities up to 10 34 cm -2 s -1 with a bunch-crossing rate of 40 MHz. The Semiconductor Tracker (SCT) forms a key component of the Inner Detector (vol. 1, ATLAS TDR 4, CERN,LHCC 97-16 (1997); vol. 2, ATLAS TDR 5, CERN,LHCC 97-17 (1997)) which is situated inside a 2 T solenoid field. The ATLAS Semiconductor Tracker (SCT) utilises 4088 silicon modules with binary readout mounted on carbon fibre composite structures arranged in the forms of barrels in the central region and discs in the forward region. The construction of the SCT is now well advanced. The design of the SCT modules, services and support structures will be briefly outlined. A description of the various stages in the construction process will be presented with examples of the performance achieved and the main difficulties encountered. Finally, the current status of the construction is reviewed

  17. The use of GaSe semiconductor detectors for monitoring high energy muon beams

    CERN Document Server

    Mancini, A M; Murri, R; Quirini, A; Rizzo, A; Vasanelli, L

    1976-01-01

    GaSe semiconductor detectors have been successfully tested during one year for monitoring muon beams in the GeV range in the neutrino experiment at CERN. Their performances are comparable with those of commercial Si surface barrier detectors for this particular application. Crystal growth, detector fabrication and characterization are briefly described. Various advantages (cost, ruggedness, resistance to radiation damage, manufacturing simplicity, etc.) are discussed. (8 refs).

  18. Manufacturing Advanced Channel Wall Rocket Liners, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR will adapt and demonstrate a low cost flexible method of manufacturing channel wall liquid rocket nozzles and combustors, while providing developers a...

  19. Additive Manufacturing of Biomaterials, Tissues, and Organs

    NARCIS (Netherlands)

    Zadpoor, Amir A; Malda, Jos|info:eu-repo/dai/nl/412461099

    The introduction of additive manufacturing (AM), often referred to as three-dimensional (3D) printing, has initiated what some believe to be a manufacturing revolution, and has expedited the development of the field of biofabrication. Moreover, recent advances in AM have facilitated further

  20. Implementation of an advanced hybrid MPC-PID control system using PAT tools into a direct compaction continuous pharmaceutical tablet manufacturing pilot plant.

    Science.gov (United States)

    Singh, Ravendra; Sahay, Abhishek; Karry, Krizia M; Muzzio, Fernando; Ierapetritou, Marianthi; Ramachandran, Rohit

    2014-10-01

    It is desirable for a pharmaceutical final dosage form to be manufactured through a quality by design (QbD)-based approach rather than a quality by testing (QbT) approach. An automatic feedback control system coupled with PAT tools that is part of the QbD paradigm shift, has the potential to ensure that the pre-defined end product quality attributes are met in a time and cost efficient manner. In this work, an advanced hybrid MPC-PID control architecture coupled with real time inline/online monitoring tools and principal components analysis (PCA) based additional supervisory control layer has been proposed for a continuous direct compaction tablet manufacturing process. The advantages of both MPC and PID have been utilized in a hybrid scheme. The control hardware and software integration and implementation of the control system has been demonstrated using feeders and blending unit operation of a continuous tablet manufacturing pilot plant and an NIR based PAT tool. The advanced hybrid MPC-PID control scheme leads to enhanced control loop performance of the critical quality attributes in comparison to a regulatory (e.g. PID) control scheme indicating its potential to improve pharmaceutical product quality. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. Novel engineered compound semiconductor heterostructures for advanced electronics applications

    Science.gov (United States)

    Stillman, Gregory E.; Holonyak, Nick, Jr.; Coleman, James J.

    1992-06-01

    To provide the technology base that will enable SDIO capitalization on the performance advantages offered through novel engineered multiple-lavered compound semiconductor structures, this project has focussed on three specific areas: (1) carbon doping of AlGaAs/GaAs and InP/InGaAs materials for reliable high frequency heterojunction bipolar transistors; (2) impurity induced layer disordering and the environmental degradation of AlxGal-xAs-GaAs quantum-well heterostructures and the native oxide stabilization of AlxGal-xAs-GaAs quantum well heterostructure lasers; and (3) non-planar and strained-layer quantum well heterostructure lasers and laser arrays. The accomplishments in this three year research are reported in fifty-six publications and the abstracts included in this report.

  2. Nano-Magnets and Additive Manufacturing for Electric Motors

    Science.gov (United States)

    Misra, Ajay K.

    2014-01-01

    High power density is required for application of electric motors in hybrid electric propulsion. Potential path to achieve high power density in electric motors include advanced materials, lightweight thermal management, lightweight structural concepts, high power density power electronics, and advanced manufacturing. This presentation will focus on two key technologies for achieving high power density, advanced magnets and additive manufacturing. The maximum energy product in current magnets is reaching their theoretical limits as a result of material and process improvements. Future improvements in the maximum energy product for magnets can be achieved through development of nanocomposite magnets combining the hard magnetic phase and soft magnetic phase at the nanoscale level. The presentation will provide an overview of the current state of development for nanocomposite magnets and the future path for doubling the maximum energy product. The other part of the presentation will focus on the role of additive manufacturing in fabrication of high power density electric motors. The presentation will highlight the potential opportunities for applying additive manufacturing to fabricate electric motors.

  3. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  4. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  5. Rapid Response Manufacturing (RRM). Final CRADA report

    Energy Technology Data Exchange (ETDEWEB)

    Cain, W.D. [Lockheed Martin Energy Systems, Inc., Oak Ridge, TN (United States); Waddell, W.L. [National Centers for Manufacturing Sciences, Ann Arbor, MI (United States)

    1997-08-28

    A major accomplishment of the Rapid Response Manufacturing (RRM) project was the development of a broad-based generic framework for automating and integrating the design-to-manufacturing activities associated with machined part products. Key components of the framework are a manufacturing model that integrates product and process data in a consistent, minimally redundant manner, an advanced computer-aided engineering working environment, knowledge-based software systems for design, process planning, and manufacturing and new production technologies for making products directly from design application software.

  6. NASA Game Changing Development Program Manufacturing Innovation Project

    Science.gov (United States)

    Tolbert, Carol; Vickers, John

    2011-01-01

    This presentation examines the new NASA Manufacturing Innovation Project. The project is a part of the Game Changing Development Program which is one element of the Space Technology Programs Managed by Office of the Chief Technologist. The project includes innovative technologies in model-based manufacturing, digital additive manufacturing, and other next generation manufacturing tools. The project is also coupled with the larger federal initiatives in this area including the National Digital Engineering and Manufacturing Initiative and the Advanced Manufacturing Partnership. In addition to NASA, other interagency partners include the Department of Defense, Department of Commerce, NIST, Department of Energy, and the National Science Foundation. The development of game-changing manufacturing technologies are critical for NASA s mission of exploration, strengthening America s manufacturing competitiveness, and are highly related to current challenges in defense manufacturing activities. There is strong consensus across industry, academia, and government that the future competitiveness of U.S. industry will be determined, in large part, by a technologically advanced manufacturing sector. This presentation highlights the prospectus of next generation manufacturing technologies to the challenges faced NASA and by the Department of Defense. The project focuses on maturing innovative/high payoff model-based manufacturing technologies that may lead to entirely new approaches for a broad array of future NASA missions and solutions to significant national needs. Digital manufacturing and computer-integrated manufacturing "virtually" guarantee advantages in quality, speed, and cost and offer many long-term benefits across the entire product lifecycle. This paper addresses key enablers and emerging strategies in areas such as: Current government initiatives, Model-based manufacturing, and Additive manufacturing.

  7. A study on the performance advancement of teat algorithm for defects in semiconductor packages

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae Yeol; Kim, Chang Hyun; Yang, Dong Jo; Ko, Myung Soo [Chosun University, Gwangju (Korea, Republic of); You, Sin [Computer Added Mechanical Engineering, Mokpo Science College, Mokpo (Korea, Republic of)

    2002-11-15

    In this study, researchers classifying the artificial flaws in semiconductor packages are performed by pattern recognition technology. For this purposes, image pattern recognition package including the user made software was developed and total procedure including ultrasonic image acquisition, equalization filtration, binary process, edge detection and classifier design is treated by Backpropagation Neural Network. Specially, it is compared with various weights of Backpropagation Neural Network and it is compared with threshold level of edge detection in preprocessing method for entrance into Multi-Layer Perceptron(Backpropagation Neural network). Also, tile pattern recognition techniques is applied to the classification problem of defects in semiconductor packages as normal, crack, delamination. According to this results, it is possible to acquire the recognition rate of 100% for Backpropagation Neural Network.

  8. Development of steam generator manufacturing technology

    International Nuclear Information System (INIS)

    Grant, J.A.

    1979-01-01

    In 1968 Babcock and Wilcox (Operations) Ltd., received an order from the CEGB to design, manufacture, install and commission 16 Steam Generators for 2 x 660 Mw (e) Advanced Gas Cooled Reactor Power Station at Hartlepool. This order was followed in 1970 by a similar order for the Heysham Power Station. The design and manufacture of the Steam Generators represented a major advance in technology and the paper discusses the methods by which a manufacturing facility was developed, by the Production Division of Babcock, to produce components to a quality, complexity and accuracy unique in the U.K. commercial boilermaking industry. The discussion includes a brief design background, a description of the Steam Generators and a view of the Production Division background. This is followed by a description of the organisation of the technological development and a consideration of the results. (author)

  9. Additive Manufacturing of Biomaterials, Tissues, and Organs

    NARCIS (Netherlands)

    Zadpoor, Amir A; Malda, Jos

    2017-01-01

    The introduction of additive manufacturing (AM), often referred to as three-dimensional (3D) printing, has initiated what some believe to be a manufacturing revolution, and has expedited the development of the field of biofabrication. Moreover, recent advances in AM have facilitated further

  10. Development of advanced manufacturing technologies for low cost hydrogen storage vessels

    Energy Technology Data Exchange (ETDEWEB)

    Leavitt, Mark [Quantum Fuel Systems Technologies Worldwide, Inc., Irvine, CA (United States); Lam, Patrick [Boeing Research and Technology (BR& T), Seattle, WA (United States)

    2014-12-29

    The U.S. Department of Energy (DOE) defined a need for low-cost gaseous hydrogen storage vessels at 700 bar to support cost goals aimed at 500,000 units per year. Existing filament winding processes produce a pressure vessel that is structurally inefficient, requiring more carbon fiber for manufacturing reasons, than would otherwise be necessary. Carbon fiber is the greatest cost driver in building a hydrogen pressure vessel. The objective of this project is to develop new methods for manufacturing Type IV pressure vessels for hydrogen storage with the purpose of lowering the overall product cost through an innovative hybrid process of optimizing composite usage by combining traditional filament winding (FW) and advanced fiber placement (AFP) techniques. A numbers of vessels were manufactured in this project. The latest vessel design passed all the critical tests on the hybrid design per European Commission (EC) 79-2009 standard except the extreme temperature cycle test. The tests passed include burst test, cycle test, accelerated stress rupture test and drop test. It was discovered the location where AFP and FW overlap for load transfer could be weakened during hydraulic cycling at 85°C. To design a vessel that passed these tests, the in-house modeling software was updated to add capability to start and stop fiber layers to simulate the AFP process. The original in-house software was developed for filament winding only. Alternative fiber was also investigated in this project, but the added mass impacted the vessel cost negatively due to the lower performance from the alternative fiber. Overall the project was a success to show the hybrid design is a viable solution to reduce fiber usage, thus driving down the cost of fuel storage vessels. Based on DOE’s baseline vessel size of 147.3L and 91kg, the 129L vessel (scaled to DOE baseline) in this project shows a 32% composite savings and 20% cost savings when comparing Vessel 15 hybrid design and the Quantum

  11. Dual-Energy Semiconductor Detector of X-rays and Gamma Radiation

    Directory of Open Access Journals (Sweden)

    Brodyn, M.S.

    2014-03-01

    Full Text Available Analysis of the major types of ionizing radiation detectors, their advantages and disadvantages are presented. Application of ZnSe-based semiconductor detector in high temperature environment is substantiated. Different forms of ZnSe-based detector samples and double-crystal scheme for registration of X- and gamma rays in a broad energy range were used . Based on the manufactured simulator device, the study sustains the feasibility of the gamma quanta recording by a high-resistance ZnSe-based detector operating in a perpulse mode.

  12. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  13. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  14. Spectroscopy of organic semiconductors from first principles

    Science.gov (United States)

    Sharifzadeh, Sahar; Biller, Ariel; Kronik, Leeor; Neaton, Jeffery

    2011-03-01

    Advances in organic optoelectronic materials rely on an accurate understanding their spectroscopy, motivating the development of predictive theoretical methods that accurately describe the excited states of organic semiconductors. In this work, we use density functional theory and many-body perturbation theory (GW/BSE) to compute the electronic and optical properties of two well-studied organic semiconductors, pentacene and PTCDA. We carefully compare our calculations of the bulk density of states with available photoemission spectra, accounting for the role of finite temperature and surface effects in experiment, and examining the influence of our main approximations -- e.g. the GW starting point and the application of the generalized plasmon-pole model -- on the predicted electronic structure. Moreover, our predictions for the nature of the exciton and its binding energy are discussed and compared against optical absorption data. We acknowledge DOE, NSF, and BASF for financial support and NERSC for computational resources.

  15. Challenges in Additive Manufacturing of Alumina

    OpenAIRE

    Gonzalez, Hugo

    2016-01-01

    Additive manufacturing is seen by many as the holy grail of manufacturing, the ability to produce parts nearly autonomously. Adding material rather than removing it would eliminate the need for expensive resources and machining. The recent expiration of key 3D printing patents has led to many advances in the field and has dramatically lowered the prices of 3D printers, making them accessible to the average individual. The one area where additive manufacturing is still in its infancy is in cer...

  16. Silicon is in short supply for the growth in solar cell production

    International Nuclear Information System (INIS)

    Halvorsen, Finn

    2003-01-01

    Polycrystalline silicon will be in short supply by 2006. This is the conclusion of two independent studies, one done for the European Union and one for the Photovoltaic Industry Association. The most important reason is the rapid growth in the solar cell market, which is expected to be about 15 per cent per year until 2010. If so, the world's solar cell manufacturers will need 8,000 tonnes of pure silicon at that time. This growth presupposes that the price of silicon does not rise, but it readily might. Because the general situation for the semiconductor industry has been difficult, silicon has been readily available to the manufacturers of solar cells in recent years. This is true of discard, which has always been used for solar cells, but also of silicon that was intended to become microprocessors, storage chips and other advanced semiconductor devices. As the semiconductor market improves, the amount of silicon from this source will shrink. Manufacturers of solar cells cannot afford to pay as much as the semiconductor manufacturers, and some consider making solar cell grade silicon themselves

  17. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  18. Computer-Aided Manufacturing of 3D Workpieces

    OpenAIRE

    Cornelia Victoria Anghel Drugarin; Mihaela Dorica Stroia

    2017-01-01

    Computer-Aided Manufacturing (CAM) assumes to use dedicated software for controlling machine tools and similar devices in the process of workpieces manufacturing. CAM is, in fact, an application technology that uses computer software and machinery to simplify and automate manufacturing processes. CAM is the inheritor of computer-aided engineering (CAE) and is often used conjunctively with computer-aided design (CAD). Advanced CAM solutions are forthcoming and have a large ...

  19. Precise, Self-Limited Epitaxy of Ultrathin Organic Semiconductors and Heterojunctions Tailored by van der Waals Interactions.

    Science.gov (United States)

    Wu, Bing; Zhao, Yinghe; Nan, Haiyan; Yang, Ziyi; Zhang, Yuhan; Zhao, Huijuan; He, Daowei; Jiang, Zonglin; Liu, Xiaolong; Li, Yun; Shi, Yi; Ni, Zhenhua; Wang, Jinlan; Xu, Jian-Bin; Wang, Xinran

    2016-06-08

    Precise assembly of semiconductor heterojunctions is the key to realize many optoelectronic devices. By exploiting the strong and tunable van der Waals (vdW) forces between graphene and organic small molecules, we demonstrate layer-by-layer epitaxy of ultrathin organic semiconductors and heterostructures with unprecedented precision with well-defined number of layers and self-limited characteristics. We further demonstrate organic p-n heterojunctions with molecularly flat interface, which exhibit excellent rectifying behavior and photovoltaic responses. The self-limited organic molecular beam epitaxy (SLOMBE) is generically applicable for many layered small-molecule semiconductors and may lead to advanced organic optoelectronic devices beyond bulk heterojunctions.

  20. Collaborative Research and Development. Delivery Order 0006: Transmission Electron Microscope Image Modeling and Semiconductor Heterointerface Characterization

    National Research Council Canada - National Science Library

    Mahalingam, Krishnamurthy

    2006-01-01

    .... Transmission electron microscope (TEM) characterization studies were performed on a variety of novel III-V semiconductor heterostructures being developed for advanced optoelectronic device applications...

  1. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  2. Robotics in space-age manufacturing

    Science.gov (United States)

    Jones, Chip

    1991-01-01

    Robotics technologies are developed to improve manufacturing of space hardware. The following applications of robotics are covered: (1) welding for the space shuttle and space station Freedom programs; (2) manipulation of high-pressure water for shuttle solid rocket booster refurbishment; (3) automating the application of insulation materials; (4) precision application of sealants; and (5) automation of inspection procedures. Commercial robots are used for these development programs, but they are teamed with advanced sensors, process controls, and computer simulation to form highly productive manufacturing systems. Many of the technologies are also being actively pursued in private sector manufacturing operations.

  3. Development of STEP-NC Adaptor for Advanced Web Manufacturing System

    Science.gov (United States)

    Ajay Konapala, Mr.; Koona, Ramji, Dr.

    2017-08-01

    Information systems play a key role in the modern era of Information Technology. Rapid developments in IT & global competition calls for many changes in basic CAD/CAM/CAPP/CNC manufacturing chain of operations. ‘STEP-NC’ an enhancement to STEP for operating CNC machines, creating new opportunities for collaborative, concurrent, adaptive works across the manufacturing chain of operations. Schemas and data models defined by ISO14649 in liaison with ISO10303 standards made STEP-NC file rich with feature based, rather than mere point to point information of G/M Code format. But one needs to have a suitable information system to understand and modify these files. Various STEP-NC information systems are reviewed to understand the suitability of STEP-NC for web manufacturing. Present work also deals with the development of an adaptor which imports STEP-NC file, organizes its information, allowing modifications to entity values and finally generates a new STEP-NC file to export. The system is designed and developed to work on web to avail additional benefits through the web and also to be part of a proposed ‘Web based STEP-NC manufacturing platform’ which is under development and explained as future scope.

  4. A Nonlinear Growth Analysis of Integrated Device Manufacturers’ Evolution to the Nanotechnology Manufacturing Outsourcing

    Directory of Open Access Journals (Sweden)

    Hung-Chi Hsiao

    2012-04-01

    Full Text Available With the increasing cost of setting up a semiconductor fabrication facility, coupled with significant costs of developing a leading nanotechnology process, aggressive outsourcing (asset-light business models via working more closely with foundry companies is how semiconductor manufacturing firms are looking to strengthen their sustainable competitive advantages. This study aims to construct a market intelligence framework for developing a wafer demand forecasting model based on long-term trend detection to facilitate decision makers in capacity planning. The proposed framework modifies market variables by employing inventory factors and uses a top-down forecasting approach with nonlinear least square method to estimate the forecast parameters. The nonlinear mathematical approaches could not only be used to examine forecasting performance, but also to anticipate future growth of the semiconductor industry. The results demonstrated the practical viability of this long-term demand forecast framework.

  5. An Introduction to Intelligent Processing Programs Developed by the Air Force Manufacturing Technology Directorate

    Science.gov (United States)

    Sampson, Paul G.; Sny, Linda C.

    1992-01-01

    The Air Force has numerous on-going manufacturing and integration development programs (machine tools, composites, metals, assembly, and electronics) which are instrumental in improving productivity in the aerospace industry, but more importantly, have identified strategies and technologies required for the integration of advanced processing equipment. An introduction to four current Air Force Manufacturing Technology Directorate (ManTech) manufacturing areas is provided. Research is being carried out in the following areas: (1) machining initiatives for aerospace subcontractors which provide for advanced technology and innovative manufacturing strategies to increase the capabilities of small shops; (2) innovative approaches to advance machine tool products and manufacturing processes; (3) innovative approaches to advance sensors for process control in machine tools; and (4) efforts currently underway to develop, with the support of industry, the Next Generation Workstation/Machine Controller (Low-End Controller Task).

  6. Effect of interface roughness on Auger recombination in semiconductor quantum wells

    Science.gov (United States)

    Tan, Chee-Keong; Sun, Wei; Wierer, Jonathan J.; Tansu, Nelson

    2017-03-01

    Auger recombination in a semiconductor is a three-carrier process, wherein the energy from the recombination of an electron and hole pair promotes a third carrier to a higher energy state. In semiconductor quantum wells with increased carrier densities, the Auger recombination becomes an appreciable fraction of the total recombination rate and degrades luminescence efficiency. Gaining insight into the variables that influence Auger recombination in semiconductor quantum wells could lead to further advances in optoelectronic and electronic devices. Here we demonstrate the important role that interface roughness has on Auger recombination within quantum wells. Our computational studies find that as the ratio of interface roughness to quantum well thickness is increased, Auger recombination is significantly enhanced. Specifically, when considering a realistic interface roughness for an InGaN quantum well, the enhancement in Auger recombination rate over a quantum well with perfect heterointerfaces can be approximately four orders of magnitude.

  7. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  8. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals.

    Science.gov (United States)

    Niazi, Muhammad R; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M; Anthony, John E; Smilgies, Detlef-M; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P; Amassian, Aram

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm(2) V(-1) s(-1), low threshold voltages oforganic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  9. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  10. Manufacturing of Protected Lithium Electrodes for Advanced Lithium-Air, Lithium-Water & Lithium-Sulfur Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Visco, Steven J

    2015-11-30

    The global demand for rechargeable batteries is large and growing rapidly. Assuming the adoption of electric vehicles continues to increase, the need for smaller, lighter, and less expensive batteries will become even more pressing. In this vein, PolyPlus Battery Company has developed ultra-light high performance batteries based on its proprietary protected lithium electrode (PLE) technology. The Company’s Lithium-Air and Lithium-Seawater batteries have already demonstrated world record performance (verified by third party testing), and we are developing advanced lithium-sulfur batteries which have the potential deliver high performance at low cost. In this program PolyPlus Battery Company teamed with Corning Incorporated to transition the PLE technology from bench top fabrication using manual tooling to a pre- commercial semi-automated pilot line. At the inception of this program PolyPlus worked with a Tier 1 battery manufacturing engineering firm to design and build the first-of-its-kind pilot line for PLE production. The pilot line was shipped and installed in Berkeley, California several months after the start of the program. PolyPlus spent the next two years working with and optimizing the pilot line and now produces all of its PLEs on this line. The optimization process successfully increased the yield, throughput, and quality of PLEs produced on the pilot line. The Corning team focused on fabrication and scale-up of the ceramic membranes that are key to the PLE technology. PolyPlus next demonstrated that it could take Corning membranes through the pilot line process to produce state-of-the-art protected lithium electrodes. In the latter part of the program the Corning team developed alternative membranes targeted for the large rechargeable battery market. PolyPlus is now in discussions with several potential customers for its advanced PLE-enabled batteries, and is building relationships and infrastructure for the transition into manufacturing. It is likely

  11. Cleavage mechanoluminescence in elemental and III-V semiconductors

    International Nuclear Information System (INIS)

    Chandra, B.P.; Patel, R.P.; Gour, Anubha S.; Chandra, V.K.; Gupta, R.K.

    2003-01-01

    The present paper reports the theory of mechanoluminescence (ML) produced during cleavage of elemental and III-V semiconductors. It seems that the formation of crack-induced localized states is responsible for the ML excitation produced during the cleavage of elemental and III-V semiconductors. According to this mechanism, as the atoms are drawn away from each other in an advancing crack tip, the decreasing wave function overlap across the crack may result in localized states which is associated with increasing electron energy. If the energy of these localized states approach that of the conduction band, transition to the conduction band via tunnelling would be possible, creating minority carriers, and consequently the electron-hole recombination may give rise to mechanoluminescence. When an elemental or III-V semiconductor is cleaved, initially the ML intensity increases with time, attains a peak value I m at the time t m corresponding to completion of the cleavage of the semiconductor, and then it decreases following power law decay. Expressions are derived for the ML intensity I m corresponding to the peak of the ML intensity versus time curve and for the total ML intensity I T . It is shown that both I m and I T should increase directly with the area of the newly created surfaces of the crystals. From the measurements of the ML intensity, the velocity of crack propagation in material can be determined by using the relation v=H/t m

  12. Challenges in teaching modern manufacturing technologies

    Science.gov (United States)

    Ngaile, Gracious; Wang, Jyhwen; Gau, Jenn-Terng

    2015-07-01

    Teaching of manufacturing courses for undergraduate engineering students has become a challenge due to industrial globalisation coupled with influx of new innovations, technologies, customer-driven products. This paper discusses development of a modern manufacturing course taught concurrently in three institutions where students collaborate in executing various projects. Lectures are developed to contain materials featuring advanced manufacturing technologies, R&D trends in manufacturing. Pre- and post-surveys were conducted by an external evaluator to assess the impact of the course on increase in student's knowledge of manufacturing; increase students' preparedness and confidence in effective communication and; increase students' interest in pursuing additional academic studies and/or a career path in manufacturing and high technology. The surveyed data indicate that the students perceived significant gains in manufacturing knowledge and preparedness in effective communication. The study also shows that implementation of a collaborative course within multiple institutions requires a robust and collective communication platform.

  13. Additive manufacturing technology in reconstructive surgery.

    Science.gov (United States)

    Fuller, Scott C; Moore, Michael G

    2016-10-01

    Technological advances have been part and parcel of modern reconstructive surgery, in that practitioners of this discipline are continually looking for innovative ways to perfect their craft and improve patient outcomes. We are currently in a technological climate wherein advances in computers, imaging, and science have coalesced with resulting innovative breakthroughs that are not merely limited to improved outcomes and enhanced patient care, but may provide novel approaches to training the next generation of reconstructive surgeons. New developments in software and modeling platforms, imaging modalities, tissue engineering, additive manufacturing, and customization of implants are poised to revolutionize the field of reconstructive surgery. The interface between technological advances and reconstructive surgery continues to expand. Additive manufacturing techniques continue to evolve in an effort to improve patient outcomes, decrease operative time, and serve as instructional tools for the training of reconstructive surgeons.

  14. Application of laser spot cutting on spring contact probe for semiconductor package inspection

    Science.gov (United States)

    Lee, Dongkyoung; Cho, Jungdon; Kim, Chan Ho; Lee, Seung Hwan

    2017-12-01

    A packaged semiconductor has to be electrically tested to make sure they are free of any manufacturing defects. The test interface, typically employed between a Printed Circuit Board and the semiconductor devices, consists of densely populated Spring Contact Probe (SCP). A standard SCP typically consists of a plunger, a barrel, and an internal spring. Among these components, plungers are manufactured by a stamping process. After stamping, plunger connecting arms need to be cut into pieces. Currently, mechanical cutting has been used. However, it may damage to the body of plungers due to the mechanical force engaged at the cutting point. Therefore, laser spot cutting is considered to solve this problem. The plunger arm is in the shape of a rectangular beam, 50 μm (H) × 90 μm (W). The plunger material used for this research is gold coated beryllium copper. Laser parameters, such as power and elapsed time, have been selected to study laser spot cutting. Laser material interaction characteristics such as a crater size, material removal zone, ablation depth, ablation threshold, and full penetration are observed. Furthermore, a carefully chosen laser parameter (Etotal = 1000mJ) to test feasibility of laser spot cutting are applied. The result show that laser spot cutting can be applied to cut SCP.

  15. Recent Advancements in Semiconductor-based Optical Signal Processing

    DEFF Research Database (Denmark)

    Nielsen, M L; Mørk, Jesper

    2006-01-01

    Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching.......Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching....

  16. ROBOTICALLY ENHANCED ADVANCED MANUFACTURING CONCEPTS TO OPTIMIZE ENERGY, PRODUCTIVITY, AND ENVIRONMENTAL PERFORMANCE

    Energy Technology Data Exchange (ETDEWEB)

    Larry L. Keller; Joseph M. Pack; Robert V. Kolarik II

    2007-11-05

    In the first phase of the REML project, major assets were acquired for a manufacturing line for follow-on installation, capability studies and optimization. That activity has been documented in the DE-FC36-99ID13819 final report. In this the second phase of the REML project, most of the major assets have been installed in a manufacturing line arrangement featuring a green cell, a thermal treatment cell and a finishing cell. Most of the secondary and support assets have been acquired and installed. Assets have been integrated with a commercial, machine-tending gantry robot in the thermal treatment cell and with a low-mass, high-speed gantry robot in the finish cell. Capabilities for masterless gauging of product’s dimensional and form characteristics were advanced. Trial production runs across the entire REML line have been undertaken. Discrete event simulation modeling has aided in line balancing and reduction of flow time. Energy, productivity and cost, and environmental comparisons to baselines have been made. Energy The REML line in its current state of development has been measured to be about 22% (338,000 kVA-hrs) less energy intensive than the baseline conventional low volume line assuming equivalent annual production volume of approximately 51,000 races. The reduction in energy consumption is largely attributable to the energy reduction in the REML thermal treatment cell where the heating devices are energized on demand and are appropriately sized to the heating load of a near single piece flow line. If additional steps such as power factor correction and use of high-efficiency motors were implemented to further reduce energy consumption, it is estimated, but not yet demonstrated, that the REML line would be about 30% less energy intensive than the baseline conventional low volume line assuming equivalent annual production volume. Productivity The capital cost of an REML line would be roughly equivalent to the capital cost of a new conventional line. The

  17. Contemporary design and manufacturing technology

    CERN Document Server

    Wang, Taiyong; Zuo, Dunwen

    2013-01-01

    The special topic volume communicates the latest progress and research results of new theory, new technology, method, equipment and so on in Engineering Technology, and to grasp the updated technological and research trends in internationally. The major topics covered by the special volumes include Advanced Materials and Manufacturing Technologies, Control, Automation and Detection Systems, Advanced Design Technology, Optimization and Modeling. In 80 invited and peer-reviewed papers, mechanical and other engineers describe their recent and current research and results in advanced materials and

  18. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  19. Impacts of Advanced Manufacturing Technology on Parametric Estimating

    Science.gov (United States)

    1989-12-01

    been build ( Blois , p. 65). As firms move up the levels of automation, there is a large capital investment to acquire robots, computer numerically...Affordable Acquisition Approach Study, Executive Summary, Air Force Systems Command, Andrews AFB, Maryland, February 9, 1983. Blois , K.J., "Manufacturing

  20. Manufacturing of ultra high vacuum compatible accelerator and laser components

    International Nuclear Information System (INIS)

    Mundra, G.; Sharma, S.D.; Bhatnagar, V.

    2015-01-01

    For carrying out advanced basic research, Raja Ramanna Centre for Advanced Technology, (RRCAT) had set up 450 MeV and 2.5 GeV Synchrotron Radiation Sources. Many beamlines are being utilized by researchers from various universities and institutions of the country. Centre has also developed various lasers that find application in various front line areas like medicine, industry and research. To cater the need of manufacturing for these programs, an advanced and versatile manufacturing development center was established, called Accelerator Components Design and Fabrication Section (ACDFS),

  1. Multimedia Image Technology and Computer Aided Manufacturing Engineering Analysis

    Science.gov (United States)

    Nan, Song

    2018-03-01

    Since the reform and opening up, with the continuous development of science and technology in China, more and more advanced science and technology have emerged under the trend of diversification. Multimedia imaging technology, for example, has a significant and positive impact on computer aided manufacturing engineering in China. From the perspective of scientific and technological advancement and development, the multimedia image technology has a very positive influence on the application and development of computer-aided manufacturing engineering, whether in function or function play. Therefore, this paper mainly starts from the concept of multimedia image technology to analyze the application of multimedia image technology in computer aided manufacturing engineering.

  2. Structural defects in cubic semiconductors characterized by aberration-corrected scanning transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Arroyo Rojas Dasilva, Yadira; Kozak, Roksolana; Erni, Rolf; Rossell, Marta D., E-mail: marta.rossell@empa.ch

    2017-05-15

    The development of new electro-optical devices and the realization of novel types of transistors require a profound understanding of the structural characteristics of new semiconductor heterostructures. This article provides a concise review about structural defects which occur in semiconductor heterostructures on the basis of micro-patterned Si substrates. In particular, one- and two-dimensional crystal defects are being discussed which are due to the plastic relaxation of epitaxial strain caused by the misfit of crystal lattices. Besides a few selected examples from literature, we treat in particular crystal defects occurring in GaAs/Si, Ge/Si and β-SiC/Si structures which are studied by high-resolution annular dark-field scanning transmission electron microscopy. The relevance of this article is twofold; firstly, it should provide a collection of data which are of help for the identification and characterization of defects in cubic semiconductors by means of atomic-resolution imaging, and secondly, the experimental data shall provide a basis for advancing the understanding of device characteristics with the aid of theoretical modelling by considering the defective nature of strained semiconductor heterostructures. - Highlights: • The heterogeneous integration of high-quality compound semiconductors remains a challenge. • Lattice defects cause severe degradation of the semiconductor device performances. • Aberration-corrected HAADF-STEM allows atomic-scale characterization of defects. • An overview of lattice defects found in cubic semiconductors is presented. • Theoretical modelling and calculations are needed to determine the defect properties.

  3. Introductory quantum mechanics for semiconductor nanotechnology

    International Nuclear Information System (INIS)

    Kim, Dae Mann

    2010-01-01

    The result of the nano education project run by the Korean Nano Technology Initiative, this has been recommended for use as official textbook by the Korean Nanotechnology Research Society. The author is highly experienced in teaching both physics and engineering in academia and industry, and naturally adopts an interdisciplinary approach here. He is short on formulations but long on applications, allowing students to understand the essential workings of quantum mechanics without spending too much time covering the wide realms of physics. He takes care to provide sufficient technical background and motivation for students to pursue further studies of advanced quantum mechanics and stresses the importance of translating quantum insights into useful and tangible innovations and inventions. As such, this is the only work to cover semiconductor nanotechnology from the perspective of introductory quantum mechanics, with applications including mainstream semiconductor technologies as well as (nano)devices, ranging from photodetectors, laser diodes, and solar cells to transistors and Schottky contacts. Problems are also provided to test the reader's understanding and supplementary material available includes working presentation files, solutions and instructors manuals. (orig.)

  4. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  5. Using microwave Doppler radar in automated manufacturing applications

    Science.gov (United States)

    Smith, Gregory C.

    Since the beginning of the Industrial Revolution, manufacturers worldwide have used automation to improve productivity, gain market share, and meet growing or changing consumer demand for manufactured products. To stimulate further industrial productivity, manufacturers need more advanced automation technologies: "smart" part handling systems, automated assembly machines, CNC machine tools, and industrial robots that use new sensor technologies, advanced control systems, and intelligent decision-making algorithms to "see," "hear," "feel," and "think" at the levels needed to handle complex manufacturing tasks without human intervention. The investigator's dissertation offers three methods that could help make "smart" CNC machine tools and industrial robots possible: (1) A method for detecting acoustic emission using a microwave Doppler radar detector, (2) A method for detecting tool wear on a CNC lathe using a Doppler radar detector, and (3) An online non-contact method for detecting industrial robot position errors using a microwave Doppler radar motion detector. The dissertation studies indicate that microwave Doppler radar could be quite useful in automated manufacturing applications. In particular, the methods developed may help solve two difficult problems that hinder further progress in automating manufacturing processes: (1) Automating metal-cutting operations on CNC machine tools by providing a reliable non-contact method for detecting tool wear, and (2) Fully automating robotic manufacturing tasks by providing a reliable low-cost non-contact method for detecting on-line position errors. In addition, the studies offer a general non-contact method for detecting acoustic emission that may be useful in many other manufacturing and non-manufacturing areas, as well (e.g., monitoring and nondestructively testing structures, materials, manufacturing processes, and devices). By advancing the state of the art in manufacturing automation, the studies may help

  6. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  7. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  8. Advanced infrared optically black baffle materials

    International Nuclear Information System (INIS)

    Seals, R.D.; Egert, C.M.; Allred, D.D.

    1990-01-01

    Infrared optically black baffle surfaces are an essential component of many advanced optical systems. All internal surfaces in advanced infrared optical sensors that require stray light management to achieve resolution are of primary concern in baffle design. Current industrial materials need improvements to meet advanced optical sensor systems requirements for optical, survivability, and endurability. Baffles are required to survive and operate in potentially severe environments. Robust diffuse-absorptive black surfaces, which are thermally and mechanically stable to threats of x-ray, launch, and in-flight maneuver conditions, with specific densities to allow an acceptable weight load, handleable during assembly, cleanable, and adaptive to affordable manufacturing, are required as optical baffle materials. In this paper an overview of recently developed advanced infrared optical baffle materials, requirements, manufacturing strategies, and the Optics MODIL (Manufacturing Operations Development and Integration Laboratory) Advanced Baffle Program are discussed

  9. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  10. The Effects of Interdependence and Cooperative Behaviors on Buyer’s Satisfaction in the Semiconductor Component Supply Chain

    Directory of Open Access Journals (Sweden)

    Fan-Yun Pai

    2015-12-01

    Full Text Available The semiconductor industry is characterized by extreme competition in price and product features. Firms need to acquire or exchange resources with their supplier or buyer partners to stay at the leading edge of technology. Cooperation between buyers and suppliers is important and power is the mechanism that can explain the cooperative behaviors. This study aims to investigate how the power structure between the buyer and supplier influences the extent of suppliers’ cooperative behaviors, and the effects of these on buyer satisfaction with the buyer-supplier relationship. Opinions from firms in semiconductor manufacturing supply chain were used to investigate the proposed model. It is found that mutual interdependence between a supplier and its buyer can enhance cooperative behaviors and power asymmetry hurt firms’ investment in cooperative behaviors. Suggestions are then provided to semiconductor supply chain members based on the findings of this work.

  11. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  12. Design, manufacture and installation of measuring and control equipments for the advanced thermal prototype reactor 'Fugen'

    International Nuclear Information System (INIS)

    Hirota, Shigeo; Kawabata, Yoshinori

    1979-01-01

    The advanced thermal prototype reactor ''Fugen'' attained the criticality on March 20, 1978, and 100% output operation on November 13, 1978. On March 20, 1979, it passed the final inspection, and since then, it has continued the smooth operation up to now. The measuring and control equipments are provided for grasping the operational conditions of the plant and operating it safely and efficiently. At the time of designing, manufacturing and installing the measuring and control equipments for Fugen, it was required to clarify the requirements of the plant design, to secure the sufficient functions, and to improve the operational process, maintainability and the reliability and accuracy of the equipments. Many design guidelines and criteria were decided in order to coordinate the conditions among five manufacturers and give the unified state as one plant. The outline of the instrumentations for neutrons, radiation monitoring and process data, the control systems for reactivity, reactor output, pressure and water supply, the safety protection system, and the process computer are described. Finally, the installations and tests of the measuring and control equipments are explained. The aseismatic capability of the equipments was confirmed. (Kako, I.)

  13. Manufacturing Capacity Utilization and Industrial Development in ...

    African Journals Online (AJOL)

    FIRST LADY

    utilization in the late 1970s was as high as 78.70 percent and nosedived to as low as 43.80 percent in the 1980s. Between ... through a regime of high inflation rate which makes domestic manufacturers and domestic market ... However, inflation and loans and advances to manufacturing were found to have negative effect.

  14. Innovation Training within the Australian Advanced Manufacturing Industry

    Science.gov (United States)

    Donovan, Jerome Denis; Maritz, Alex; McLellan, Andrew

    2013-01-01

    Innovation has emerged as a core driver for the future profitability and success of the manufacturing sector, and increasingly both governments and the private sector are examining ways to support the development of innovation capabilities within organisations. In this research, we have evaluated a government-funded innovation training course…

  15. Advanced Manufacturing Technology Implementation Process in SME: Critical Success Factors

    Directory of Open Access Journals (Sweden)

    Jani Rahardjo

    2010-01-01

    Full Text Available The aim of this paper is to present critical factors that constitute a successful implementation of the Advanced Manufacturing Technologies (AMT in Small Medium Enterprise (SME. Many large companies have applied AMT and the applications have shown significant results in this global market era. Conveniently, these phenomenons are also engaged to Small Medium Enterprises (SME that of high demands on performing high quality product, fast delivery, reliable and more flexible. The implementation of AMT follow several processes namely pre installation, installation, improvement and mature. In order to guarantee the succesfull of running these processes, one should consider the Critical Success Factors (CSF. We conducted a survey to 125 SMEs that have implemented AMT, and found that the CSF for each process are moderately different. Good leadership is the main critical success factor for preparing and installation of the AMT. Once the AMT started or installed and arrived at growth stage, the financial availability factor turns into a critical success factor in the AMT implementation. In, mature stage, the support and commitment of top management becomes an important factor for gaining successful implementation. By means of factor analysis, we could point out that strategic factors are the main factors in pre-installation and installation stage. Finally, in the growth stage and mature stage, both tactical and strategic factors are the important factors in the successful of AMT implementation

  16. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  17. Advanced Manufacturing Technologies (AMT): Additive Manufactured Hot Fire Planning and Testing in GRC Cell 32

    Data.gov (United States)

    National Aeronautics and Space Administration — The objective of this project is to hot fire test an additively manufactured thrust chamber assembly TCA (injector and thrust chamber). GRC will install the...

  18. Bio-Manufacturing to market pilot project

    Energy Technology Data Exchange (ETDEWEB)

    Dressen, Tiffaney [Univ. of California, Berkeley, CA (United States)

    2017-09-25

    The Bio-Manufacturing to Market pilot project was a part of the AMJIAC, the Advanced Manufacturing Jobs and Innovation Accelerator Challenge grant. This internship program set out to further define and enhance the talent pipeline from the University and local Community Colleges to startup culture in East Bay Area, provide undergraduate STEM students with opportunities outside academia, and provide startup companies with much needed talent. Over the 4 year period of performance, the Bio-Manufacturing to Market internship program sponsored 75 undergraduate STEM students who were able to spend anywhere from one to six semesters working with local Bay Area startup companies and DOE sponsored facilities/programs in the biotech, bio-manufacturing, and biomedical device fields.

  19. Integration of a nonmetallic electrostatic precipitator and a wet scrubber for improved removal of particles and corrosive gas cleaning in semiconductor manufacturing industries.

    Science.gov (United States)

    Kim, Hak-Joon; Han, Bangwoo; Kim, Yong-Jin; Yoa, Seok-Jun; Oda, Tetsuji

    2012-08-01

    To remove particles in corrosive gases generated by semiconductor industries, we have developed a novel non-metallic, two-stage electrostatic precipitator (ESP). Carbon brush electrodes and grounded carbon fiber-reinforced polymer (CFRP) form the ionization stage, and polyvinyl chloride collection plates are used in the collection stage of the ESP The collection performance of the ESP downstream of a wet scrubber was evaluated with KC1, silica, and mist particles (0.01-10 pm), changing design and operation parameters such as the ESP length, voltage, and flow rate. A long-term and regeneration performance (12-hr) test was conducted at the maximum operation conditions of the scrubber and ESP and the performance was then demonstrated for 1 month with exhaust gases from wet scrubbers at the rooftop of a semiconductor manufacturing plant in Korea. The results showed that the electrical and collection performance of the ESP (16 channels, 400x400 mm2) was maintained with different grounded plate materials (stainless steel and CFRP) and different lengths of the ionization stage. The collection efficiency of the ESP at high air velocity was enhanced with increases in applied voltages and collection plate lengths. The ESP (16 channels with 100 mm length, 400x400 mm2x540 mm with a 10-mm gap) removed more than 90% of silica and mistparticles with 10 and 12 kV applied to the ESPat the air velocity of 2 m/s and liquid-to-gas ratio of 3.6 L/m3. Decreased performance after 13 hours ofcontinuous operation was recovered to the initial performance level by 5 min of water washing. Moreover during the 1-month operation at the demonstration site, the ESP showed average collection efficiencies of 97% based on particle number and 92% based on total particle mass, which were achieved with a much smaller specific corona power of 0.28 W/m3/hr compared with conventional ESPs.

  20. Advances in imaging and electron physics

    CERN Document Server

    Mulvey, Tom

    1995-01-01

    Academic Press is pleased to announce the creation of Advances in Imaging and Electron Physics. This serial publication results from the merger of two long-running serials--Advances in Electronics and Electron Physics and Advances in Optical & Electron Microscopy. Advances in Imaging & Electron Physics will feature extended articles on the physics of electron devices (especially semiconductor devices), particle optics at high and low energies,microlithography, image science and digital image processing, electromagnetic wave propagation, electron microscopy, and the computing methods used in all these domains.

  1. Dynamic spin polarization by orientation-dependent separation in a ferromagnet-semiconductor hybrid

    Science.gov (United States)

    Korenev, V. L.; Akimov, I. A.; Zaitsev, S. V.; Sapega, V. F.; Langer, L.; Yakovlev, D. R.; Danilov, Yu. A.; Bayer, M.

    2012-07-01

    Integration of magnetism into semiconductor electronics would facilitate an all-in-one-chip computer. Ferromagnet/bulk semiconductor hybrids have been, so far, mainly considered as key devices to read out the ferromagnetism by means of spin injection. Here we demonstrate that a Mn-based ferromagnetic layer acts as an orientation-dependent separator for carrier spins confined in a semiconductor quantum well that is set apart from the ferromagnet by a barrier only a few nanometers thick. By this spin-separation effect, a non-equilibrium electron-spin polarization is accumulated in the quantum well due to spin-dependent electron transfer to the ferromagnet. The significant advance of this hybrid design is that the excellent optical properties of the quantum well are maintained. This opens up the possibility of optical readout of the ferromagnet's magnetization and control of the non-equilibrium spin polarization in non-magnetic quantum wells.

  2. Ballistic spin filtering across the ferromagnetic-semiconductor interface

    Directory of Open Access Journals (Sweden)

    Y.H. Li

    2012-03-01

    Full Text Available The ballistic spin-filter effect from a ferromagnetic metal into a semiconductor has theoretically been studied with an intention of detecting the spin polarizability of density of states in FM layer at a higher energy level. The physical model for the ballistic spin filtering across the interface between ferromagnetic metals and semiconductor superlattice is developed by exciting the spin polarized electrons into n-type AlAs/GaAs superlattice layer at a much higher energy level and then ballistically tunneling through the barrier into the ferromagnetic film. Since both the helicity-modulated and static photocurrent responses are experimentally measurable quantities, the physical quantity of interest, the relative asymmetry of spin-polarized tunneling conductance, could be extracted experimentally in a more straightforward way, as compared with previous models. The present physical model serves guidance for studying spin detection with advanced performance in the future.

  3. Pharmacy on demand: New technologies to enable miniaturized and mobile drug manufacturing.

    Science.gov (United States)

    Lewin, John J; Choi, Eugene J; Ling, Geoffrey

    2016-01-15

    Developmental pharmaceutical manufacturing systems and techniques designed to overcome the shortcomings of traditional batch processing methods are described. Conventional pharmaceutical manufacturing processes do not adequately address the needs of military and civilian patient populations and healthcare providers. Recent advances within the Defense Advanced Research Projects Agency (DARPA) Battlefield Medicine program suggest that miniaturized, flexible platforms for end-to-end manufacturing of pharmaceuticals are possible. Advances in continuous-flow synthesis, chemistry, biological engineering, and downstream processing, coupled with online analytics, automation, and enhanced process control measures, pave the way for disruptive innovation to improve the pharmaceutical supply chain and drug manufacturing base. These new technologies, along with current and ongoing advances in regulatory science, have the future potential to (1) permit "on demand" drug manufacturing on the battlefield and in other austere environments, (2) enhance the level of preparedness for chemical, biological, radiological, and nuclear threats, (3) enhance health authorities' ability to respond to natural disasters and other catastrophic events, (4) minimize shortages of drugs, (5) address gaps in the orphan drug market, (6) support and enable the continued drive toward precision medicine, and (7) enhance access to needed medications in underserved areas across the globe. Modular platforms under development by DARPA's Battlefield Medicine program may one day improve the safety, efficiency, and timeliness of drug manufacturing. Copyright © 2016 by the American Society of Health-System Pharmacists, Inc. All rights reserved.

  4. New entrants and overcapacity: lessons from regional aircraft manufacturing

    NARCIS (Netherlands)

    Steenhuis, H.J.; de Bruijn, E.J.; Heerkens, Johannes M.G.

    2010-01-01

    The commercial aircraft manufacturing industry has been largely dominated by the advanced economies in North America and Western Europe. During recent decades, several emerging economies have invested heavily in the commercial aircraft industry, notably in regional aircraft manufacturing. This paper

  5. MODULAR RESEARCH EQUIPMENT FOR ON-LINE INSPECTION IN ADVANCED MANUFACTURING SYSTEMS

    Directory of Open Access Journals (Sweden)

    Davrajh, S.

    2012-11-01

    Full Text Available The significance of inspection processes increases when producing parts with high levels of customer input. These processes must adapt to variations in significant product characteristics. Mass customisation and reconfigurable manufacturing are currently being researched as ways to respond to high levels of customer input. This paper presents the research and development of modular inspection equipment that was designed to meet the on-line quality requirements of mass customisation and reconfigurable manufacturing environments. Simulated results were analysed for application in an industrial environment. The implementation of the equipment in South Africa is briefly discussed. The research indicates that manufacturers need only invest in the required equipment configurations when they are needed for on-line inspection.

  6. Self-slowdown and -advancement of fs pulses in a quantum-dot semiconductor optical amplifier

    DEFF Research Database (Denmark)

    Poel, Mike van der; Mørk, Jesper; Hvam, Jørn Märcher

    2005-01-01

    We demonstrate changes in the propagation time of 180 femtosecond pulses in a quantum-dot semiconductor optical amplifier as function of pulse input power and bias current. The results interpreted as a result of pulse reshaping by gain saturation but are also analogous to coherent population osci...

  7. Diffraction based overlay and image based overlay on production flow for advanced technology node

    Science.gov (United States)

    Blancquaert, Yoann; Dezauzier, Christophe

    2013-04-01

    One of the main challenges for lithography step is the overlay control. For the advanced technology node like 28nm and 14nm, the overlay budget becomes very tight. Two overlay techniques compete in our advanced semiconductor manufacturing: the Diffraction based Overlay (DBO) with the YieldStar S200 (ASML) and the Image Based Overlay (IBO) with ARCHER (KLA). In this paper we will compare these two methods through 3 critical production layers: Poly Gate, Contact and first metal layer. We will show the overlay results of the 2 techniques, explore the accuracy and compare the total measurement uncertainty (TMU) for the standard overlay targets of both techniques. We will see also the response and impact for the Image Based Overlay and Diffraction Based Overlay techniques through a process change like an additional Hardmask TEOS layer on the front-end stack. The importance of the target design is approached; we will propose more adapted design for image based targets. Finally we will present embedded targets in the 14 FDSOI with first results.

  8. Manufacturing Demonstration Facility: Low Temperature Materials Synthesis

    International Nuclear Information System (INIS)

    Graham, David E.; Moon, Ji-Won; Armstrong, Beth L.; Datskos, Panos G.; Duty, Chad E.; Gresback, Ryan; Ivanov, Ilia N.; Jacobs, Christopher B.; Jellison, Gerald Earle; Jang, Gyoung Gug; Joshi, Pooran C.; Jung, Hyunsung; Meyer, Harry M.; Phelps, Tommy

    2015-01-01

    The Manufacturing Demonstration Facility (MDF) low temperature materials synthesis project was established to demonstrate a scalable and sustainable process to produce nanoparticles (NPs) for advanced manufacturing. Previous methods to chemically synthesize NPs typically required expensive, high-purity inorganic chemical reagents, organic solvents and high temperatures. These processes were typically applied at small laboratory scales at yields sufficient for NP characterization, but insufficient to support roll-to-roll processing efforts or device fabrication. The new NanoFermentation processes described here operated at a low temperature (~60 C) in low-cost, aqueous media using bacteria that produce extracellular NPs with controlled size and elemental stoichiometry. Up-scaling activities successfully demonstrated high NP yields and quality in a 900-L pilot-scale reactor, establishing this NanoFermentation process as a competitive biomanufacturing strategy to produce NPs for advanced manufacturing of power electronics, solid-state lighting and sensors.

  9. Manufacturing Demonstration Facility: Low Temperature Materials Synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Graham, David E. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Moon, Ji-Won [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Armstrong, Beth L. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Datskos, Panos G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Duty, Chad E. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Gresback, Ryan [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Ivanov, Ilia N. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jacobs, Christopher B. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jellison, Gerald Earle [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jang, Gyoung Gug [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Joshi, Pooran C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jung, Hyunsung [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Meyer, III, Harry M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Phelps, Tommy [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-06-30

    The Manufacturing Demonstration Facility (MDF) low temperature materials synthesis project was established to demonstrate a scalable and sustainable process to produce nanoparticles (NPs) for advanced manufacturing. Previous methods to chemically synthesize NPs typically required expensive, high-purity inorganic chemical reagents, organic solvents and high temperatures. These processes were typically applied at small laboratory scales at yields sufficient for NP characterization, but insufficient to support roll-to-roll processing efforts or device fabrication. The new NanoFermentation processes described here operated at a low temperature (~60 C) in low-cost, aqueous media using bacteria that produce extracellular NPs with controlled size and elemental stoichiometry. Up-scaling activities successfully demonstrated high NP yields and quality in a 900-L pilot-scale reactor, establishing this NanoFermentation process as a competitive biomanufacturing strategy to produce NPs for advanced manufacturing of power electronics, solid-state lighting and sensors.

  10. CT-assisted agile manufacturing

    Science.gov (United States)

    Stanley, James H.; Yancey, Robert N.

    1996-11-01

    The next century will witness at least two great revolutions in the way goods are produced. First, workers will use the medium of virtual reality in all aspects of marketing, research, development, prototyping, manufacturing, sales and service. Second, market forces will drive manufacturing towards small-lot production and just-in-time delivery. Already, we can discern the merging of these megatrends into what some are calling agile manufacturing. Under this new paradigm, parts and processes will be designed and engineered within the mind of a computer, tooled and manufactured by the offspring of today's rapid prototyping equipment, and evaluated for performance and reliability by advanced nondestructive evaluation (NDE) techniques and sophisticated computational models. Computed tomography (CT) is the premier example of an NDE method suitable for future agile manufacturing activities. It is the only modality that provides convenient access to the full suite of engineering data that users will need to avail themselves of computer- aided design, computer-aided manufacturing, and computer- aided engineering capabilities, as well as newly emerging reverse engineering, rapid prototyping and solid freeform fabrication technologies. As such, CT is assured a central, utilitarian role in future industrial operations. An overview of this exciting future for industrial CT is presented.

  11. Charge separation sensitized by advanced II-VI semiconductor nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Kelley, David F. [Univ.of California, Merced, CA (United States)

    2017-04-11

    This proposal focuses on how the composition and morphology of pure and alloyed II-VI semiconductor heterostructures control their spectroscopic and dynamical properties. The proposed research will use a combination of synthesis development, electron microscopy, time-resolved electronic spectroscopy and modeling calculations to study these nanostructures. The proposed research will examine the extent to which morphology, compression due to lattice mismatch and alloy effects can be used to tune the electron and hole energies and the spectroscopic properties of II-VI heterojunctions. It will also use synthesis, optical spectroscopy and HRTEM to examine the role of lattice mismatch and hence lattice strain in producing interfacial defects, and the extent to which defect formation can be prevented by controlling the composition profile through the particles and across the interfaces. Finally, we will study the magnitude of the surface roughness in core/shell nanostructures and the role of shell thickness variability on the inhomogeneity of interfacial charge transfer rates.

  12. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  13. On the Integration of Wide Band-gap Semiconductors in Single Phase Boost PFC Converters

    DEFF Research Database (Denmark)

    Hernandez Botella, Juan Carlos

    Power semiconductor technology has dominated the evolution of switched mode power supplies (SMPS). Advances in silicon (Si) technology, as the introduction of metal oxide field effect transistor (MOSFET), isolated gate bipolar transistors (IGBT), superjunction vertical structures and Schottky...... diodes, or the introduction of silicon carbide (SiC) diodes, provided large steps in miniaturization and efficiency improvement of switched mode power converters. Gallium nitride (GaN) and SiC semiconductor devices have already been around for some years. The first one proliferated due to the necessity...... of high frequency operation in optoelectronics applications. On the other hand, Schottky SiC power diodes were introduced in 2001 as an alternative to eliminate reverse recovery issues in Si rectifiers. Wide band-gap semiconductors offer an increased electrical field strength and electron mobility...

  14. Strain-tuning of the optical properties of semiconductor nanomaterials by integration onto piezoelectric actuators

    Science.gov (United States)

    Martín-Sánchez, Javier; Trotta, Rinaldo; Mariscal, Antonio; Serna, Rosalía; Piredda, Giovanni; Stroj, Sandra; Edlinger, Johannes; Schimpf, Christian; Aberl, Johannes; Lettner, Thomas; Wildmann, Johannes; Huang, Huiying; Yuan, Xueyong; Ziss, Dorian; Stangl, Julian; Rastelli, Armando

    2018-01-01

    The tailoring of the physical properties of semiconductor nanomaterials by strain has been gaining increasing attention over the last years for a wide range of applications such as electronics, optoelectronics and photonics. The ability to introduce deliberate strain fields with controlled magnitude and in a reversible manner is essential for fundamental studies of novel materials and may lead to the realization of advanced multi-functional devices. A prominent approach consists in the integration of active nanomaterials, in thin epitaxial films or embedded within carrier nanomembranes, onto Pb(Mg1/3Nb2/3)O3-PbTiO3-based piezoelectric actuators, which convert electrical signals into mechanical deformation (strain). In this review, we mainly focus on recent advances in strain-tunable properties of self-assembled InAs quantum dots (QDs) embedded in semiconductor nanomembranes and photonic structures. Additionally, recent works on other nanomaterials like rare-earth and metal-ion doped thin films, graphene and MoS2 or WSe2 semiconductor two-dimensional materials are also reviewed. For the sake of completeness, a comprehensive comparison between different procedures employed throughout the literature to fabricate such hybrid piezoelectric-semiconductor devices is presented. It is shown that unprocessed piezoelectric substrates (monolithic actuators) allow to obtain a certain degree of control over the nanomaterials’ emission properties such as their emission energy, fine-structure-splitting in self-assembled InAs QDs and semiconductor 2D materials, upconversion phenomena in BaTiO3 thin films or piezotronic effects in ZnS:Mn films and InAs QDs. Very recently, a novel class of micro-machined piezoelectric actuators have been demonstrated for a full control of in-plane stress fields in nanomembranes, which enables producing energy-tunable sources of polarization-entangled photons in arbitrary QDs. Future research directions and prospects are discussed.

  15. Advances in imaging and electron physics

    CERN Document Server

    Hawkes, Peter W

    1995-01-01

    Academic Press is pleased to announce the creation of Advances in Imaging and Electron Physics. This serial publication results from the merger of two long running serials--Advances in Electronics and Electron Physics and Advances in Optical & Electron Microscopy. Advances in Imaging & Electron Physics will feature extended articles on the physics of electron devices (especially semiconductor devices), particle optics at high and low energies,microlithography, image science and digital image processing, electromagnetic wave propagation, electron microscopy, and the computing methods used in all these domains. Continuation order customers for either of the original Advances will receiveVolume 90, the first combined volume.

  16. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  17. Advanced tendencies in development of photovoltaic cells for power engineering

    Science.gov (United States)

    Strebkov, D. S.

    2015-01-01

    Development of solar power engineering must be based on original innovative Russian and world technologies. It is necessary to develop promising Russian technologies of manufacturing of photovoltaic cells and semiconductor materials: chlorine-free technology for obtaining solar silicon; matrix solar cell technology with an efficiency of 25-30% upon the conversion of concentrated solar, thermal, and laser radiation; encapsulation technology for high-voltage silicon solar modules with a voltage up to 1000 V and a service life up to 50 years; new methods of concentration of solar radiation with the balancing illumination of photovoltaic cells at 50-100-fold concentration; and solar power systems with round-the-clock production of electrical energy that do not require energy storage devices and reserve sources of energy. The advanced tendency in silicon power engineering is the use of high-temperature reactions in heterogeneous modular silicate solutions for long-term (over one year) production of heat and electricity in the autonomous mode.

  18. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  19. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  20. Method of manufacturing a layer thermoelectric battery. Herstellungsverfahren fuer Schichtthermobatterien

    Energy Technology Data Exchange (ETDEWEB)

    Lidorenko, N.S.; Kolomoets, N.V.; Daschevsky, Z.M.; Granovsky, V.I.; Schemtschuschina, E.A.; Chernousov, L.N.; Schmidt, I.A.; Nikolaschina, L.A.; Gelfgat, D.M.; Sgibnev, I.V.

    1980-08-21

    A method of manufacturing a layer thermoelectric battery is described, whereby a film of a thermoelectric semiconductor material which is an n-type stoichiometric solid solution containing Bi2Te3 and Sb2Te3 is deposited on a substrate. Then heating is effected so that adjacent arms of the film are at different temperatures, some at a temperature of not above 300/sup 0/C, and others at a temperature of not less than 350/sup 0/C.

  1. Training for my Life: Lived Experiences of Dislocated Workers in an Advanced Manufacturing Training Program

    Directory of Open Access Journals (Sweden)

    Marquita R. Walker

    2012-07-01

    Full Text Available This qualitative paper explores the lived experiences of one group of workers dislocated because of globalized trade policies who completed a hybrid Advanced Manufacturing Training Program (AMTP by taking advantage of Trade Adjustment Assistance (TAA, a federally-funded program for retraining workers dislocated because of trade policies. The research questions focus on how satisfied these workers are with the services and programs provided by TAA. Focus groups and survey instrument results indicate these workers found TAA services and processes cumbersome and time- consuming and actually had the effect of discouraging their education, training, and self- employment. The consequences of their dislocation as it relates to TAA experiences are increased frustration and dissatisfaction with the TAA program. Serious consideration for TAA policy changes should be deemed of utmost importance.

  2. Telefacturing Based Distributed Manufacturing Environment for Optimal Manufacturing Service by Enhancing the Interoperability in the Hubs

    Directory of Open Access Journals (Sweden)

    V. K. Manupati

    2017-01-01

    Full Text Available Recent happenings are surrounding the manufacturing sector leading to intense progress towards the development of effective distributed collaborative manufacturing environments. This evolving collaborative manufacturing not only focuses on digitalisation of this environment but also necessitates service-dependent manufacturing system that offers an uninterrupted approach to a number of diverse, complicated, dynamic manufacturing operations management systems at a common work place (hub. This research presents a novel telefacturing based distributed manufacturing environment for recommending the manufacturing services based on the user preferences. The first step in this direction is to deploy the most advanced tools and techniques, that is, Ontology-based Protégé 5.0 software for transforming the huge stored knowledge/information into XML schema of Ontology Language (OWL documents and Integration of Process Planning and Scheduling (IPPS for multijobs in a collaborative manufacturing system. Thereafter, we also investigate the possibilities of allocation of skilled workers to the best feasible operations sequence. In this context, a mathematical model is formulated for the considered objectives, that is, minimization of makespan and total training cost of the workers. With an evolutionary algorithm and developed heuristic algorithm, the performance of the proposed manufacturing system has been improved. Finally, to manifest the capability of the proposed approach, an illustrative example from the real-time manufacturing industry is validated for optimal service recommendation.

  3. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  4. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  5. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    Science.gov (United States)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  6. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  7. III-nitride semiconductors and their modern devices

    CERN Document Server

    2013-01-01

    This book is dedicated to GaN and its alloys AlGaInN (III-V nitrides), semiconductors with intrinsic properties well suited for visible and UV light emission and electronic devices working at high temperature, high frequency, and harsh environments. There has been a rapid growth in the industrial activity relating to GaN, with GaN now ranking at the second position (after Si) among all semiconductors. This is mainly thanks to LEDs, but also to the emergence of lasers and high power and high frequency electronics. GaN-related research activities are also diversifying, ranging from advanced optical sources and single electron devices to physical, chemical, and biological sensors, optical detectors, and energy converters. All recent developments of nitrides and of their technology are gathered here in a single volume, with chapters written by world leaders in the field. This third book of the series edited by B. Gil is complementary to the preceding two, and is expected to offer a modern vision of nitrides and...

  8. Assessment of U.S. Manufacturing Capability for Next-Generation Wind Turbine Drivetrains

    Energy Technology Data Exchange (ETDEWEB)

    Cotrell, J. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Stelhy, T. [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2013-09-01

    Robust U.S. wind turbine manufacturing capabilities and supply chains are important for the United States to reduce the cost of electricity generated from wind turbines. These capabilities and supply chains are also critical to the invention and commercialization of new wind turbine technologies while providing high-quality jobs. The development of advanced drivetrain technologies for windturbine applications is advancing the state of the art for drivetrain design by producing higher capacity and operating reliability than conventional drivetrains. Advanced drivetrain technologies such as medium-speed and direct-drive generators, silicon-carbide (SiC) IGBT-based power electronics, and high torque density speed increasers require different manufacturing and supply chaincapabilities that present both risks and opportunities for U.S. wind turbine manufacturers and the wind industry as a whole. The primary objective of this project is to assess how advanced drivetrain technologies and trends will impact U.S. wind turbine manufacturing and its supply chains. The U.S. Department of Energy and other industry participants will use the information from this study toidentify domestic manufacturing gaps, barriers, and opportunities for developing U.S. wind turbine manufacturing capabilities and supply chains for next-generation drivetrain technologies. This report also includes recommendations for prioritizing technology areas for possible investments by public, private, or nonprofit entities that will reduce the cost of wind-generated electricity. Suchinvestments foster opportunities to invent and commercialize new wind turbine technologies, and provide high-quality jobs in the United States.

  9. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  10. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  11. Advances in infrastructure support for flat panel display manufacturing

    Science.gov (United States)

    Bardsley, James N.; Ciesinski, Michael F.; Pinnel, M. Robert

    1997-07-01

    The success of the US display industry, both in providing high-performance displays for the US Department of Defense at reasonable cost and in capturing a significant share of the global civilian market, depends on maintaining technological leadership and on building efficient manufacturing capabilities. The US Display Consortium (USDC) was set up in 1993 by the US Government and private industry to guide the development of the infrastructure needed to support the manufacturing of flat panel displays. This mainly involves the supply of equipment and materials, but also includes the formation of partnerships and the training of a skilled labor force. Examples are given of successful development projects, some involving USDC participation, others through independent efforts of its member companies. These examples show that US-based companies can achieve leadership positions in this young and rapidly growing global market.

  12. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  13. Theory of semiconductor lasers from basis of quantum electronics to analyses of the mode competition phenomena and noise

    CERN Document Server

    Yamada, Minoru

    2014-01-01

    This book provides a unified and complete theory for semiconductor lasers, covering topics ranging from the principles of classical and quantum mechanics to highly advanced levels for readers who need to analyze the complicated operating characteristics generated in the real application of semiconductor lasers.   The author conducts a theoretical analysis especially on the instabilities involved in the operation of semiconductor lasers. A density matrix into the theory for semiconductor lasers is introduced and the formulation of an improved rate equation to help understand the mode competition phenomena which cause the optical external feedback noise is thoroughly described from the basic quantum mechanics. The derivation of the improved rate equation will allow readers to extend the analysis for the different types of semiconductor materials and laser structures they deal with.   This book is intended not only for students and academic researchers but also for engineers who develop lasers for the market, ...

  14. EXPORT CONTROLS: Rapid Advances in China's Semiconductor Industry Underscore Need for Fundamental U.S. Policy Review

    National Research Council Canada - National Science Library

    2002-01-01

    The United States controls the export of certain technology, including some of the equipment and materials used to make semiconductors, to sensitive destinations such as China for national security...

  15. ENABLING SMART MANUFACTURING TECHNOLOGIES FOR DECISION-MAKING SUPPORT

    Science.gov (United States)

    Helu, Moneer; Libes, Don; Lubell, Joshua; Lyons, Kevin; Morris, KC

    2017-01-01

    Smart manufacturing combines advanced manufacturing capabilities and digital technologies throughout the product lifecycle. These technologies can provide decision-making support to manufacturers through improved monitoring, analysis, modeling, and simulation that generate more and better intelligence about manufacturing systems. However, challenges and barriers have impeded the adoption of smart manufacturing technologies. To begin to address this need, this paper defines requirements for data-driven decision making in manufacturing based on a generalized description of decision making. Using these requirements, we then focus on identifying key barriers that prevent the development and use of data-driven decision making in industry as well as examples of technologies and standards that have the potential to overcome these barriers. The goal of this research is to promote a common understanding among the manufacturing community that can enable standardization efforts and innovation needed to continue adoption and use of smart manufacturing technologies. PMID:28649678

  16. A Review of Decisions Support Systems for Manufacturing Systems

    OpenAIRE

    Felsberger, Andreas; Oberegger, Bernhard; Reiner, Gerald

    2017-01-01

    In the field of manufacturing systems automated data acquisition and development of technological innovations like manufacturing execution systems (MES), Enterprise Resource Planning (ERP), Advanced Planning Systems (APS) and new trends in Big Data and Business Intelligence (BI) have given rise to new applications and methods of existing decisionsupport technologies. Today manufacturers need an adaptive system that helps to react and adapt to the constantly changing business environment. The ...

  17. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  18. Advanced Process Chains for Prototyping and Pilot Production based on Additive Manufacturing

    DEFF Research Database (Denmark)

    Mischkot, Michael

    2015-01-01

    For many years, Additive Manufacturing (AM) has been a well-established production technology used mainly for rapid prototyping. But the need for increased flexibility and economic low volume production led to the discovery of Additive Manufacturing as a suitable fabrication technique (Mellor 2013...

  19. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  20. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  1. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  2. EDITORIAL: Non-polar and semipolar nitride semiconductors Non-polar and semipolar nitride semiconductors

    Science.gov (United States)

    Han, Jung; Kneissl, Michael

    2012-02-01

    Throughout the history of group-III-nitride materials and devices, scientific breakthroughs and technological advances have gone hand-in-hand. In the late 1980s and early 1990s, the discovery of the nucleation of smooth (0001) GaN films on c-plane sapphire and the activation of p-dopants in GaN led very quickly to the realization of high-brightness blue and green LEDs, followed by the first demonstration of GaN-based violet laser diodes in the mid 1990s. Today, blue InGaN LEDs boast record external quantum efficiencies exceeding 80% and the emission wavelength of the InGaN-based laser diode has been pushed into the green spectral range. Although these tremenduous advances have already spurred multi-billion dollar industries, there are still a number of scientific questions and technological issues that are unanswered. One key challenge is related to the polar nature of the III-nitride wurtzite crystal. Until a decade ago all research activities had almost exclusively concentrated on (0001)-oriented polar GaN layers and heterostructures. Although the device characteristics seem excellent, the strong polarization fields at GaN heterointerfaces can lead to a significant deterioration of the device performance. Triggered by the first demonstration non-polar GaN quantum wells grown on LiAlO2 by Waltereit and colleagues in 2000, impressive advances in the area of non-polar and semipolar nitride semiconductors and devices have been achieved. Today, a large variety of heterostructures free of polarization fields and exhibiting exceptional electronic and optical properties have been demonstrated, and the fundamental understanding of polar, semipolar and non-polar nitrides has made significant leaps forward. The contributions in this Semiconductor Science and Technology special issue on non-polar and semipolar nitride semiconductors provide an impressive and up-to-date cross-section of all areas of research and device physics in this field. The articles cover a wide range of

  3. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  4. Energy content in manufacturing exports: A cross-country analysis

    International Nuclear Information System (INIS)

    Amador, João

    2012-01-01

    This article compares the energy content in manufacturing exports in a set of 30 advanced and emerging economies and examines its evolution from 1995 to 2005, combining information from the OECD input–output matrices and international trade data in 17 manufacturing sectors. In addition, the article suggests a methodology to disentangle export structure and sectoral energy efficiency effects, presenting results according to technological categories. The article concludes that Brazil, India and, mostly, China, present a high energy content in manufacturing exports, which has increased from 1995 to 2005. Conversely, many advanced economies, notably in Europe and North America, which showed energy contents below the world average in 1995, reinforced their position as exporters with relatively lower energy usage. The contribution of export structure and energy efficiency effects to explain differences in the energy content of exports draws attention to the situation of China. This country increased its relative energy usage in the exports of all technological categories of goods. This effect was reinforced by the stronger export specialization in high-tech products and hindered by a comparatively lower specialization in medium-high-tech products. - Highlights: ► We compare the energy content in manufacturing exports in advanced and emerging economies. ► We suggest a methodology to disentangle export structure and sectoral energy efficiency effects. ► Large emerging economies present high energy content in manufacturing exports. ► China increased its relative energy usage in the exports of all technological categories of goods.

  5. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey.

    Science.gov (United States)

    Chen, Yi Chun; Wu, Ya-Chi; Chie, Wei-Chu

    2006-06-21

    In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  6. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  7. Foundations & principles of distributed manufacturing elements of manufacturing networks, cyber-physical production systems and smart automation

    CERN Document Server

    Kühnle, Hermann

    2015-01-01

    The book presents a coherent description of distributed manufacturing, providing a solid base for further research on the subject as well as smart implementations in companies. It provides a guide for those researching and working in a range of fields, such as smart manufacturing, cloud computing, RFID tracking, distributed automation, cyber physical production and global design anywhere, manufacture anywhere solutions. Foundations & Principles of Distributed Manufacturing anticipates future advances in the fields of embedded systems, the Internet of Things and cyber physical systems, outlining how adopting these innovations could rapidly bring about improvements in key performance indicators, which could in turn generate competition pressure by rendering successful business models obsolete. In laying the groundwork for powerful theoretical models, high standards for the homogeneity and soundness of the suggested setups are applied. The book especially elaborates on the upcoming competition in online manu...

  8. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  9. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  10. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  11. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  12. Field Evaluation of Advances in Energy-Efficiency Practices for Manufactured Homes

    Energy Technology Data Exchange (ETDEWEB)

    Levy, E. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States); Dentz, J. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States); Ansanelli, E. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States); Barker, G. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States); Rath, P. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States); Dadia, D. [Advanced Residential Integrated Energy Solutions (ARIES) Collaborative, New York, NY (United States)

    2016-03-01

    Through field-testing and analysis, this project evaluated whole-building approaches and estimated the relative contributions of select technologies toward reducing energy use related to space conditioning in new manufactured homes. Three lab houses of varying designs were built and tested side-by-side under controlled conditions in Russellville, Alabama. The tests provided a valuable indicator of how changes in the construction of manufactured homes can contribute to significant reductions in energy use.

  13. Semiconductor photocatalysts for water oxidation: current status and challenges.

    Science.gov (United States)

    Yang, Lingling; Zhou, Han; Fan, Tongxiang; Zhang, Di

    2014-04-21

    Artificial photosynthesis is a highly-promising strategy to convert solar energy into hydrogen energy for the relief of the global energy crisis. Water oxidation is the bottleneck for its kinetic and energetic complexity in the further enhancement of the overall efficiency of the artificial photosystem. Developing efficient and cost-effective photocatalysts for water oxidation is a growing desire, and semiconductor photocatalysts have recently attracted more attention due to their stability and simplicity. This article reviews the recent advancement of semiconductor photocatalysts with a focus on the relationship between material optimization and water oxidation efficiency. A brief introduction to artificial photosynthesis and water oxidation is given first, followed by an explanation of the basic rules and mechanisms of semiconductor particulate photocatalysts for water oxidation as theoretical references for discussions of componential, surface structure, and crystal structure modification. O2-evolving photocatalysts in Z-scheme systems are also introduced to demonstrate practical applications of water oxidation photocatalysts in artificial photosystems. The final part proposes some challenges based on the dynamics and energetics of photoholes which are fundamental to the enhancement of water oxidation efficiency, as well as on the simulation of natural water oxidation that will be a trend in future research.

  14. Advances in photovoltaics part 4

    CERN Document Server

    Willeke, Gerhard P

    2015-01-01

    Advances in Photovoltaics: Part Four provides valuable information on the challenges faced during the transformation of our energy supply system to more efficient, renewable energies. The volume discusses the topic from a global perspective, presenting the latest information on photovoltaics, a cornerstone technology. It covers all aspects of this important semiconductor technology, reflecting on the tremendous and dynamic advances that have been made on this topic since 1975, when the first book on solar cells-written by Harold J. Hovel of IBM-was published as volume 11 in the now famous series on Semiconductors and Semimetals. Readers will gain a behind the scenes look at the continuous and rapid scientific development that leads to the necessary price and cost reductions in global industrial mass-production. Written by leading, internationally known experts on his topic Provides an in-depth overview of the current status and perspectives of thin film PV technologies Discusses the challenges faced during th...

  15. Intelligent manufacturing: the challenge for manufacturing strategy in China in the 21st century--what we will do

    Science.gov (United States)

    Yang, Shuzi; Lei, Ming; Guan, Zai-Lin; Xiong, Youlun

    1995-08-01

    This paper first introduces the project of intelligent manufacturing in China and the research state of the IIMRC (Intelligent and Integrated Manufacturing Research Centre) of HUST (Huazhong University of Science and Technology), then reviews the recent advances in object- oriented and distributed artificial intelligence and puts forth the view that these advances open up the prospect of systems that will enable the true integration of enterprises. In an attempt to identify domain requirements and match them with research achievements, the paper examines the current literature and distinguishes 14 features that are common. It argues that effective enterprise-wide support could be greatly facilitated by the existence of intelligent software entities with autonomous processing capabilities, that possess coordination and negotiation facilities and are organized in distributed hierarchical states.

  16. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  17. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  18. The Current State of Additive Manufacturing in Wind Energy Systems

    Energy Technology Data Exchange (ETDEWEB)

    Mann, Margaret [National Renewable Energy Lab. (NREL), Golden, CO (United States); Palmer, Sierra [Worcester Polytechnic Institute (WPI), , Worcester, MA (United States); Lee, Dominic [National Renewable Energy Lab. (NREL), Golden, CO (United States); Kurup, Parthiv [National Renewable Energy Lab. (NREL), Golden, CO (United States); Remo, Timothy [National Renewable Energy Lab. (NREL), Golden, CO (United States); Jenne, Dale Scott [National Renewable Energy Lab. (NREL), Golden, CO (United States); Richardson, Bradley S. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Love, Lonnie J. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Post, Brian K. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-12-01

    Wind power is an inexhaustible form of energy that is being captured throughout the U.S. to power the engine of our economy. A robust, domestic wind industry promises to increase U.S. industry growth and competitiveness, strengthen U.S. energy security independence, and promote domestic manufacturing nationwide. As of 2016, ~82GW of wind capacity had been installed, and wind power now provides more than 5.5% of the nation’s electricity and supports more than 100,000 domestic jobs, including 500 manufacturing facilities in 43 States. To reach the U.S. Department of Energy’s (DOE’s) 2015 Wind Vision study scenario of wind power serving 35% of the nation's end-use demand by 2050, significant advances are necessary in all areas of wind technologies and market. An area that can greatly impact the cost and rate of innovation in wind technologies is the use of advanced manufacturing, with one of the most promising areas being additive manufacturing (AM). Considering the tremendous promise offered by advanced manufacturing, it is the purpose of this report to identify the use of AM in the production and operation of wind energy systems. The report has been produced as a collaborative effort for the DOE Wind Energy Technology Office (WETO), between Oak Ridge National Laboratory (ORNL) and the National Renewable Energy Laboratory (NREL).

  19. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  20. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses