WorldWideScience

Sample records for advanced process development

  1. Development of advanced spent fuel management process. System analysis of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Ro, S.G.; Kang, D.S.; Seo, C.S.; Lee, H.H.; Shin, Y.J.; Park, S.W.

    1999-03-01

    The system analysis of an advanced spent fuel management process to establish a non-proliferation model for the long-term spent fuel management is performed by comparing the several dry processes, such as a salt transport process, a lithium process, the IFR process developed in America, and DDP developed in Russia. In our system analysis, the non-proliferation concept is focused on the separation factor between uranium and plutonium and decontamination factors of products in each process, and the non-proliferation model for the long-term spent fuel management has finally been introduced. (Author). 29 refs., 17 tabs., 12 figs

  2. Cell line development for biomanufacturing processes: recent advances and an outlook.

    Science.gov (United States)

    Le, Huong; Vishwanathan, Nandita; Jacob, Nitya M; Gadgil, Mugdha; Hu, Wei-Shou

    2015-08-01

    At the core of a biomanufacturing process for recombinant proteins is the production cell line. It influences the productivity and product quality. Its characteristics also dictate process development, as the process is optimized to complement the producing cell to achieve the target productivity and quality. Advances in the past decade, from vector design to cell line screening, have greatly expanded our capability to attain producing cell lines with certain desired traits. Increasing availability of genomic and transcriptomic resources for industrially important cell lines coupled with advances in genome editing technology have opened new avenues for cell line development. These developments are poised to help biosimilar manufacturing, which requires targeting pre-defined product quality attributes, e.g., glycoform, to match the innovator's range. This review summarizes recent advances and discusses future possibilities in this area.

  3. Development of Operational Parameters for Advanced Voloxidation Process at KAERI

    International Nuclear Information System (INIS)

    Lee, Jae Won; Park, J. J.; Shin, J. M.; Yun, Y. W.; Park, G. I.; Lee, J. W.

    2010-10-01

    KAERI has been developing a voloxidation process as a head-end process of pyroprocessing technology with INL (Idaho National Laboratory). The work scope of KAERI is to develop the operation parameters for advanced voloxidation process at KAERI using surrogate materials and SIMFUEL. In order to evaluate operation conditions of an advanced voloxidation process, oxidation and vaporization behavior of metals and Cs compounds was investigated in terms of thermal treatment atmosphere and temperature by using thermodynamic data. And also, the oxidation and vaporization behavior of semi-volatile fission products with process pressure and temperature was investigated using surrogate materials. Particle size control for U 3 O 8 powder was investigated using SIMFUEL and a rotary voloxidizer. According to analysis of KAERI works, the operation conditions for advanced voloxiation process may be consisted of the following four steps: 1) oxidation of UO 2 pellet into U 3 O 8 powder at 500 .deg. C in oxidative atmosphere, 2) additional oxidation of noble metal alloy and vaporization of high vapor pressure of fission products at 700 .deg. C in oxidative atmosphere, 3) granulation of U 3 O 8 powder and vaporization of Cs compounds at 1200 .deg. C in an atmosphere of argon, and 4) reduction of UO 2+x granules into UO 2 granules at 1000 .deg. C in an atmosphere of 4%H 2 -Ar. This report will be used as a useful means for determining the operation parameters for advanced voloxidation process

  4. Development of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Ro, Seung Gy; Shin, Y. J.; Do, J. B.; You, G. S.; Seo, J. S.; Lee, H. G.

    1998-03-01

    This study is to develop an advanced spent fuel management process for countries which have not yet decided a back-end nuclear fuel cycle policy. The aims of this process development based on the pyroreduction technology of PWR spent fuels with molten lithium, are to reduce the storage volume by a quarter and to reduce the storage cooling load in half by the preferential removal of highly radioactive decay-heat elements such as Cs-137 and Sr-90 only. From the experimental results which confirm the feasibility of metallization technology, it is concluded that there are no problems in aspects of reaction kinetics and equilibrium. However, the operating performance test of each equipment on an engineering scale still remain and will be conducted in 1999. (author). 21 refs., 45 tabs., 119 figs

  5. Evaluation, engineering and development of advanced cyclone processes

    Energy Technology Data Exchange (ETDEWEB)

    Durney, T.E.; Cook, A. [Coal Technology Corporation, Bristol, VA (United States); Ferris, D.D. [ICF Kaiser Engineers, Inc., Pittsburgh, PA (United States)] [and others

    1995-11-01

    This research and development project is one of three seeking to develop advanced, cost-effective, coal cleaning processes to help industry comply with 1990 Clean Air Act Regulations. The specific goal for this project is to develop a cycloning technology that will beneficiate coal to a level approaching 85% pyritic sulfur rejection while retaining 85% of the parent coal`s heating value. A clean coal ash content of less than 6% and a moisture content, for both clean coal and reject, of less than 30% are targeted. The process under development is a physical, gravimetric-based cleaning system that removes ash bearing mineral matter and pyritic sulfur. Since a large portion of the Nation`s coal reserves contain significant amounts of pyrite, physical beneficiation is viewed as a potential near-term, cost effective means of producing an environmentally acceptable fuel.

  6. Evaluation, engineering and development of advanced cyclone processes

    International Nuclear Information System (INIS)

    Durney, T.E.; Cook, A.; Ferris, D.D.

    1995-01-01

    This research and development project is one of three seeking to develop advanced, cost-effective, coal cleaning processes to help industry comply with 1990 Clean Air Act Regulations. The specific goal for this project is to develop a cycloning technology that will beneficiate coal to a level approaching 85% pyritic sulfur rejection while retaining 85% of the parent coal's heating value. A clean coal ash content of less than 6% and a moisture content, for both clean coal and reject, of less than 30% are targeted. The process under development is a physical, gravimetric-based cleaning system that removes ash bearing mineral matter and pyritic sulfur. Since a large portion of the Nation's coal reserves contain significant amounts of pyrite, physical beneficiation is viewed as a potential near-term, cost effective means of producing an environmentally acceptable fuel

  7. Economics of coal conversion processing. Advances in coal gasification: support research. Advances in coal gasification: process development and analysis

    Energy Technology Data Exchange (ETDEWEB)

    1978-01-01

    The fall meeting of the American Chemical Society, Division of Fuel Chemistry, was held at Miami Beach, Florida, September 10-15, 1978. Papers involved the economics of coal conversion processing and advances in coal gasification, especially support research and process development and analysis. Fourteen papers have been entered individually into EDB and ERA; three papers had been entered previously from other sources. (LTN)

  8. Recent advances in fuel product and manufacturing process development

    International Nuclear Information System (INIS)

    Slember, R.J.; Doshi, P.K.

    1987-01-01

    This paper discusses advancements in commercial nuclear fuel products and manufacturing made by the Westinghouse Electric Corporation in response to the commercial nuclear fuel industry's demand for high reliability, increased plant availability and improved operating flexibility. The features and benefits of Westinghouse's most advanced fuel products--VANTAGE 5 for PWR plants and QUAD+ for BWR plants--are described, as well as 'high performance' fuel concepts now under development for delivery in the late 1980s. The paper also disusses the importance of in-process quality control throughout manufacturing towards reducing product variability and improving fuel reliability. (author)

  9. Development of Advanced Spent Fuel Management Process

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Chung Seok; Choi, I. K.; Kwon, S. G. (and others)

    2007-06-15

    As a part of research efforts to develop an advanced spent fuel management process, this project focused on the electrochemical reduction technology which can replace the original Li reduction technology of ANL, and we have successfully built a 20 kgHM/batch scale demonstration system. The performance tests of the system in the ACPF hot cell showed more than a 99% reduction yield of SIMFUEL, a current density of 100 mA/cm{sup 2} and a current efficiency of 80%. For an optimization of the process, the prevention of a voltage drop in an integrated cathode, a minimization of the anodic effect and an improvement of the hot cell operability by a modulation and simplization of the unit apparatuses were achieved. Basic research using a bench-scale system was also carried out by focusing on a measurement of the electrochemical reduction rate of the surrogates, an elucidation of the reaction mechanism, collecting data on the partition coefficients of the major nuclides, quantitative measurement of mass transfer rates and diffusion coefficients of oxygen and metal ions in molten salts. When compared to the PYROX process of INL, the electrochemical reduction system developed in this project has comparative advantages in its application of a flexible reaction mechanism, relatively short reaction times and increased process yields.

  10. Development of Advanced Spent Fuel Management Process

    International Nuclear Information System (INIS)

    Seo, Chung Seok; Choi, I. K.; Kwon, S. G.

    2007-06-01

    As a part of research efforts to develop an advanced spent fuel management process, this project focused on the electrochemical reduction technology which can replace the original Li reduction technology of ANL, and we have successfully built a 20 kgHM/batch scale demonstration system. The performance tests of the system in the ACPF hot cell showed more than a 99% reduction yield of SIMFUEL, a current density of 100 mA/cm 2 and a current efficiency of 80%. For an optimization of the process, the prevention of a voltage drop in an integrated cathode, a minimization of the anodic effect and an improvement of the hot cell operability by a modulation and simplization of the unit apparatuses were achieved. Basic research using a bench-scale system was also carried out by focusing on a measurement of the electrochemical reduction rate of the surrogates, an elucidation of the reaction mechanism, collecting data on the partition coefficients of the major nuclides, quantitative measurement of mass transfer rates and diffusion coefficients of oxygen and metal ions in molten salts. When compared to the PYROX process of INL, the electrochemical reduction system developed in this project has comparative advantages in its application of a flexible reaction mechanism, relatively short reaction times and increased process yields

  11. Development of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Park, Seong Won; Shin, Y. J.; Cho, S. H.

    2004-03-01

    The research on spent fuel management focuses on the maximization of the disposal efficiency by a volume reduction, the improvement of the environmental friendliness by the partitioning and transmutation of the long lived nuclides, and the recycling of the spent fuel for an efficient utilization of the uranium source. In the second phase which started in 2001, the performance test of the advanced spent fuel management process consisting of voloxidation, reduction of spent fuel and the lithium recovery process has been completed successfully on a laboratory scale. The world-premier spent fuel reduction hot test of a 5 kgHM/batch has been performed successfully by joint research with Russia and the valuable data on the actinides and FPs material balance and the characteristics of the metal product were obtained with experience to help design an engineering scale reduction system. The electrolytic reduction technology which integrates uranium oxide reduction in a molten LiCl-Li 2 O system and Li 2 O electrolysis is developed and a unique reaction system is also devised. Design data such as the treatment capacity, current density and mass transfer behavior obtained from the performance test of a 5 kgU/batch electrolytic reduction system pave the way for the third phase of the hot cell demonstration of the advanced spent fuel management technology

  12. Development and application of a probabilistic evaluation method for advanced process technologies

    Energy Technology Data Exchange (ETDEWEB)

    Frey, H.C.; Rubin, E.S.

    1991-04-01

    The objective of this work is to develop and apply a method for research planning for advanced process technologies. To satisfy requirements for research planning, it is necessary to: (1) identify robust solutions to process design questions in the face of uncertainty to eliminate inferior design options; (2) identify key problem areas in a technology that should be the focus of further research to reduce the risk of technology failure; (3) compare competing technologies on a consistent basis to determine the risks associated with adopting a new technology; and (4) evaluate the effects that additional research might have on comparisons with conventional technology. An important class of process technologies are electric power plants. In particular, advanced clean coal technologies are expected to play a key role in the energy and environmental future of the US, as well as in other countries. Research planning for advanced clean coal technology development is an important part of energy and environmental policy. Thus, the research planning method developed here is applied to case studies focusing on a specific clean coal technology. The purpose of the case studies is both to demonstrate the research planning method and to obtain technology-specific conclusions regarding research strategies.

  13. Developing maintenance technologies for FBR's heat exchanger units by advanced laser processing

    International Nuclear Information System (INIS)

    Nishimura, Akihiko; Shimada, Yukihiro

    2011-01-01

    Laser processing technologies were developed for the purpose of maintenance of FBR's heat exchanger units. Ultrashort laser processing fabricated fiber Bragg grating sensor for seismic monitoring. Fiber laser welding with a newly developed robot system repair cracks on inner wall of heat exchanger tubes. Safety operation of the heat exchanger units will be improved by the advanced laser processing technologies. These technologies are expected to be applied to the maintenance for the next generation FBRs. (author)

  14. High-power ultrasonic processing: Recent developments and prospective advances

    Science.gov (United States)

    Gallego-Juarez, Juan A.

    2010-01-01

    Although the application of ultrasonic energy to produce or to enhance a wide variety of processes have been explored since about the middle of the 20th century, only a reduced number of ultrasonic processes have been established at industrial level. However, during the last ten years the interest in ultrasonic processing has revived particularly in industrial sectors where the ultrasonic technology may represent a clean and efficient tool to improve classical existing processes or an innovation alternative for the development of new processes. Such seems to be the case of relevant sectors such as food industry, environment, pharmaceuticals and chemicals manufacture, machinery, mining, etc where power ultrasound is becoming an emerging technology for process development. The possible major problem in the application of high-intensity ultrasound on industrial processing is the design and development of efficient power ultrasonic systems (generators and reactors) capable of large scale successful operation specifically adapted to each individual process. In the area of ultrasonic processing in fluid media and more specifically in gases, the development of the steppedplate transducers and other power ge with extensive radiating surface has strongly contributed to the implementation at semi-industrial and industrial stage of several commercial applications, in sectors such as food and beverage industry (defoaming, drying, extraction, etc), environment (air cleaning, sludge filtration, etc...), machinery and process for manufacturing (textile washing, paint manufacture, etc). The development of different cavitational reactors for liquid treatment in continuous flow is helping to introduce into industry the wide potential of the area of sonochemistry. Processes such as water and effluent treatment, crystallization, soil remediation, etc have been already implemented at semi-industrial and/or industrial stage. Other single advances in sectors like mining or energy have

  15. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  16. AN ADVANCED OXIDATION PROCESS : FENTON PROCESS

    Directory of Open Access Journals (Sweden)

    Engin GÜRTEKİN

    2008-03-01

    Full Text Available Biological wastewater treatment is not effective treatment method if raw wastewater contains toxic and refractory organics. Advanced oxidation processes are applied before or after biological treatment for the detoxification and reclamation of this kind of wastewaters. The advanced oxidation processes are based on the formation of powerful hydroxyl radicals. Among advanced oxidation processes Fenton process is one of the most promising methods. Because application of Fenton process is simple and cost effective and also reaction occurs in a short time period. Fenton process is applied for many different proposes. In this study, Fenton process was evaluated as an advanced oxidation process in wastewater treatment.

  17. Development and application of a probabilistic evaluation method for advanced process technologies. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Frey, H.C.; Rubin, E.S.

    1991-04-01

    The objective of this work is to develop and apply a method for research planning for advanced process technologies. To satisfy requirements for research planning, it is necessary to: (1) identify robust solutions to process design questions in the face of uncertainty to eliminate inferior design options; (2) identify key problem areas in a technology that should be the focus of further research to reduce the risk of technology failure; (3) compare competing technologies on a consistent basis to determine the risks associated with adopting a new technology; and (4) evaluate the effects that additional research might have on comparisons with conventional technology. An important class of process technologies are electric power plants. In particular, advanced clean coal technologies are expected to play a key role in the energy and environmental future of the US, as well as in other countries. Research planning for advanced clean coal technology development is an important part of energy and environmental policy. Thus, the research planning method developed here is applied to case studies focusing on a specific clean coal technology. The purpose of the case studies is both to demonstrate the research planning method and to obtain technology-specific conclusions regarding research strategies.

  18. Development of an advanced continuous mild gasification process for the production of coproducts

    Energy Technology Data Exchange (ETDEWEB)

    Merriam, N.W.; Jha, M.C.

    1991-11-01

    This report is a final brief summary of development of a mild-gasification and char conversion process. Morgantown Energy Technology Center developed a concept called mild gasification. In this concept, devolatilization of coal under nonoxidizing and relatively mild temperature and pressure conditions can yield three marketable products: (1) a high-heating-value gas, (2) a high-aromatic coal liquid, and (3) a high-carbon char. The objective of this program is to develop an advanced, continuous, mild-gasification process to produce products that will make the concept economically and environmentally viable. (VC)

  19. Project T.E.A.M. (Technical Education Advancement Modules). Advanced Statistical Process Control.

    Science.gov (United States)

    Dunlap, Dale

    This instructional guide, one of a series developed by the Technical Education Advancement Modules (TEAM) project, is a 20-hour advanced statistical process control (SPC) and quality improvement course designed to develop the following competencies: (1) understanding quality systems; (2) knowing the process; (3) solving quality problems; and (4)…

  20. Advanced information processing system for advanced launch system: Avionics architecture synthesis

    Science.gov (United States)

    Lala, Jaynarayan H.; Harper, Richard E.; Jaskowiak, Kenneth R.; Rosch, Gene; Alger, Linda S.; Schor, Andrei L.

    1991-01-01

    The Advanced Information Processing System (AIPS) is a fault-tolerant distributed computer system architecture that was developed to meet the real time computational needs of advanced aerospace vehicles. One such vehicle is the Advanced Launch System (ALS) being developed jointly by NASA and the Department of Defense to launch heavy payloads into low earth orbit at one tenth the cost (per pound of payload) of the current launch vehicles. An avionics architecture that utilizes the AIPS hardware and software building blocks was synthesized for ALS. The AIPS for ALS architecture synthesis process starting with the ALS mission requirements and ending with an analysis of the candidate ALS avionics architecture is described.

  1. The development of technical database of advanced spent fuel management process

    Energy Technology Data Exchange (ETDEWEB)

    Ro, Seung Gy; Byeon, Kee Hoh; Song, Dae Yong; Park, Seong Won; Shin, Young Jun

    1999-03-01

    The purpose of this study is to develop the technical database system to provide useful information to researchers who study on the back end nuclear fuel cycle. Technical database of advanced spent fuel management process was developed for a prototype system in 1997. In 1998, this database system is improved into multi-user systems and appended special database which is composed of thermochemical formation data and reaction data. In this report, the detailed specification of our system design is described and the operating methods are illustrated as a user's manual. Also, expanding current system, or interfacing between this system and other system, this report is very useful as a reference. (Author). 10 refs., 18 tabs., 46 fig.

  2. The development of technical database of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Ro, Seung Gy; Byeon, Kee Hoh; Song, Dae Yong; Park, Seong Won; Shin, Young Jun

    1999-03-01

    The purpose of this study is to develop the technical database system to provide useful information to researchers who study on the back end nuclear fuel cycle. Technical database of advanced spent fuel management process was developed for a prototype system in 1997. In 1998, this database system is improved into multi-user systems and appended special database which is composed of thermochemical formation data and reaction data. In this report, the detailed specification of our system design is described and the operating methods are illustrated as a user's manual. Also, expanding current system, or interfacing between this system and other system, this report is very useful as a reference. (Author). 10 refs., 18 tabs., 46 fig

  3. Development of an advanced continuous mild gasification process for the production of coproducts. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Merriam, N.W.; Jha, M.C.

    1991-11-01

    This report is a final brief summary of development of a mild-gasification and char conversion process. Morgantown Energy Technology Center developed a concept called mild gasification. In this concept, devolatilization of coal under nonoxidizing and relatively mild temperature and pressure conditions can yield three marketable products: (1) a high-heating-value gas, (2) a high-aromatic coal liquid, and (3) a high-carbon char. The objective of this program is to develop an advanced, continuous, mild-gasification process to produce products that will make the concept economically and environmentally viable. (VC)

  4. Advanced Polymer Processing Facility

    Energy Technology Data Exchange (ETDEWEB)

    Muenchausen, Ross E. [Los Alamos National Laboratory

    2012-07-25

    Some conclusions of this presentation are: (1) Radiation-assisted nanotechnology applications will continue to grow; (2) The APPF will provide a unique focus for radiolytic processing of nanomaterials in support of DOE-DP, other DOE and advanced manufacturing initiatives; (3) {gamma}, X-ray, e-beam and ion beam processing will increasingly be applied for 'green' manufacturing of nanomaterials and nanocomposites; and (4) Biomedical science and engineering may ultimately be the biggest application area for radiation-assisted nanotechnology development.

  5. Prospects for the development of advanced reactors. [Advanced Reactors

    Energy Technology Data Exchange (ETDEWEB)

    Semenov, B. A.; Kupitz, J.; Cleveland, J. [International Atomic Energy Agency Vienna (Austria). Dept. of Nuclear Energy and Safety

    1992-01-01

    Energy supply is an important prerequisite for further socio-economic development, especially in developing countries where the per capita energy use is only a very small fraction of that in industrialized countries. Nuclear energy is an essentially unlimited energy resource with the potential to provide this energy in the form of electricity, district heat and process heat under environmentally acceptable conditions. However, this potential will be realized only if nuclear power plants can meet the challenges of increasingly demanding safety requirements, economic competitiveness and public acceptance. Worldwide a tremendous amount of experience has been accumulated during development, licensing, construction and operation of nuclear power reactors. The experience forms a sound basis for further improvements. Nuclear programmes in many countries are addressing the development of advanced reactors which are intended to have better economics, higher reliability and improved safety in order to overcome the current concerns of nuclear power. Advanced reactors now being developed could help to meet the demand for new plants in developed and developing countries, not only for electricity generation, but also for district heating, desalination and for process heat. The IAEA, as the only global international governmental organization dealing with nuclear power, promotes international information exchange and international co-operation between all countries with their own advanced nuclear power programmes and offers assistance to countries with an interest in exploratory or research programmes.

  6. Development of advanced ceramics at AECL

    International Nuclear Information System (INIS)

    Palmer, B.J.F.; MacEwen, S.R.; Sawicka, B.D.; Hayward, P.J.; Sridhar, S.

    1986-12-01

    Atomic Energy of Canada Limited (AECL) has a long history of developing ceramics for nuclear fission and fusion applications. AECL is now applying its multidisciplinary materials R and D capabilities, including unique capabilities in ceramic processing and nondestructive evaluation, to develop advanced ceramic materials for commercial and industrial applications. This report provides an overview of the facilities and programs associated with the development of advanced ceramics at AECL

  7. Advanced uranium enrichment processes

    International Nuclear Information System (INIS)

    Clerc, M.; Plurien, P.

    1986-01-01

    Three advanced Uranium enrichment processes are dealt with in the report: AVLIS (Atomic Vapour LASER Isotope Separation), MLIS (Molecular LASER Isotope Separation) and PSP (Plasma Separation Process). The description of the physical and technical features of the processes constitutes a major part of the report. If further presents comparisons with existing industrially used enrichment technologies, gives information on actual development programmes and budgets and ends with a chapter on perspectives and conclusions. An extensive bibliography of the relevant open literature is added to the different subjects discussed. The report was drawn up by the nuclear research Centre (CEA) Saclay on behalf of the Commission of the European Communities

  8. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETRIEVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL; MENDOZA RE

    2010-08-11

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  9. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETREIVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL

    2010-07-07

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  10. Development of advanced coatings for laser modifications through process and materials simulation

    International Nuclear Information System (INIS)

    Martukanitz, R.P.; Babu, S.S.

    2004-01-01

    A simulation-based system is currently being constructed to aid in the development of advanced coating systems for laser cladding and surface alloying. The system employs loosely coupled material and process models that allow rapid determination of material compatibility over a wide range of processing conditions. The primary emphasis is on the development and identification of composite coatings for improved wear and corrosion resistance. The material model utilizes computational thermodynamics and kinetic analysis to establish phase stability and extent of diffusional reactions that may result from the thermal response of the material during virtual processing. The process model is used to develop accurate thermal histories associated with the laser surface modification process and provides critical input for the non-isothermal materials simulations. These techniques were utilized to design a laser surface modification experiment that utilized the addition of stainless steel alloy 431 and TiC produced using argon and argon and nitrogen shielding. The deposits representing alloy 431 and TiC powder produced in argon resulted in microstructures retaining some TiC particles and an increase in hardness when compared to deposits produced using only the 431 powder. Laser deposits representing alloy 431 and TiC powder produced with a mixture of argon and nitrogen shielding gas resulted in microstructures retaining some TiC particles, as well as fine precipitates of Ti(CN) formed during cooling and a further increase in hardness of the deposit

  11. Experimental research subject and renovation of chemical processing facility (CPF) for advanced fast reactor fuel reprocessing technology development

    International Nuclear Information System (INIS)

    Koyama, Tomozo; Shinozaki, Tadahiro; Nomura, Kazunori; Koma, Yoshikazu; Miyachi, Shigehiko; Ichige, Yoshiaki; Kobayashi, Tsuguyuki; Nemoto, Shin-ichi

    2002-01-01

    In order to enhance economical efficiency, environmental impact and nuclear nonproliferation resistance, the Advanced Reprocessing Technology, such as simplification and optimization of process, and applicability evaluation of the innovative technology that was not adopted up to now, has been developed for the reprocessing of the irradiated fuel taken out from a fast reactor. Renovation of the hot cell interior equipments, establishment and updating of glove boxes, installation of various analytical equipments, etc. in the Chemical Processing Facility (CPF) was done to utilize the CPF more positivity which is the center of the experimental field, where actual fuel can be used, for research and development towards establishment of the Advanced Reprocessing Technology development. The hot trials using the irradiated fuel pins of the experimental fast reactor 'JOYO' for studies on improved aqueous reprocessing technology, MA separation technology, dry process technology, etc. are scheduled to be carried out with these new equipments. (author)

  12. Development of Advanced Ceramic Manufacturing Technology

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.K.

    2001-04-05

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration. A high-power diesel engine valve for the DDC Series 149 engine was chosen as the demonstration part for this program. This was determined to be an ideal component type to demonstrate cost-effective process enhancements, the beneficial impact of advanced ceramics on transportation systems, and near-term commercialization potential. The baseline valve material was NAC's NT451 SiAION. It was replaced, later in the program, by an alternate silicon nitride composition (NT551), which utilized a lower cost raw material and a simplified powder-processing approach. The material specifications were defined based on DDC's engine requirements, and the initial and final component design tasks were completed.

  13. Advances in the Process Development of Biocatalytic Processes

    DEFF Research Database (Denmark)

    Tufvesson, Pär; Lima Ramos, Joana; Al-Haque, Naweed

    2013-01-01

    Biocatalysis is already established in chemical synthesis on an industrial scale, in particular in the pharmaceutical sector. However, the wider implementation of biocatalysis is currently hindered by the extensive effort required to develop a competitive process. In order that resources spent...

  14. Development of Advanced Ceramic Manufacturing Technology; FINAL

    International Nuclear Information System (INIS)

    Pujari, V.K.

    2001-01-01

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. I n order to achieve these objectives, NAC, a leading U.S. advanced ceramics component manufacturer, assembled a multidisciplinary, vertically integrated team. This team included: a major diesel engine builder, Detroit Diesel Corporation (DDC); a corporate ceramics research division, SGIC's Northboro R and D Center; intelligent processing system developers, BDM Federal/MATSYS; a furnace equipment company, Centorr/Vacuum Industries; a sintering expert, Wittmer Consultants; a production OEM, Deco-Grand; a wheel manufacturer and grinding operation developer, Norton Company's Higgins Grinding Technology Center (HGTC); a ceramic machine shop, Chand Kare Technical Ceramics; and a manufacturing cost consultant, IBIS Associates. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration

  15. Advances in natural language processing.

    Science.gov (United States)

    Hirschberg, Julia; Manning, Christopher D

    2015-07-17

    Natural language processing employs computational techniques for the purpose of learning, understanding, and producing human language content. Early computational approaches to language research focused on automating the analysis of the linguistic structure of language and developing basic technologies such as machine translation, speech recognition, and speech synthesis. Today's researchers refine and make use of such tools in real-world applications, creating spoken dialogue systems and speech-to-speech translation engines, mining social media for information about health or finance, and identifying sentiment and emotion toward products and services. We describe successes and challenges in this rapidly advancing area. Copyright © 2015, American Association for the Advancement of Science.

  16. Development of the advanced coolside sorbent injection process for SO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Withum, J.A.; Maskew, J.T.; Rosenhoover, W.A. [Consol, Inc., Library, PA (United States)] [and others

    1995-11-01

    The goal of this work was to develop a low-capital-cost process capable of over 90% SO{sub 2} removal as an economically attractive option for compliance with the Clean Air Act. The Advanced Coolside Process uses a contactor to simultaneously remove fly ash and saturate the flue gas with water, followed by sorbent injection into the highly humid flue gas and collection of the sorbent by the existing particulate collector High sorbent utilization is achieved by sorbent recycle. The original performance targets of 90% SO{sub 2} removal and 60% sorbent utilization were exceeded in 1000 acfm pilot plant operations using commercial hydrated lime as the only sorbent. Process optimization simplified the process equipment, resulting in significant cost reduction. Recent accomplishments include completion of equipment testing and sorbent optimization, a waste management study, and a long-term performance test. An economic evaluation for the optimized process projects capital costs 55% to 60 % less than those of limestone forced oxidation wet FGD. The projected levelized control cost is 15% to 35% lower than wet FGD (25% lower for a 260 MWe plant burning a 2.5% sulfur coal), depending on plant size and coal sulfur content.

  17. Advances in Packaging Methods, Processes and Systems

    Directory of Open Access Journals (Sweden)

    Nitaigour Premchand Mahalik

    2014-10-01

    Full Text Available The food processing and packaging industry is becoming a multi-trillion dollar global business. The reason is that the recent increase in incomes in traditionally less economically developed countries has led to a rise in standards of living that includes a significantly higher consumption of packaged foods. As a result, food safety guidelines have been more stringent than ever. At the same time, the number of research and educational institutions—that is, the number of potential researchers and stakeholders—has increased in the recent past. This paper reviews recent developments in food processing and packaging (FPP, keeping in view the aforementioned advancements and bearing in mind that FPP is an interdisciplinary area in that materials, safety, systems, regulation, and supply chains play vital roles. In particular, the review covers processing and packaging principles, standards, interfaces, techniques, methods, and state-of-the-art technologies that are currently in use or in development. Recent advances such as smart packaging, non-destructive inspection methods, printing techniques, application of robotics and machineries, automation architecture, software systems and interfaces are reviewed.

  18. Advanced parallel processing with supercomputer architectures

    International Nuclear Information System (INIS)

    Hwang, K.

    1987-01-01

    This paper investigates advanced parallel processing techniques and innovative hardware/software architectures that can be applied to boost the performance of supercomputers. Critical issues on architectural choices, parallel languages, compiling techniques, resource management, concurrency control, programming environment, parallel algorithms, and performance enhancement methods are examined and the best answers are presented. The authors cover advanced processing techniques suitable for supercomputers, high-end mainframes, minisupers, and array processors. The coverage emphasizes vectorization, multitasking, multiprocessing, and distributed computing. In order to achieve these operation modes, parallel languages, smart compilers, synchronization mechanisms, load balancing methods, mapping parallel algorithms, operating system functions, application library, and multidiscipline interactions are investigated to ensure high performance. At the end, they assess the potentials of optical and neural technologies for developing future supercomputers

  19. Beam processing of advanced materials

    International Nuclear Information System (INIS)

    Singh, J.; Copley, S.M.

    1993-01-01

    International Conference on Beam Processing of Advanced Materials was held at the Fall TMS/ASM Materials Week at Chicago, Illinois, November 2--5, 1992. The symposium was devoted to the recent advances in processing of materials by an energy source such as laser, electron, ion beams, etc. The symposium served as a forum on the science of beam-induced materials processing and implications of this science to practical implementation. An increased emphasis on obtaining an understanding of the fundamental mechanisms of beam-induced surface processes was a major trend observed at this years symposium. This has resulted in the increased use of advanced diagnostic techniques and modeling studies to determine the rate controlling steps in these processes. Individual papers have been processed separately for inclusion in the appropriate data bases

  20. Development of the advanced CANDU technology -Development of CANDU advanced fuel fabrication technology-

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Chang Bum; Park, Choon Hoh; Park, Chul Joo; Kwon, Woo Joo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1995-07-01

    This project is carrying out jointly with AECL to develop CANFLEX fuel which can enhance reactor safety, fuel economy and can be used with various fuel cycles (natural U, slightly enriched U, other advanced fuel). The final goal of this research is to load the CANFLEX fuel in commercial CANDU reactor for demonstration irradiation. The annual portion of research activities performed during this year are followings ; The detail design of CANFLEX-NU fuel was determined. Based on this design, various fabrication drawings and process specifications were revised. The seventeen CANFLEX-NU fuel bundles for reactivity test in ZED-2 and out-pile test, two CANFLEX-SEU fuel bundles for demo-irradiation in NRU were fabricated. Advanced tack welding machine was designed and sequence control software of automatic assembly welder was developed. The basic researches related to fabrication processes, such as weld evaluation by ECT, effect of additives in UO{sub 2}, thermal stabilities of Zr based metallic glasses, were curried out. 51 figs, 22 tabs, 42 refs. (Author).

  1. Development of advanced membrane process for treatment of radioactive liquid wastes

    International Nuclear Information System (INIS)

    Lee, Kune Woo; Choi, W. K.; Lee, J. W.; Jung, G. H.

    2002-01-01

    The followings were studied through the project entitled 'Development of advanced membrane process for treatment of radioactive liquid wastes'. 1. Surface modification technique of microfiltration membrane. Microporous hydrophobic polypropylene(PP) membrane were modified by radiation-induced grafting using hydrophilic monomers such as arylic acid(AAc), 2-hydroxyethyl methacrylate(HEMA) and styrenesulfonic acid(SSS). The effect of grafting conditions was investigated. Also, copolymeric condition of AAc and EGDMA for nylon membrane was studied. The structure of grafted PP membrane was examined by using FTIR-ATR spectroscopy, SEM and contact angle. The grafted membrane was characterized by measureing the water flux, the ion exchange capacity or the binding capacity of the metal ions. A study on the permeation behavior of simulated waste water containing oil emulsion and characterization of membrane fouling was carried out in the crossflow membrane filtration process using capillary type PP microfiltration membrane modified by radiation induced grafting of HEMA. The effects of various operating parameters were investigated. 2. Electrofiltration Technology. In this section, the process conditions for fouling prevention of membrane by evaluating the effects of operational parameters such as external electric field strength, crossflow velocity, transmembrane pressure, etc. on the permeate flux in electrofiltration were established and the process applicability for oil emulsion wastes containing surfactant using parallel plate type electrofiltration module was evaluated

  2. Development of advanced membrane process for treatment of radioactive liquid wastes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kune Woo; Choi, W. K.; Lee, J. W.; Jung, G. H

    2002-01-01

    The followings were studied through the project entitled 'Development of advanced membrane process for treatment of radioactive liquid wastes'. 1. Surface modification technique of microfiltration membrane. Microporous hydrophobic polypropylene(PP) membrane were modified by radiation-induced grafting using hydrophilic monomers such as arylic acid(AAc), 2-hydroxyethyl methacrylate(HEMA) and styrenesulfonic acid(SSS). The effect of grafting conditions was investigated. Also, copolymeric condition of AAc and EGDMA for nylon membrane was studied. The structure of grafted PP membrane was examined by using FTIR-ATR spectroscopy, SEM and contact angle. The grafted membrane was characterized by measureing the water flux, the ion exchange capacity or the binding capacity of the metal ions. A study on the permeation behavior of simulated waste water containing oil emulsion and characterization of membrane fouling was carried out in the crossflow membrane filtration process using capillary type PP microfiltration membrane modified by radiation induced grafting of HEMA. The effects of various operating parameters were investigated. 2. Electrofiltration Technology. In this section, the process conditions for fouling prevention of membrane by evaluating the effects of operational parameters such as external electric field strength, crossflow velocity, transmembrane pressure, etc. on the permeate flux in electrofiltration were established and the process applicability for oil emulsion wastes containing surfactant using parallel plate type electrofiltration module was evaluated.

  3. Advanced microwave processing concepts

    Energy Technology Data Exchange (ETDEWEB)

    Lauf, R.J.; McMillan, A.D.; Paulauskas, F.L. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    The purpose of this work is to explore the feasibility of several advanced microwave processing concepts to develop new energy-efficient materials and processes. The project includes two tasks: (1) commercialization of the variable-frequency microwave furnace; and (2) microwave curing of polymer composites. The variable frequency microwave furnace, whose initial conception and design was funded by the AIC Materials Program, will allow us, for the first time, to conduct microwave processing studies over a wide frequency range. This novel design uses a high-power traveling wave tube (TWT) originally developed for electronic warfare. By using this microwave source, one can not only select individual microwave frequencies for particular experiments, but also achieve uniform power densities over a large area by the superposition of many different frequencies. Microwave curing of thermoset resins will be studied because it hold the potential of in-situ curing of continuous-fiber composites for strong, lightweight components. Microwave heating can shorten curing times, provided issues of scaleup, uniformity, and thermal management can be adequately addressed.

  4. Advanced digital signal processing and noise reduction

    CERN Document Server

    Vaseghi, Saeed V

    2008-01-01

    Digital signal processing plays a central role in the development of modern communication and information processing systems. The theory and application of signal processing is concerned with the identification, modelling and utilisation of patterns and structures in a signal process. The observation signals are often distorted, incomplete and noisy and therefore noise reduction, the removal of channel distortion, and replacement of lost samples are important parts of a signal processing system. The fourth edition of Advanced Digital Signal Processing and Noise Reduction updates an

  5. Control system design specification of advanced spent fuel management process units

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, S. H.; Kim, S. H.; Yoon, J. S

    2003-06-01

    In this study, the design specifications of instrumentation and control system for advanced spent fuel management process units are presented. The advanced spent fuel management process consists of several process units such as slitting device, dry pulverizing/mixing device, metallizer, etc. In this study, the control and operation characteristics of the advanced spent fuel management mockup process devices and the process devices developed in 2001 and 2002 are analysed. Also, a integral processing system of the unit process control signals is proposed, which the operation efficiency is improved. And a redundant PLC control system is constructed which the reliability is improved. A control scheme is proposed for the time delayed systems compensating the control performance degradation caused by time delay. The control system design specification is presented for the advanced spent fuel management process units. This design specifications can be effectively used for the detail design of the advanced spent fuel management process.

  6. Sustaining high energy efficiency in existing processes with advanced process integration technology

    International Nuclear Information System (INIS)

    Zhang, Nan; Smith, Robin; Bulatov, Igor; Klemeš, Jiří Jaromír

    2013-01-01

    Highlights: ► Process integration with better modelling and more advanced solution methods. ► Operational changes for better environmental performance through optimisation. ► Identification of process integration technology for operational optimisation. ► Systematic implementation procedure of process integration technology. ► A case study with crude oil distillation to demonstrate the operational flexibility. -- Abstract: To reduce emissions in the process industry, much emphasis has been put on making step changes in emission reduction, by developing new process technology and making renewable energy more affordable. However, the energy saving potential of existing systems cannot be simply ignored. In recent years, there have been significant advances in process integration technology with better modelling techniques and more advanced solution methods. These methods have been applied to the new design and retrofit studies in the process industry. Here attempts are made to apply these technologies to improve the environmental performance of existing facilities with operational changes. An industrial project was carried out to demonstrate the importance and effectiveness of exploiting the operational flexibility for energy conservation. By applying advanced optimisation technique to integrate the operation of distillation and heat recovery in a crude oil distillation unit, the energy consumption was reduced by 8% without capital expenditure. It shows that with correctly identified technology and the proper execution procedure, significant energy savings and emission reduction can be achieved very quickly without major capital expenditure. This allows the industry to improve its economic and environment performance at the same time.

  7. The Development of Advanced Processing and Analysis Algorithms for Improved Neutron Multiplicity Measurements

    International Nuclear Information System (INIS)

    Santi, P.; Favalli, A.; Hauck, D.; Henzl, V.; Henzlova, D.; Ianakiev, K.; Iliev, M.; Swinhoe, M.; Croft, S.; Worrall, L.

    2015-01-01

    One of the most distinctive and informative signatures of special nuclear materials is the emission of correlated neutrons from either spontaneous or induced fission. Because the emission of correlated neutrons is a unique and unmistakable signature of nuclear materials, the ability to effectively detect, process, and analyze these emissions will continue to play a vital role in the non-proliferation, safeguards, and security missions. While currently deployed neutron measurement techniques based on 3He proportional counter technology, such as neutron coincidence and multiplicity counters currently used by the International Atomic Energy Agency, have proven to be effective over the past several decades for a wide range of measurement needs, a number of technical and practical limitations exist in continuing to apply this technique to future measurement needs. In many cases, those limitations exist within the algorithms that are used to process and analyze the detected signals from these counters that were initially developed approximately 20 years ago based on the technology and computing power that was available at that time. Over the past three years, an effort has been undertaken to address the general shortcomings in these algorithms by developing new algorithms that are based on fundamental physics principles that should lead to the development of more sensitive neutron non-destructive assay instrumentation. Through this effort, a number of advancements have been made in correcting incoming data for electronic dead time, connecting the two main types of analysis techniques used to quantify the data (Shift register analysis and Feynman variance to mean analysis), and in the underlying physical model, known as the point model, that is used to interpret the data in terms of the characteristic properties of the item being measured. The current status of the testing and evaluation of these advancements in correlated neutron analysis techniques will be discussed

  8. Advanced Signal Processing for Wireless Multimedia Communications

    Directory of Open Access Journals (Sweden)

    Xiaodong Wang

    2000-01-01

    Full Text Available There is at present a worldwide effort to develop next-generation wireless communication systems. It is envisioned that many of the future wireless systems will incorporate considerable signal-processing intelligence in order to provide advanced services such as multimedia transmission. In general, wireless channels can be very hostile media through which to communicate, due to substantial physical impediments, primarily radio-frequency interference and time-arying nature of the channel. The need of providing universal wireless access at high data-rate (which is the aim of many merging wireless applications presents a major technical challenge, and meeting this challenge necessitates the development of advanced signal processing techniques for multiple-access communications in non-stationary interference-rich environments. In this paper, we present some key advanced signal processing methodologies that have been developed in recent years for interference suppression in wireless networks. We will focus primarily on the problem of jointly suppressing multiple-access interference (MAI and intersymbol interference (ISI, which are the limiting sources of interference for the high data-rate wireless systems being proposed for many emerging application areas, such as wireless multimedia. We first present a signal subspace approach to blind joint suppression of MAI and ISI. We then discuss a powerful iterative technique for joint interference suppression and decoding, so-called Turbo multiuser detection, that is especially useful for wireless multimedia packet communications. We also discuss space-time processing methods that employ multiple antennas for interference rejection and signal enhancement. Finally, we touch briefly on the problems of suppressing narrowband interference and impulsive ambient noise, two other sources of radio-frequency interference present in wireless multimedia networks.

  9. Recent advances in the development of a cobalt dicarbollide based solvent extraction process for the separation of Cs and Sr from spent fuel

    International Nuclear Information System (INIS)

    Law, Jack D.; Todd, Terry A.; Peterman, D.R.; Herbst, R.S.; Tillotson, R.D.

    2004-01-01

    As part of the Advanced Fuel Cycle Initiative (AFCI), a chlorinated cobalt dicarbollide (CCD)/polyethylene glycol (PEG) based solvent extraction process is being developed for the separation of Cs and Sr from leached spent light water reactor (LWR) fuel. The separation of Cs and Sr would significantly reduce the short-term heat generation of spent nuclear fuel requiring geological disposal. Recent advances in the development of a CCD/PEG process will be presented. The data presented will include acid dependency data, results of batch contact testing using simulant feeds traced with 137 Cs, 90 Sr and 241 Am as well as results of testing to evaluate extractant composition. The impacts of other separation process in an advanced aqueous separation flow sheet on the effectiveness of the CCD/PEG process will be detailed. (authors)

  10. Development of an advanced, continuous mild gasification process for the production of co-products (Task 1), Volume 1

    Energy Technology Data Exchange (ETDEWEB)

    Knight, R.A.; Gissy, J.L.; Onischak, M.; Babu, S.P.; Carty, R.H. (Institute of Gas Technology, Chicago, IL (United States)); Duthie, R.G. (Bechtel Group, Inc., San Francisco, CA (United States)); Wootten, J.M. (Peabody Holding Co., Inc., St. Louis, MO (United States))

    1991-09-01

    Under US DOE sponsorship, a project team consisting of the Institute of Gas Technology, Peabody Holding Company, and Bechtel Group, Inc. has been developing an advanced, mild gasification process to process all types of coal and to produce solid and condensable liquid co-products that can open new markets for coal. The three and a half year program (September 1987 to June 1991) consisted of investigations in four main areas. These areas are: (1) Literature Survey of Mild Gasification Processes, Co-Product Upgrading and Utilization, and Market Assessment; (2) Mild Gasification Technology Development: Process Research Unit Tests Using Slipstream Sampling; (3) Bench-Scale Char Upgrading Study; (4) Mild Gasification Technology Development: System Integration Studies. In this report, the literature and market assessment of mild gasification processes are discussed.

  11. Development of advanced spent fuel management process. The fabrication and oxidation behavior of simulated metallized spent fuel

    Energy Technology Data Exchange (ETDEWEB)

    Ro, Seung Gy; Shin, Y.J.; You, G.S.; Joo, J.S.; Min, D.K.; Chun, Y.B.; Lee, E.P.; Seo, H.S.; Ahn, S.B

    1999-03-01

    The simulated metallized spent fuel ingots were fabricated and evaluated the oxidation rates and the activation energies under several temperature conditions to develop an advanced spent fuel management process. It was also checked the alloying characteristics of the some elements with metal uranium. (Author). 3 refs., 1 tab., 36 figs.

  12. H Scan/AHP advanced technology proposal evaluation process

    Energy Technology Data Exchange (ETDEWEB)

    Mack, S. [Energetics, Inc., Columbia, MD (United States); Valladares, M.R.S. de [National Renewable Energy Lab., Washington, DC (United States)

    1996-10-01

    It is anticipated that a family of high value/impact projects will be funded by the Hydrogen Program to field test hydrogen technologies that are at advanced stages of development. These projects will add substantial value to the Program in several ways, by: demonstrating successful integration of multiple advanced technologies, providing critical insight on issues of larger scale equipment design, construction and operations management, yielding cost and performance data for competitive analysis, refining and deploying enhanced safety measures. These projects will be selected through a competitive proposal evaluation process. Because of the significant scope and funding levels of projects at these development phases, Program management has indicated the need for an augmented proposal evaluation strategy to ensure that supported projects are implemented by capable investigative teams and that their successful completion will optimally advance programmatic objectives. These objectives comprise a complex set of both quantitative and qualitative factors, many of which can only be estimated using expert judgment and opinion. To meet the above need, the National Renewable Energy Laboratory (NREL) and Energetics Inc. have jointly developed a proposal evaluation methodology called H Scan/AHP. The H Scan component of the process was developed by NREL. It is a two-part survey instrument that substantially augments the type and scope of information collected in a traditional proposal package. The AHP (Analytic Hierarchy Process) component was developed by Energetics. The AHP is an established decision support methodology that allows the Program decision makers to evaluate proposals relatively based on a unique set of weighted criteria that they have determined.

  13. [Nursing process in advanced cardiopulmonary resuscitation].

    Science.gov (United States)

    Lucio Peña, Gerardo; Fuentes Leonardo, Ana María

    2002-01-01

    The process male nurse is a systematic and organized method to offer effective and efficient cares guided to the achievement of solving real problems of health, reducing the incidence and the duration. It is organized and systematic for that consists of five sequential and interrelated steps: Valuation, diagnostic, planning, execution and evaluation, in which are carried out interrelated actions, thought to maximize the long term results. The nurse process is based on the notion that the success of the cares is measured by the degree of effectiveness and the degree of satisfaction and the patient's progress. Applying this method in the Advanced Cardiac Live Support (ACLS) the identification of a cardiovascular or cardiopulmonary urgency was achieved that implies advanced treatment of the air road, defibrillation and appropriate medications to the circumstances. The ACLS challenges the nurses in charge from the patient's attention to make decisions quick low pressure and in dramatic scenes. Reason why it develops the flowing process male nurse in the advanced cardiopulmonary reanimation due to the incidence of these events in the National Institute of Cardiology Ignacio Chávez, which should guarantee the benefit of services in basic and advanced cardiopulmonary reanimation for personal with a high formation level in all the units of intensive cares and services of hospitalization in integrated form and stratified this way to avoid that it progresses to situations that cause the death or leave irreversible sequels since in the central nervous system the time it is a factor critical for the treatment of this events.

  14. Advanced High-Level Waste Glass Research and Development Plan

    Energy Technology Data Exchange (ETDEWEB)

    Peeler, David K. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Vienna, John D. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Schweiger, Michael J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Fox, Kevin M. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2015-07-01

    The U.S. Department of Energy Office of River Protection (ORP) has implemented an integrated program to increase the loading of Hanford tank wastes in glass while meeting melter lifetime expectancies and process, regulatory, and product quality requirements. The integrated ORP program is focused on providing a technical, science-based foundation from which key decisions can be made regarding the successful operation of the Hanford Tank Waste Treatment and Immobilization Plant (WTP) facilities. The fundamental data stemming from this program will support development of advanced glass formulations, key process control models, and tactical processing strategies to ensure safe and successful operations for both the low-activity waste (LAW) and high-level waste (HLW) vitrification facilities with an appreciation toward reducing overall mission life. The purpose of this advanced HLW glass research and development plan is to identify the near-, mid-, and longer-term research and development activities required to develop and validate advanced HLW glasses and their associated models to support facility operations at WTP, including both direct feed and full pretreatment flowsheets. This plan also integrates technical support of facility operations and waste qualification activities to show the interdependence of these activities with the advanced waste glass (AWG) program to support the full WTP mission. Figure ES-1 shows these key ORP programmatic activities and their interfaces with both WTP facility operations and qualification needs. The plan is a living document that will be updated to reflect key advancements and mission strategy changes. The research outlined here is motivated by the potential for substantial economic benefits (e.g., significant increases in waste throughput and reductions in glass volumes) that will be realized when advancements in glass formulation continue and models supporting facility operations are implemented. Developing and applying advanced

  15. Bench-scale Development of an Advanced Solid Sorbent-based CO2 Capture Process for Coal-fired Power Plants

    Energy Technology Data Exchange (ETDEWEB)

    Nelson, Thomas [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Kataria, Atish [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Soukri, Mustapha [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Farmer, Justin [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Mobley, Paul [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Tanthana, Jak [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Wang, Dongxiang [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Wang, Xiaoxing [Research Triangle Institute (RTI), Research Triangle Park, NC (United States); Song, Chunshan [Research Triangle Institute (RTI), Research Triangle Park, NC (United States)

    2015-12-31

    It is increasingly clear that CO2 capture and sequestration (CCS) must play a critical role in curbing worldwide CO2 emissions to the atmosphere. Development of these technologies to cost-effectively remove CO2 from coal-fired power plants is very important to mitigating the impact these power plants have within the world’s power generation portfolio. Currently, conventional CO2 capture technologies, such as aqueous-monoethanolamine based solvent systems, are prohibitively expensive and if implemented could result in a 75 to 100% increase in the cost of electricity for consumers worldwide. Solid sorbent CO2 capture processes – such as RTI’s Advanced Solid Sorbent CO2, Capture Process – are promising alternatives to conventional, liquid solvents. Supported amine sorbents – of the nature RTI has developed – are particularly attractive due to their high CO2 loadings, low heat capacities, reduced corrosivity/volatility and the potential to reduce the regeneration energy needed to carry out CO2 capture. Previous work in this area has failed to adequately address various technology challenges such as sorbent stability and regenerability, sorbent scale-up, improved physical strength and attrition-resistance, proper heat management and temperature control, proper solids handling and circulation control, as well as the proper coupling of process engineering advancements that are tailored for a promising sorbent technology. The remaining challenges for these sorbent processes have provided the framework for the project team’s research and development and target for advancing the technology beyond lab- and bench-scale testing. Under a cooperative agreement with the US Department of Energy, and part of NETL’s CO2 Capture Program, RTI has led an effort to address and mitigate the challenges associated with solid sorbent CO2 capture. The overall objective

  16. Technology readiness levels for advanced nuclear fuels and materials development

    Energy Technology Data Exchange (ETDEWEB)

    Carmack, W.J., E-mail: jon.carmack@inl.gov [Idaho National Laboratory, Idaho Falls, ID (United States); Braase, L.A.; Wigeland, R.A. [Idaho National Laboratory, Idaho Falls, ID (United States); Todosow, M. [Brookhaven National Laboratory, Upton, NY (United States)

    2017-03-15

    Highlights: • Definition of nuclear fuels system technology readiness level. • Identification of evaluation criteria for nuclear fuel system TRLs. • Application of TRLs to fuel systems. - Abstract: The Technology Readiness process quantitatively assesses the maturity of a given technology. The National Aeronautics and Space Administration (NASA) pioneered the process in the 1980s to inform the development and deployment of new systems for space applications. The process was subsequently adopted by the Department of Defense (DoD) to develop and deploy new technology and systems for defense applications. It was also adopted by the Department of Energy (DOE) to evaluate the maturity of new technologies in major construction projects. Advanced nuclear fuels and materials development is needed to improve the performance and safety of current and advanced reactors, and ultimately close the nuclear fuel cycle. Because deployment of new nuclear fuel forms requires a lengthy and expensive research, development, and demonstration program, applying the assessment process to advanced fuel development is useful as a management, communication, and tracking tool. This article provides definition of technology readiness levels (TRLs) for nuclear fuel technology as well as selected examples regarding the methods by which TRLs are currently used to assess the maturity of nuclear fuels and materials under development in the DOE Fuel Cycle Research and Development (FCRD) Program within the Advanced Fuels Campaign (AFC).

  17. Development of nuclear fuel. Development of CANDU advanced fuel bundle

    International Nuclear Information System (INIS)

    Suk, Ho Chun; Hwang, Woan; Jeong, Young Hwan; Jung, Sung Hoon

    1991-07-01

    In order to develop CANDU advanced fuel, the agreement of the joint research between KAERI and AECL was made on February 19, 1991. AECL conceptual design of CANFLEX bundle for Bruce reactors was analyzed and then the reference design and design drawing of the advanced fuel bundle with natural uranium fuel for CANDU-6 reactor were completed. The CANFLEX fuel cladding was preliminarily investigated. The fabricability of the advanced fuel bundle was investigated. The design and purchase of the machinery tools for the bundle fabrication for hydraulic scoping tests were performed. As a result of CANFLEX tube examination, the tubes were found to be meet the criteria proposed in the technical specification. The dummy bundles for hydraulic scoping tests have been fabricated by using the process and tools, where the process parameters and tools have been newly established. (Author)

  18. Redesign of the Advanced Education processes in the United States Coast Guard

    OpenAIRE

    Johnson, Lamar V.; Sanders, Marc F.

    1999-01-01

    The processes used in the operation of the Coast Guard Advanced Education Program have evolved as most business processes that were developed prior to the introduction of information technology. These processes include the selection, management, assignment and tracking of advanced education students. These processes are still fully dependent on physical files and the mail system. The Coast Guard has an information technology infrastructure that supports better processes, however it is not bei...

  19. Evaluation report on research and development of an ultra-advanced processing system. Sections 1 and 2; Chosentan kako system no kenkyu kaihatsu ni kansuru hyoka hokokusho. 1 and 2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-08-01

    The ultra-advanced processing system is intended to develop an ultra-advanced processing device technology and an ultra-advanced processing technology. These technologies are indispensable to create such new processing technologies as ultra-precision and ultra-micro processing, and ultra high-grade surface modification processing, which have been impossible by the processing technologies having been available to date. It is also intended to develop a measuring and evaluating technology to support the above technologies. The intended system makes it possible to produce 21st century electronic components and ultra-high grade environment resistant materials. In order to achieve these goals, research and development has been performed on the excited beam technologies such as large output excimer laser and high-density ion beams, the ultra-precision machining device technology, the ultra-advanced processing technology using the above technologies, and the measuring and evaluating technology to assure the reliability of these technologies as the fundamental technologies. This project has begun in fiscal 1986, where fiscal 1987 has achieved development of component technologies related to the large output excimer laser and high-density ion beams, the ultra-precision machining device technology, the ultra-advanced processing technology using the above technologies, and the measuring and evaluating technology, and completed the device technology, having achieved the final target. (NEDO)

  20. Advanced reactor development

    International Nuclear Information System (INIS)

    Till, C.E.

    1989-01-01

    Consideration is given to what the aims of advanced reactor development have to be, if a new generation of nuclear power is really to play an important role in man's energy generation activities in a fragile environment. The background given briefly covers present atmospheric evidence, the current situation in nuclear power, how reactors work and what can go wrong with them, and the present magnitudes of world energy generation. The central part of the paper describes what is currently being done in advanced reactor development and what can be expected from various systems and various elements of it. A vigorous case is made that three elements must be present in any advanced reactor development: (1) breeding; (2) passive safety; and (3) shorter-live nuclear waste. All three are possible. In the right advanced reactor systems the ways of achieving them are known. But R and D is necessary. That is the central argument made in the paper. Not advanced reactor prototype construction at this point, but R and D itself. (author)

  1. Advanced computational modelling for drying processes – A review

    International Nuclear Information System (INIS)

    Defraeye, Thijs

    2014-01-01

    Highlights: • Understanding the product dehydration process is a key aspect in drying technology. • Advanced modelling thereof plays an increasingly important role for developing next-generation drying technology. • Dehydration modelling should be more energy-oriented. • An integrated “nexus” modelling approach is needed to produce more energy-smart products. • Multi-objective process optimisation requires development of more complete multiphysics models. - Abstract: Drying is one of the most complex and energy-consuming chemical unit operations. R and D efforts in drying technology have skyrocketed in the past decades, as new drivers emerged in this industry next to procuring prime product quality and high throughput, namely reduction of energy consumption and carbon footprint as well as improving food safety and security. Solutions are sought in optimising existing technologies or developing new ones which increase energy and resource efficiency, use renewable energy, recuperate waste heat and reduce product loss, thus also the embodied energy therein. Novel tools are required to push such technological innovations and their subsequent implementation. Particularly computer-aided drying process engineering has a large potential to develop next-generation drying technology, including more energy-smart and environmentally-friendly products and dryers systems. This review paper deals with rapidly emerging advanced computational methods for modelling dehydration of porous materials, particularly for foods. Drying is approached as a combined multiphysics, multiscale and multiphase problem. These advanced methods include computational fluid dynamics, several multiphysics modelling methods (e.g. conjugate modelling), multiscale modelling and modelling of material properties and the associated propagation of material property variability. Apart from the current challenges for each of these, future perspectives should be directed towards material property

  2. Advanced information processing system

    Science.gov (United States)

    Lala, J. H.

    1984-01-01

    Design and performance details of the advanced information processing system (AIPS) for fault and damage tolerant data processing on aircraft and spacecraft are presented. AIPS comprises several computers distributed throughout the vehicle and linked by a damage tolerant data bus. Most I/O functions are available to all the computers, which run in a TDMA mode. Each computer performs separate specific tasks in normal operation and assumes other tasks in degraded modes. Redundant software assures that all fault monitoring, logging and reporting are automated, together with control functions. Redundant duplex links and damage-spread limitation provide the fault tolerance. Details of an advanced design of a laboratory-scale proof-of-concept system are described, including functional operations.

  3. Advanced materials processing

    International Nuclear Information System (INIS)

    Giamei, A.F.

    1993-01-01

    Advanced materials will require improved processing methods due to high melting points, low toughness or ductility values, high reactivity with air or ceramics and typically complex crystal structures with significant anisotropy in flow and/or fracture stress. Materials for structural applications at elevated temperature in critical systems will require processing with a high degree of control. This requires an improved understanding of the relationship between process variables and microstructure to enable control systems to achieve consistently high quality. One avenue to the required level of understanding is computer simulation. Past attempts to do process modeling have been hampered by incomplete data regarding thermophysical or mechanical material behavior. Some of the required data can be calculated. Due to the advances in software and hardware, accuracy and costs are in the realm of acquiring experimental data. Such calculations can, for example, be done at an atomic level to compute lattice energy, fault energies, density of states and charge densities. These can lead to fundamental information about the competition between slip and fracture, anisotropy of bond strength (and therefore cleavage strength), cohesive strength, adhesive strength, elastic modulus, thermal expansion and possibly other quantities which are difficult (and therefore expensive to measure). Some of these quantities can be fed into a process model. It is probable that temperature dependencies can be derived numerically as well. Examples are given of the beginnings of such an approach for Ni 3 Al and MoSi 2 . Solidification problems are examples of the state-of-the-art process modeling and adequately demonstrate the need for extensive input data. Such processes can be monitored in terms of interfacial position vs. time, cooling rate and thermal gradient

  4. Advanced Process Control Application and Optimization in Industrial Facilities

    Directory of Open Access Journals (Sweden)

    Howes S.

    2015-01-01

    Full Text Available This paper describes application of the new method and tool for system identification and PID tuning/advanced process control (APC optimization using the new 3G (geometric, gradient, gravity optimization method. It helps to design and implement control schemes directly inside the distributed control system (DCS or programmable logic controller (PLC. Also, the algorithm helps to identify process dynamics in closed-loop mode, optimizes controller parameters, and helps to develop adaptive control and model-based control (MBC. Application of the new 3G algorithm for designing and implementing APC schemes is presented. Optimization of primary and advanced control schemes stabilizes the process and allows the plant to run closer to process, equipment and economic constraints. This increases production rates, minimizes operating costs and improves product quality.

  5. Advanced hybrid process with solvent extraction and pyro-chemical process of spent fuel reprocessing for LWR to FBR

    International Nuclear Information System (INIS)

    Fujita, Reiko; Mizuguchi, Koji; Fuse, Kouki; Saso, Michitaka; Utsunomiya, Kazuhiro; Arie, Kazuo

    2008-01-01

    Toshiba has been proposing a new fuel cycle concept of a transition from LWR to FBR. The new fuel cycle concept has better economical process of the LWR spent fuel reprocessing than the present Purex Process and the proliferation resistance for FBR cycle of plutonium with minor actinides after 2040. Toshiba has been developing a new Advanced Hybrid Process with Solvent Extraction and Pyrochemical process of spent fuel reprocessing for LWR to FBR. The Advanced Hybrid Process combines the solvent extraction process of the LWR spent fuel in nitric acid with the recovery of high pure uranium for LWR fuel and the pyro-chemical process in molten salts of impure plutonium recovery with minor actinides for metallic FBR fuel, which is the FBR spent fuel recycle system after FBR age based on the electrorefining process in molten salts since 1988. The new Advanced Hybrid Process enables the decrease of the high-level waste and the secondary waste from the spent fuel reprocessing plants. The R and D costs in the new Advanced Hybrid Process might be reduced because of the mutual Pyro-chemical process in molten salts. This paper describes the new fuel cycle concept of a transition from LWR to FBR and the feasibility of the new Advanced Hybrid Process by fundamental experiments. (author)

  6. Evolutionary developments of advanced PWR nuclear fuels and cladding materials

    International Nuclear Information System (INIS)

    Kim, Kyu-Tae

    2013-01-01

    Highlights: • PWR fuel and cladding materials development processes are provided. • Evolution of PWR advanced fuel in U.S.A. and in Korea is described. • Cutting-edge design features against grid-to-rod fretting and debris are explained. • High performance data of advanced grids, debris filters and claddings are given. -- Abstract: The evolutionary developments of advanced PWR fuels and cladding materials are explained with outstanding design features of nuclear fuel assembly components and zirconium-base cladding materials. The advanced PWR fuel and cladding materials development processes are also provided along with verification tests, which can be used as guidelines for newcomers planning to develop an advanced fuel for the first time. The up-to-date advanced fuels with the advanced cladding materials may provide a high level of economic utilization and reliable performance even under current and upcoming aggressive operating conditions. To be specific, nuclear fuel vendors may achieve high fuel burnup capability of between 45,000 and 65,000 MWD/MTU batch average, overpower thermal margin of as much as 15% and longer cycle length up to 24 months on the one hand and fuel failure rates of around 10 −6 on the other hand. However, there is still a need for better understanding of grid-to-rod fretting wear mechanisms leading to major PWR fuel defects in the world and subsequently a driving force for developing innovative spacer grid designs with zero fretting wear-induced fuel failure

  7. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    Energy Technology Data Exchange (ETDEWEB)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories` operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment.

  8. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    International Nuclear Information System (INIS)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories' operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment

  9. Titanium alloys. Advances in alloys, processes, products and applications

    International Nuclear Information System (INIS)

    Blenkinsop, P.A.

    1993-01-01

    The last few years have been a period of consolidation of existing alloys and processes. While the aerospace industry remains the principal driving force for alloy development, the paper illustrates examples of new markets being established in 'older' alloys, by a combination of product/process development and a re-examination of engineering design parameters. Considerable attention is still being directed towards the titanium aluminide systems, but other more conventional alloy developments are underway aimed at specific engineering and process requirements, both in the aerospace and non-aerospace sectors. Both the advanced high temperature and conventional alloy developments are considered, before the paper goes on to assess the potential of new processes and products, like spray-forming, metal matrix composites and shaped-plate rolling. (orig.)

  10. The advanced software development workstation project

    Science.gov (United States)

    Fridge, Ernest M., III; Pitman, Charles L.

    1991-01-01

    The Advanced Software Development Workstation (ASDW) task is researching and developing the technologies required to support Computer Aided Software Engineering (CASE) with the emphasis on those advanced methods, tools, and processes that will be of benefit to support all NASA programs. Immediate goals are to provide research and prototype tools that will increase productivity, in the near term, in projects such as the Software Support Environment (SSE), the Space Station Control Center (SSCC), and the Flight Analysis and Design System (FADS) which will be used to support the Space Shuttle and Space Station Freedom. Goals also include providing technology for development, evolution, maintenance, and operations. The technologies under research and development in the ASDW project are targeted to provide productivity enhancements during the software life cycle phase of enterprise and information system modeling, requirements generation and analysis, system design and coding, and system use and maintenance. On-line user's guides will assist users in operating the developed information system with knowledge base expert assistance.

  11. Development of an advanced, continuous mild gasification process for the production of co-products (Task 1), Volume 1. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Knight, R.A.; Gissy, J.L.; Onischak, M.; Babu, S.P.; Carty, R.H. [Institute of Gas Technology, Chicago, IL (United States); Duthie, R.G. [Bechtel Group, Inc., San Francisco, CA (United States); Wootten, J.M. [Peabody Holding Co., Inc., St. Louis, MO (United States)

    1991-09-01

    Under US DOE sponsorship, a project team consisting of the Institute of Gas Technology, Peabody Holding Company, and Bechtel Group, Inc. has been developing an advanced, mild gasification process to process all types of coal and to produce solid and condensable liquid co-products that can open new markets for coal. The three and a half year program (September 1987 to June 1991) consisted of investigations in four main areas. These areas are: (1) Literature Survey of Mild Gasification Processes, Co-Product Upgrading and Utilization, and Market Assessment; (2) Mild Gasification Technology Development: Process Research Unit Tests Using Slipstream Sampling; (3) Bench-Scale Char Upgrading Study; (4) Mild Gasification Technology Development: System Integration Studies. In this report, the literature and market assessment of mild gasification processes are discussed.

  12. Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Tethers Unlimited, Inc. (TUI) proposes to develop the Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, a robotically managed metal press and...

  13. Development of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Shin, Young Joon; Cho, S. H.; You, G. S.

    2001-04-01

    Currently, the economic advantage of any known approach to the back end fuel cycle of a nuclear power reactor has not been well established. Thus the long term storage of the spent fuel in a safe manner is one of the important issues to be resolved in countries where the nuclear power has a relatively heavy weight in power production of that country. At KAERI, as a solution to this particular issue midterm storage of the spent fuel, an alternative approach has been developed. This approach includes the decladding and pulverization process of the spent PWR fuel rod, the reducing process from the uranium oxide to a metallic uranium powder using Li metal in a LiCl salt, the continuous casting process of the reduced metal, and the recovery process of Li from mixed salts by the electrolysis. We conducted the laboratory scale tests of each processes for the technical feasibility and determination for the operational conditions for this approach. Also, we performed the theoretical safety analysis and conducted integral tests for the equipment integration through the Mock-up facility with non-radioactive samples. There were no major issues in the approach, however, material incompatibility of the alkaline metal and oxide in a salt at a high temperature and the reactor that contains the salt became a show stopper of the process. Also the difficulty of the clear separation of the salt with metals reduced from the oxide became a major issue

  14. Evaluation report on research and development of an ultra-advanced processing system. 3; Chosentan kako system no kenkyu kaihatsu ni kansuru hyoka hokokusho. 3

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-08-01

    This paper describes comprehensive evaluation on the following technologies as the ultra-advanced processing system: (1) large output excimer laser, (2) high-density ion beam, (3) an ultra-precision machining device, (4) ultra-advanced processing, (5) measurement and evaluation, and (6) comprehensive test. In the item (1), research and development was performed on such technologies as output increasing, output stabilization and beam formation, having achieved the targets. In the item (2), research and development was performed on such technologies as beam convergence, plasma control, ion transportation, high-frequency quadruple pole acceleration, and large-capacity class ion beam, having achieved the targets. In the item (3), research and development was performed on form creation and ultra-precision machining, having achieved the targets. In the item (4), research and development was performed on formation of high function thin films to apply the laser abrasion process to large area, ion surface modification, wide band area optics, high reflectance optics, ion injection, dynamic mixing, and modification of ultra high-grade metal surface, having achieved the targets. In the item (5), research and development was performed on high-accuracy roughness measurement, shape measurement, optical property evaluation, a wavelength meter, ultra advanced processing standard measurement, and environment correction technology, having achieved the targets. In the item (6), comprehensive demonstration was carried out on the component technologies. (NEDO)

  15. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  16. Technology Summary Advancing Tank Waste Retrieval And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.; Mendoza, R.E.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. This technology overview provides a high-level summary of technologies being investigated, developed, and deployed by WRPS to advance Hanford Site tank waste retrieval and processing. Transformational technologies are needed to complete Hanford tank waste retrieval and treatment by 12/31/2047. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated because it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans, and methods. WRPS and the DOE are developing, testing, and deploying technologies to meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them. DOE's Office of Environmental Management (EM) identifies the environmental management technology needs and the activities necessary to address them. The U.S. Congress then funds these activities through EM or the DOE field offices. Finally, an array of entities that include DOE site prime contractors and

  17. Development of demonstration facility design technology for advanced nuclear fuel cycle process

    International Nuclear Information System (INIS)

    Cho, Il Je; You, G. S.; Choung, W. M.; Lee, E. P.; Hong, D. H.; Lee, W. K.; Ku, J. H.; Moon, S. I.; Kwon, K. C.; Lee, K. I. and other

    2012-04-01

    PRIDE Facility, pyroprocess mock-up facility, is the first facility that is operated in inert atmosphere in the country. By using the facility, the functional requirements and validity of pyroprocess technology and facility related to the advanced fuel cycle can be verified with a low cost. Then, PRIDE will contribute to evaluate the technology viability, proliferation resistance and possibility of commercialization of the pyroprocess technology. It is essential to develop design technologies for the advanced nuclear fuel cycle demonstration facilities and complete the detailed design of PRIDE facility with capabilities of the stringent inert atmosphere control, fully remote operation which are necessary to develop the high-temperature molten salts technology. For these, it is necessary to design the essential equipment of large scale inert cell structure and the control system to maintain the inert atmosphere, and evaluate the safety. To construct the hot cell system which is appropriate for pyroprocess, some design technologies should be developed, which include safety evaluation for effective operation and maintenance, radiation safety analysis for hot cell, structural analysis, environmental evaluation, HVAC systems and electric equipment

  18. Metrology aspects of SIMS depth profiling for advanced ULSI processes

    International Nuclear Information System (INIS)

    Budrevich, Andre; Hunter, Jerry

    1998-01-01

    As the semiconductor industry roadmap passes through the 0.1 μm technology node, the junction depth of the transistor source/drain extension will be required to be less than 20 nm and the well doping will be near 1.0 μm in depth. The development of advanced ULSI processing techniques requires the evolution of new metrology tools to ensure process capability. High sensitivity (ppb) coupled with excellent depth resolution (1 nm) makes SIMS the technique of choice for measuring the in-depth chemical distribution of these dopants with high precision and accuracy. This paper will discuss the issues, which impact the accuracy and precision of SIMS measurements of ion implants (both shallow and deep). First this paper will discuss common uses of the SIMS technique in the technology development and manufacturing of advanced ULSI processes. In the second part of this paper the ability of SIMS to make high precision measurements of ion implant depth profiles will be studied

  19. Electrochemical advanced oxidation processes: today and tomorrow. A review.

    Science.gov (United States)

    Sirés, Ignasi; Brillas, Enric; Oturan, Mehmet A; Rodrigo, Manuel A; Panizza, Marco

    2014-01-01

    In recent years, new advanced oxidation processes based on the electrochemical technology, the so-called electrochemical advanced oxidation processes (EAOPs), have been developed for the prevention and remediation of environmental pollution, especially focusing on water streams. These methods are based on the electrochemical generation of a very powerful oxidizing agent, such as the hydroxyl radical ((•)OH) in solution, which is then able to destroy organics up to their mineralization. EAOPs include heterogeneous processes like anodic oxidation and photoelectrocatalysis methods, in which (•)OH are generated at the anode surface either electrochemically or photochemically, and homogeneous processes like electro-Fenton, photoelectro-Fenton, and sonoelectrolysis, in which (•)OH are produced in the bulk solution. This paper presents a general overview of the application of EAOPs on the removal of aqueous organic pollutants, first reviewing the most recent works and then looking to the future. A global perspective on the fundamentals and experimental setups is offered, and laboratory-scale and pilot-scale experiments are examined and discussed.

  20. Advanced Technology Composite Fuselage - Materials and Processes

    Science.gov (United States)

    Scholz, D. B.; Dost, E. F.; Flynn, B. W.; Ilcewicz, L. B.; Nelson, K. M.; Sawicki, A. J.; Walker, T. H.; Lakes, R. S.

    1997-01-01

    The goal of Boeing's Advanced Technology Composite Aircraft Structures (ATCAS) program was to develop the technology required for cost and weight efficient use of composite materials in transport fuselage structure. This contractor report describes results of material and process selection, development, and characterization activities. Carbon fiber reinforced epoxy was chosen for fuselage skins and stiffening elements and for passenger and cargo floor structures. The automated fiber placement (AFP) process was selected for fabrication of monolithic and sandwich skin panels. Circumferential frames and window frames were braided and resin transfer molded (RTM'd). Pultrusion was selected for fabrication of floor beams and constant section stiffening elements. Drape forming was chosen for stringers and other stiffening elements. Significant development efforts were expended on the AFP, braiding, and RTM processes. Sandwich core materials and core edge close-out design concepts were evaluated. Autoclave cure processes were developed for stiffened skin and sandwich structures. The stiffness, strength, notch sensitivity, and bearing/bypass properties of fiber-placed skin materials and braided/RTM'd circumferential frame materials were characterized. The strength and durability of cocured and cobonded joints were evaluated. Impact damage resistance of stiffened skin and sandwich structures typical of fuselage panels was investigated. Fluid penetration and migration mechanisms for sandwich panels were studied.

  1. Electrochromic Windows: Advanced Processing Technology

    Energy Technology Data Exchange (ETDEWEB)

    SAGE Electrochromics, Inc

    2006-12-13

    This project addresses the development of advanced fabrication capabilities for energy saving electrochromic (EC) windows. SAGE EC windows consist of an inorganic stack of thin films deposited onto a glass substrate. The window tint can be reversibly changed by the application of a low power dc voltage. This property can be used to modulate the amount of light and heat entering buildings (or vehicles) through the glazings. By judicious management of this so-called solar heat gain, it is possible to derive significant energy savings due to reductions in heating lighting, and air conditioning (HVAC). Several areas of SAGE’s production were targeted during this project to allow significant improvements to processing throughput, yield and overall quality of the processing, in an effort to reduce the cost and thereby improve the market penetration. First, the overall thin film process was optimized to allow a more robust set of operating points to be used, thereby maximizing the yield due to the thin film deposition themselves. Other significant efforts aimed at improving yield were relating to implementing new procedures and processes for the manufacturing process, to improve the quality of the substrate preparation, and the quality of the IGU fabrication. Furthermore, methods for reworking defective devices were developed, to enable devices which would otherwise be scrapped to be made into useful product. This involved the in-house development of some customized equipment. Finally, the improvements made during this project were validated to ensure that they did not impact the exceptional durability of the SageGlass® products. Given conservative estimates for cost and market penetration, energy savings due to EC windows in residences in the US are calculated to be of the order 0.026 quad (0.026×1015BTU/yr) by the year 2017.

  2. Advanced spent fuel processing technologies for the United States GNEP programme

    International Nuclear Information System (INIS)

    Laidler, J.J.

    2007-01-01

    Spent fuel processing technologies for future advanced nuclear fuel cycles are being developed under the scope of the Global Nuclear Energy Partnership (GNEP). This effort seeks to make available for future deployment a fissile material recycling system that does not involve the separation of pure plutonium from spent fuel. In the nuclear system proposed by the United States under the GNEP initiative, light water reactor spent fuel is treated by means of a solvent extraction process that involves a group extraction of transuranic elements. The recovered transuranics are recycled as fuel material for advanced burner reactors, which can lead in the long term to fast reactors with conversion ratios greater than unity, helping to assure the sustainability of nuclear power systems. Both aqueous and pyrochemical methods are being considered for fast reactor spent fuel processing in the current US development programme. (author)

  3. Advancing CANDU technology AECL's Development program

    International Nuclear Information System (INIS)

    Torgerson, D.F.

    1997-01-01

    AECL has a comprehensive product development program that is advancing all aspects of CANDU technology including fuel and fuel cycles, fuel channels, heavy water and tritium technology, safety technology, components and systems, constructability, health and environment, and control and instrumentation. The technology arising from these programs is being incorporated into the CANDU design through an evolutionary process. This evolutionary process is focused on improving economics, enhancing safety and ensuring fuel cycle flexibility to secure fuel supply for the foreseeable future. This strategic thrusts are being used by CANDU designers and researchers to set priorities and goals for AECL's development activities. The goals are part of a 25-year development program that culminates in the 'CANDU X'. The 'CANDU X' is not a specific design - it is a concept that articulates our best extrapolation of what is achievable with the CANDU design over the next 25 years, and includes the advanced features arising from the R and D and engineering to be done over that time. AECL's current product, the 700 MWe class CANDU 6 and the 900 MWe class CANDU 9, both incorporate output from the development programs as the technology become available. A brief description of each development areas is given below. The paper ends with the conclusion that AECL has a clear vision of how CANDU technology and products will evolve over the next several years, and has structured a comprehensive development program to take full advantage of the inherent characteristics of heavy water reactors. (author)

  4. Dual-Process Theories and Cognitive Development: Advances and Challenges

    Science.gov (United States)

    Barrouillet, Pierre

    2011-01-01

    Dual-process theories have gained increasing importance in psychology. The contrast that they describe between an old intuitive and a new deliberative mind seems to make these theories especially suited to account for development. Accordingly, this special issue aims at presenting the latest applications of dual-process theories to cognitive…

  5. The advances in radiation processing technology and some suggestion

    International Nuclear Information System (INIS)

    Wu Jilan; Wei Genshuan; Ha Hongfei

    1992-01-01

    Radiation processing technology has been made great advances in the last decade especially in the developed countries. According to the conservative evaluation, the total sales of radiation processing products approached about 2-3 billion U.S. dollar in 1981, there after, the processing capacity at least doubles. Now, the intensities of 60 Co in use for radiation processing are (5.55-7.40) x 10 18 Bq and there are about 600 sets of electron accelerators for radiation processing. The total sales of radiation processing products are supposed to be over 10 billion U.S. dollar in 1989. However, there are only several fields commercialized. In great scale, such as radiation crosslinked heat shrinkable materials, radiation crosslinked electric cables and wires, and radiation sterilization of medical articles. In China, the radiation processing technology has been developed rapidly in the past years, but the processing capacity is still lower in comparing with developed countries. We suggest that much attention should be devoted to the training of the workers, technicians and managers. The basic theoretical and new technological researches are the keys for developing radiation processing technology at high speed in our country

  6. Development of fabrication technology for CANDU advanced fuel -Development of the advanced CANDU technology-

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Chang Beom; Kim, Hyeong Soo; Kim, Sang Won; Seok, Ho Cheon; Shim, Ki Seop; Byeon, Taek Sang; Jang, Ho Il; Kim, Sang Sik; Choi, Il Kwon; Cho, Dae Sik; Sheo, Seung Won; Lee, Soo Cheol; Kim, Yoon Hoi; Park, Choon Ho; Jeong, Seong Hoon; Kang, Myeong Soo; Park, Kwang Seok; Oh, Hee Kwan; Jang, Hong Seop; Kim, Yang Kon; Shin, Won Cheol; Lee, Do Yeon; Beon, Yeong Cheol; Lee, Sang Uh; Sho, Dal Yeong; Han, Eun Deok; Kim, Bong Soon; Park, Cheol Joo; Lee, Kyu Am; Yeon, Jin Yeong; Choi, Seok Mo; Shon, Jae Moon [Korea Atomic Energy Res. Inst., Taejon (Korea, Republic of)

    1994-07-01

    The present study is to develop the advanced CANDU fuel fabrication technologies by means of applying the R and D results and experiences gained from localization of mass production technologies of CANDU fuels. The annual portion of this year study includes following: 1. manufacturing of demo-fuel bundles for out-of-pile testing 2. development of technologies for the fabrication and inspection of advanced fuels 3. design and munufacturing of fuel fabrication facilities 4. performance of fundamental studies related to the development of advanced fuel fabrication technology.

  7. Development Program of the Advanced HANARO Reactor in Korea

    International Nuclear Information System (INIS)

    Yang, I.-S.; Ahn, J.-H.; Han, K.-I.; Parh, C.; Jun, B.-J.; Kim, Y.-J.

    2006-01-01

    The development program of an advanced HANARO (AHR) reactor started in Korea to keep abreast of the increasing future demand, from both home and abroad, for research activities. This paper provides a review of the status of research reactors in Korea, the operating experience of the HANARO, the design principles and preliminary features of an advanced HANARO reactor, and the specific strategy of an advanced HANARO reactor development program. The design principles were established in order to design a new multi-purpose research reactor that is safe, economically competitive and technically feasible. These include the adaptation of the HANARO design concept, its operating experience, a high ratio of flux to power, a high degree of safety, improved economic efficiency, improved operability and maintainability, increased space and expandability, and ALARA design optimization. The strategy of an advanced HANARO reactor development program considers items such as providing a digital advanced HANARO reactor in cyber space, a method for the improving the design quality and economy of research reactors by using Computer Integrated Engineering, and more effective advertising using diverse virtual reality. This development program will be useful for promoting the understanding of and interest in the operating HANARO as well as an advanced HANARO reactor under development in Korea. It will provide very useful information to a country that may need a research reactor in the near future for the promotion of public health, bio-technology, drug design, pharmacology, material processing, and the development of new materials. (author)

  8. Research for Foreign Advanced Ports for Protection and Development Experience

    Science.gov (United States)

    Zhang, Lu; Tian, Mingjing; Zhao, Junjie; Shou, Youping; Wang, Ning; Qiao, Jianzhe; Li, Guanglou

    2018-04-01

    Into the twenty-first century, the process globalization of economic and trade is getting faster and faster, As of 2014, China's annual port trading amount topped the world, But in the port of environmental protection sustainable development approach with foreign advanced port environmental management concept has a big gap. Combined with the present situation of modern ports in China. Drawing lessons from foreign advanced environmental protection idea of port, in order to promote the protection of port environment in our country. The experience of protection and development of foreign advanced port environment will be discussed and discussed.

  9. Advancing MEMS Technology Usage through the MUMPS (Multi-User MEMS Processes) Program

    Science.gov (United States)

    Koester, D. A.; Markus, K. W.; Dhuler, V.; Mahadevan, R.; Cowen, A.

    1995-01-01

    In order to help provide access to advanced micro-electro-mechanical systems (MEMS) technologies and lower the barriers for both industry and academia, the Microelectronic Center of North Carolina (MCNC) and ARPA have developed a program which provides users with access to both MEMS processes and advanced electronic integration techniques. The four distinct aspects of this program, the multi-user MEMS processes (MUMP's), the consolidated micro-mechanical element library, smart MEMS, and the MEMS technology network are described in this paper. MUMP's is an ARPA-supported program created to provide inexpensive access to MEMS technology in a multi-user environment. It is both a proof-of-concept and educational tool that aids in the development of MEMS in the domestic community. MUMP's technologies currently include a 3-layer poly-silicon surface micromachining process and LIGA (lithography, electroforming, and injection molding) processes that provide reasonable design flexibility within set guidelines. The consolidated micromechanical element library (CaMEL) is a library of active and passive MEMS structures that can be downloaded by the MEMS community via the internet. Smart MEMS is the development of advanced electronics integration techniques for MEMS through the application of flip chip technology. The MEMS technology network (TechNet) is a menu of standard substrates and MEMS fabrication processes that can be purchased and combined to create unique process flows. TechNet provides the MEMS community greater flexibility and enhanced technology accessibility.

  10. The miscibility and oxidation study of the simulated metallic spent fuel for the development of an advanced spent fuel management process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Y. J.; You, G. S.; Ju, J. S.; Lee, E. P.; Seo, H. S.; Ahn, S. B. [Korea Atomic Energy Research Institute, Taejeon (Korea)

    1999-03-01

    The simulated metallic spent fuel ingots were fabricated and evaluated the oxidation rates and the activation energies under several temperature conditions to develop an advanced spent fuel management process. It was also checked the immiscibility of the some elements with metal uranium. 2 refs., 45 figs. (Author)

  11. Evaluation, engineering and development of advanced cyclone processes. Final separating media evaluation and test report (FSMER)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-05-19

    {open_quotes}Evaluation Engineering and Development of Advanced Cyclone Processes{close_quotes} is one of the DOE-PETC sponsored advanced coal cleaning projects, which share a number of specific goals. These goals are to produce a 6% ash product, reject 85% of the parent coal`s pyritic sulfur, recover 85% of the parent coal`s Btu value, and provide products that are less than 30% moisture. The process in this project, as the name implies, relies on a cyclone or cyclonic separator to achieve physical beneficiation based on the gravimetric differences between clean coal and its impurities. Just as important as the cyclonic separator, if not more so, is the selection of a parting liquid or medium for use in the separator. Selection of a separating medium is regarded as a significant portion of the project because it has a profound impact on the required unit operations, the performance of the separator, and economics of the process. The choice of medium especially influences selection of media recovery system(s), and the characteristics of clean coal and refuse products. Since medium selection is such an important aspect of the project, portions of the project are dedicated to the study, evaluation, and selection of the most desirable medium. Though separators are an important component, this project initially focused on media study, rather than the separators themselves. In coal processing, discussion of media requires description of the handling and recovery system(s), separation performance, interaction with coal, cost, and health, environmental and safety issues. In order to be effective, a candidate must perform well in all of these categories.

  12. Micro gravity - an important tool for development of advanced materials

    International Nuclear Information System (INIS)

    Sadiq, S.

    1995-01-01

    Microgravity provides the researchers the opportunity to investigate and improve the methods of creating advanced materials on earth. This can in turn assist in the advanced of economically significant technologies and technology infusement into the private sector. In some unique cases, involving inherently expensive materials that must have high purity, such as composites, high grade alloys etc. small amounts be made in space commercially and viably. A number of developed countries have gained sufficient expertise in material processing and other experiments under microgravity conditions, and their progress has been quite tremendous in this vital area of space research. The four important modes of platform, i.e., space shuttle/satellite, rocket flights, aircraft and drop tower tests have been employed for this purpose. Processing of materials in all such cases differs from the point of view of its cost effectiveness, time required to perform the expertise, instrumentation set up etc. In region of Far East and South East Asia, only one or two countries have made some advances in material processing experiments under microgravity conditions both in the upper atmosphere as well as using Drop Tower Test, but limited experimental means have made these countries to strive in this research area compared to work done in author advanced countries. The paper describes a brief history of microgravity experiments, their types and mode of transport employed for processing of novel materials under extreme low gravity or zero gravity conditions. This will definitely be useful and beneficial to developing nations of this region have entered an era of sophisticated and advanced materials processing and its utilization for industries such as aerospace, nuclear power plants, strategic materials, electronics, biological communication etc. (author)

  13. Advanced automation for in-space vehicle processing

    Science.gov (United States)

    Sklar, Michael; Wegerif, D.

    1990-01-01

    The primary objective of this 3-year planned study is to assure that the fully evolved Space Station Freedom (SSF) can support automated processing of exploratory mission vehicles. Current study assessments show that required extravehicular activity (EVA) and to some extent intravehicular activity (IVA) manpower requirements for required processing tasks far exceeds the available manpower. Furthermore, many processing tasks are either hazardous operations or they exceed EVA capability. Thus, automation is essential for SSF transportation node functionality. Here, advanced automation represents the replacement of human performed tasks beyond the planned baseline automated tasks. Both physical tasks such as manipulation, assembly and actuation, and cognitive tasks such as visual inspection, monitoring and diagnosis, and task planning are considered. During this first year of activity both the Phobos/Gateway Mars Expedition and Lunar Evolution missions proposed by the Office of Exploration have been evaluated. A methodology for choosing optimal tasks to be automated has been developed. Processing tasks for both missions have been ranked on the basis of automation potential. The underlying concept in evaluating and describing processing tasks has been the use of a common set of 'Primitive' task descriptions. Primitive or standard tasks have been developed both for manual or crew processing and automated machine processing.

  14. Advanced Self-Potential Inversion. Development and Use for Investigating Natural Recharge Processes at the ORNL IFC

    Energy Technology Data Exchange (ETDEWEB)

    Revil, Andre [Colorado School of Mines, Golden, CO (United States)

    2013-01-15

    Understanding the influence of coupled biological, chemical, and hydrological processes on subsurface contaminant behavior at multiple scales is a prerequisite for developing effective remedial approaches, whether they are active remediation or natural attenuation strategies. To develop this understanding, methods are needed that can measure critical components of the natural system in real time. The self-potential method corresponds to the passive measurement of the distribution of the electrical potential at the surface of the Earth or in boreholes. This method is very complemetary to other geophysical methods like DC resistivity and induced polarization. In this report, we summarize of research efforts to advance the theory of low-frequency geoelectrical methods and their applications to the contaminant plumes in the vicinity of the former S-3 settling basins at Oak Ridge, TN.

  15. Technology Summary Advancing Tank Waste Retreival And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated since it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans and methods. WRPS and the DOE are therefore developing, testing, and deploying technologies to ensure that they can meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  16. Advanced LWR Nuclear Fuel Cladding Development

    International Nuclear Information System (INIS)

    Bragg-Sitton, S.; Griffith, G.

    2012-01-01

    The Advanced Light Water Reactor (LWR) Nuclear Fuel Development Research and Development (R and D) Pathway encompasses strategic research focused on improving reactor core economics and safety margins through the development of an advanced fuel cladding system. To achieve significant operating improvements while remaining within safety boundaries, significant steps beyond incremental improvements in the current generation of nuclear fuel are required. Fundamental enhancements are required in the areas of nuclear fuel composition, cladding integrity, and fuel/cladding interaction to allow improved fuel economy via power uprates and increased fuel burn-up allowance while potentially improving safety margin through the adoption of an 'accident tolerant' fuel system that would offer improved coping time under accident scenarios. In a staged development approach, the LWRS program will engage stakeholders throughout the development process to ensure commercial viability of the investigated technologies. Applying minimum performance criteria, several of the top-ranked materials and fabrication concepts will undergo a rigorous series of mechanical, thermal and chemical characterization tests to better define their properties and operating potential in a relatively low-cost, nonnuclear test series. A reduced number of options will be recommended for test rodlet fabrication and in-pile nuclear testing under steady-state, transient and accident conditions. (author)

  17. Advanced Hydrogen Turbine Development

    Energy Technology Data Exchange (ETDEWEB)

    Marra, John [Siemens Energy, Inc., Orlando, FL (United States)

    2015-09-30

    Under the sponsorship of the U.S. Department of Energy (DOE) National Energy Technology Laboratories, Siemens has completed the Advanced Hydrogen Turbine Development Program to develop an advanced gas turbine for incorporation into future coal-based Integrated Gasification Combined Cycle (IGCC) plants. All the scheduled DOE Milestones were completed and significant technical progress was made in the development of new technologies and concepts. Advanced computer simulations and modeling, as well as subscale, full scale laboratory, rig and engine testing were utilized to evaluate and select concepts for further development. Program Requirements of: A 3 to 5 percentage point improvement in overall plant combined cycle efficiency when compared to the reference baseline plant; 20 to 30 percent reduction in overall plant capital cost when compared to the reference baseline plant; and NOx emissions of 2 PPM out of the stack. were all met. The program was completed on schedule and within the allotted budget

  18. A flexible architecture for advanced process control solutions

    Science.gov (United States)

    Faron, Kamyar; Iourovitski, Ilia

    2005-05-01

    Advanced Process Control (APC) is now mainstream practice in the semiconductor manufacturing industry. Over the past decade and a half APC has evolved from a "good idea", and "wouldn"t it be great" concept to mandatory manufacturing practice. APC developments have primarily dealt with two major thrusts, algorithms and infrastructure, and often the line between them has been blurred. The algorithms have evolved from very simple single variable solutions to sophisticated and cutting edge adaptive multivariable (input and output) solutions. Spending patterns in recent times have demanded that the economics of a comprehensive APC infrastructure be completely justified for any and all cost conscious manufacturers. There are studies suggesting integration costs as high as 60% of the total APC solution costs. Such cost prohibitive figures clearly diminish the return on APC investments. This has limited the acceptance and development of pure APC infrastructure solutions for many fabs. Modern APC solution architectures must satisfy the wide array of requirements from very manual R&D environments to very advanced and automated "lights out" manufacturing facilities. A majority of commercially available control solutions and most in house developed solutions lack important attributes of scalability, flexibility, and adaptability and hence require significant resources for integration, deployment, and maintenance. Many APC improvement efforts have been abandoned and delayed due to legacy systems and inadequate architectural design. Recent advancements (Service Oriented Architectures) in the software industry have delivered ideal technologies for delivering scalable, flexible, and reliable solutions that can seamlessly integrate into any fabs" existing system and business practices. In this publication we shall evaluate the various attributes of the architectures required by fabs and illustrate the benefits of a Service Oriented Architecture to satisfy these requirements. Blue

  19. Selection and development of advanced nuclear fuel products

    International Nuclear Information System (INIS)

    Stucker, David L.; Miller, Richard S.; Arnsberger, Peter L.

    2004-01-01

    The highly competitive international marketplace requires a continuing product development commitment, short development cycle times and timely, on-target product development to assure customer satisfaction and continuing business. Westinghouse has maintained its leadership position within the nuclear fuel industry with continuous developments and improvements to fuel assembly materials and design. This paper presents a discussion of the processes used by Westinghouse in the selection and refinement of advanced concepts for deployment in the highly competitive US and international nuclear fuel fabrication marketplace. (author)

  20. Advanced Polymeric and Organic–Inorganic Membranes for Pressure-Driven Processes

    KAUST Repository

    Le, Ngoc Lieu; Phuoc, Duong; Nunes, Suzana Pereira

    2017-01-01

    The state-of-the-art of membranes for reverse osmosis, nanofiltration, and gas separation is shortly reviewed, taking in account the most representative examples currently in application. Emphasis is also done on recent developments of advanced polymeric and organic–inorganic materials for pressure-driven processes. Many of the more recent membranes are not only polymeric but also contain an inorganic phase. Tailoring innovative materials with organic and inorganic phases coexisting in a nanoscale with multifunctionalization is an appealing approach to control at the same time diffusivity and gas solubility. Other advanced materials that are now being considered for membrane development are organic or organic–inorganic self-assemblies, metal-organic frameworks, and different forms of carbon fillers.

  1. Advanced Polymeric and Organic–Inorganic Membranes for Pressure-Driven Processes

    KAUST Repository

    Le, Ngoc Lieu

    2017-02-13

    The state-of-the-art of membranes for reverse osmosis, nanofiltration, and gas separation is shortly reviewed, taking in account the most representative examples currently in application. Emphasis is also done on recent developments of advanced polymeric and organic–inorganic materials for pressure-driven processes. Many of the more recent membranes are not only polymeric but also contain an inorganic phase. Tailoring innovative materials with organic and inorganic phases coexisting in a nanoscale with multifunctionalization is an appealing approach to control at the same time diffusivity and gas solubility. Other advanced materials that are now being considered for membrane development are organic or organic–inorganic self-assemblies, metal-organic frameworks, and different forms of carbon fillers.

  2. Cost/Benefit Prioritization for Advanced Safeguards Research and Development

    International Nuclear Information System (INIS)

    DeMuth, S.F.; Adeli, R.; Thomas, K.E.

    2008-01-01

    A system level study utilizing commercially available Extend TM software, has been initiated to perform cost/benefit analyses for advanced safeguards research and development. The methodology is focused on estimating standard error in the inventory difference (SEID) for reprocessing and fuel fabrication facilities, for various proposed advanced safeguards measurement technologies. The inventory duration, and consequent number of inventories per year, is dictated by the detection of a significant quantity of special nuclear material (SNM). Detection is limited by the cumulative measurement uncertainty for the entire system. The cost of inventories is then compared with the cost of advanced instrumentation and/or process design changes. Current progress includes development of the methodology, future efforts will be focused on ascertaining estimated costs and performance. Case studies will be provided as examples of the methodology. (author)

  3. C2A2 Project - CO2 Capture by Advances Amines process

    International Nuclear Information System (INIS)

    Thybaud, Nathalie

    2014-06-01

    This publication presents the operation principles and the obtained results for a research demonstrator developed in Le Havre by EDF and Alstom for CO 2 capture by post-combustion. The implemented technology, developed by Alstom and DOX Chemical is named Advanced Amines Processes (AAP). This process comprises the use of solvent and a specific process scheme (the Advanced Flow Scheme or AFS). The smoke treatment chain of the installation is described, and the valorisation of combustion by-products and of smoke processing operations is indicated. The capacities of the installation are given. Systems aimed at increasing the solvent lifetime are described, and some operational parameters are indicated. Various aspects related to the demonstrator design, construction and operation are discussed. Results obtained during tests between October 2013 and March 2014 are given and discussed in terms of quantity of captured CO 2 , of energy performance, of solvent management and consumption, of emissions, of corrosion, of exploitation organisation, and of instrumentation verification and data quality

  4. Devices, materials, and processes for nano-electronics: characterization with advanced X-ray techniques using lab-based and synchrotron radiation sources

    International Nuclear Information System (INIS)

    Zschech, E.; Wyon, C.; Murray, C.E.; Schneider, G.

    2011-01-01

    Future nano-electronics manufacturing at extraordinary length scales, new device structures, and advanced materials will provide challenges to process development and engineering but also to process control and physical failure analysis. Advanced X-ray techniques, using lab systems and synchrotron radiation sources, will play a key role for the characterization of thin films, nano-structures, surfaces, and interfaces. The development of advanced X-ray techniques and tools will reduce risk and time for the introduction of new technologies. Eventually, time-to-market for new products will be reduced by the timely implementation of the best techniques for process development and process control. The development and use of advanced methods at synchrotron radiation sources will be increasingly important, particularly for research and development in the field of advanced processes and new materials but also for the development of new X-ray components and procedures. The application of advanced X-ray techniques, in-line, in out-of-fab analytical labs and at synchrotron radiation sources, for research, development, and manufacturing in the nano-electronics industry is reviewed. The focus of this paper is on the study of nano-scale device and on-chip interconnect materials, and materials for 3D IC integration as well. (authors)

  5. LOFT advanced fuel rod instrumentation development

    International Nuclear Information System (INIS)

    Billeter, T.R.; Brown, R.L.; Chan, A.I.Y.; Day, C.K.; Meyers, S.C.; Sheen, E.M.; Stringer, J.L.

    1978-01-01

    Advanced fuel rod instrumentation for the Loss of Fluid Test (LOFT) reactor is being developed by the Hanford Engineering Development Laboratory for the Nuclear Regulatory Commission. This effort calls for development of sensors to measure fuel rod axial motion, fuel centerline temperature (to 2200 0 C), fuel rod plenum gas pressure (to 2500 psig), and plenum gas temperature (to 1500 0 F). A parallel test and evaluation of several modified commercial sensors was undertaken and will result in commercial availability of the final qualified sensors. Necessary test facilities were prepared for the development and evaluation effort. Tests to date indicate a three coil Linear Variable Differential Transformer (LVDT), operated from temperature compensating signal source and processing electronics, will meet the desired requirements

  6. Safeguardability of advanced spent fuel conditioning process

    Energy Technology Data Exchange (ETDEWEB)

    Li, T. K. (Tien K.); Lee, S. Y. (Sang Yoon); Burr, Tom; Russo, P. A. (Phyllis A.); Menlove, Howard O.; Kim, H. D.; Ko, W. I. (Won Il); Park, S. W.; Park, H. S.

    2004-01-01

    The Advanced Spent Fuel Conditioning Process (ACP) is an electro-metallurgical treatment technique to convert oxide-type spent nuclear fuel into a metallic form. The Korea Atomic Energy Research Institute (KAERI) has been developing this technology since 1977 for the purpose of spent fuel management and is planning to perform a lab-scale demonstration in 2006. By using of this technology, a significant reduction of the volume and heat load of spent fuel is expected, which would lighten the burden of final disposal in terms of disposal size, safety and economics. In the framework of collaboration agreement to develop the safeguards system for the ACP, a joint study on the safeguardability of the ACP technology has been performed by the Los Alamos National Laboratory (LANL) and the KAERI since 2002. In this study, the safeguardability of the ACP technology was examined for the pilot-scale facility. The process and material flows were conceptually designed, and the uncertainties in material accounting were estimated with international target values.

  7. Advanced Photonic Processes for Photovoltaic and Energy Storage Systems.

    Science.gov (United States)

    Sygletou, Maria; Petridis, Constantinos; Kymakis, Emmanuel; Stratakis, Emmanuel

    2017-10-01

    Solar-energy harvesting through photovoltaic (PV) conversion is the most promising technology for long-term renewable energy production. At the same time, significant progress has been made in the development of energy-storage (ES) systems, which are essential components within the cycle of energy generation, transmission, and usage. Toward commercial applications, the enhancement of the performance and competitiveness of PV and ES systems requires the adoption of precise, but simple and low-cost manufacturing solutions, compatible with large-scale and high-throughput production lines. Photonic processes enable cost-efficient, noncontact, highly precise, and selective engineering of materials via photothermal, photochemical, or photophysical routes. Laser-based processes, in particular, provide access to a plethora of processing parameters that can be tuned with a remarkably high degree of precision to enable innovative processing routes that cannot be attained by conventional approaches. The focus here is on the application of advanced light-driven approaches for the fabrication, as well as the synthesis, of materials and components relevant to PV and ES systems. Besides presenting recent advances on recent achievements, the existing limitations are outlined and future possibilities and emerging prospects discussed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Structural health monitoring an advanced signal processing perspective

    CERN Document Server

    Chen, Xuefeng; Mukhopadhyay, Subhas

    2017-01-01

    This book highlights the latest advances and trends in advanced signal processing (such as wavelet theory, time-frequency analysis, empirical mode decomposition, compressive sensing and sparse representation, and stochastic resonance) for structural health monitoring (SHM). Its primary focus is on the utilization of advanced signal processing techniques to help monitor the health status of critical structures and machines encountered in our daily lives: wind turbines, gas turbines, machine tools, etc. As such, it offers a key reference guide for researchers, graduate students, and industry professionals who work in the field of SHM.

  9. ADVANCED OXIDATION PROCESSES FOR FOOD INDUSTRIAL WASTEWATER DECONTAMINATION

    Directory of Open Access Journals (Sweden)

    Dorota Krzemińska

    2015-02-01

    Full Text Available High organic matter content is a basic problem in food industry wastewaters. Typically, the amount and composition of the effluent varies considerably. In the article four groups of advanced processes and their combination of food industry wastewater treatment have been reviewed: electrochemical oxidation (EC, Fenton’s process, ozonation of water and photocatalytic processes. All advanced oxidation processes (AOP`s are characterized by a common chemical feature: the capability of exploiting high reactivity of HO• radicals in driving oxidation processes which are suitable for achieving decolonization and odour reduction, and the complete mineralization or increase of bioavailability of recalcitrant organic pollutants.

  10. The role of advanced nursing in lung cancer: A framework based development.

    Science.gov (United States)

    Serena, A; Castellani, P; Fucina, N; Griesser, A-C; Jeanmonod, J; Peters, S; Eicher, M

    2015-12-01

    Advanced Practice Lung Cancer Nurses (APLCN) are well-established in several countries but their role has yet to be established in Switzerland. Developing an innovative nursing role requires a structured approach to guide successful implementation and to meet the overarching goal of improved nursing sensitive patient outcomes. The "Participatory, Evidence-based, Patient-focused process, for guiding the development, implementation, and evaluation of advanced practice nursing" (PEPPA framework) is one approach that was developed in the context of the Canadian health system. The purpose of this article is to describe the development of an APLCN model at a Swiss Academic Medical Center as part of a specialized Thoracic Cancer Center and to evaluate the applicability of PEPPA framework in this process. In order to develop and implement the APLCN role, we applied the first seven phases of the PEPPA framework. This article spreads the applicability of the PEPPA framework for an APLCN development. This framework allowed us to i) identify key components of an APLCN model responsive to lung cancer patients' health needs, ii) identify role facilitators and barriers, iii) implement the APLCN role and iv) design a feasibility study of this new role. The PEPPA framework provides a structured process for implementing novel Advanced Practice Nursing roles in a local context, particularly where such roles are in their infancy. Two key points in the process include assessing patients' health needs and involving key stakeholders. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Challenges and Opportunities in Reactive Processing and Applications of Advanced Ceramic Materials

    Science.gov (United States)

    Singh, Mrityunjay

    2003-01-01

    Recently, there has been a great deal of interest in the research, development, and commercialization of innovative synthesis and processing technologies for advanced ceramics and composite materials. Reactive processing approaches have been actively considered due to their robustness, flexibility, and affordability. A wide variety of silicon carbide-based advanced ceramics and composites are currently being fabricated using the processing approaches involving reactive infiltration of liquid and gaseous species into engineered fibrous or microporous carbon performs. The microporous carbon performs have been fabricated using the temperature induced phase separation and pyrolysis of two phase organic (resin-pore former) mixtures and fiber reinforcement of carbon and ceramic particulate bodies. In addition, pyrolyzed native plant cellulose tissues also provide unique carbon templates for manufacturing of non-oxide and oxide ceramics. In spite of great interest in this technology due to their affordability and robustness, there is a lack of scientific basis for process understanding and many technical challenges still remain. The influence of perform properties and other parameters on the resulting microstructure and properties of final material is not well understood. In this presentation, mechanism of silicon-carbon reaction in various systems and the effect of perform microstructure on the mechanical properties of advanced silicon carbide based materials will be discussed. Various examples of applications of reactively processed advanced silicon carbide ceramics and composite materials will be presented.

  12. The Laboratory for Advanced Materials Processing

    Data.gov (United States)

    Federal Laboratory Consortium — The Laboratory for Advanced Materials Processing - LAMP - is a clean-room research facility run and operated by Pr. Gary Rubloff's group. Research activities focus...

  13. Architectural development of an advanced EVA Electronic System

    Science.gov (United States)

    Lavelle, Joseph

    1992-01-01

    An advanced electronic system for future EVA missions (including zero gravity, the lunar surface, and the surface of Mars) is under research and development within the Advanced Life Support Division at NASA Ames Research Center. As a first step in the development, an optimum system architecture has been derived from an analysis of the projected requirements for these missions. The open, modular architecture centers around a distributed multiprocessing concept where the major subsystems independently process their own I/O functions and communicate over a common bus. Supervision and coordination of the subsystems is handled by an embedded real-time operating system kernel employing multitasking software techniques. A discussion of how the architecture most efficiently meets the electronic system functional requirements, maximizes flexibility for future development and mission applications, and enhances the reliability and serviceability of the system in these remote, hostile environments is included.

  14. Development of advanced, non-toxic, synthetic radiation shielding aggregate

    Energy Technology Data Exchange (ETDEWEB)

    Mudgal, Manish; Chouhan, Ramesh Kumar; Verma, Sarika; Amritphale, Sudhir Sitaram; Das, Satyabrata [CSIR-Advanced Materials and Processes Research Institute, Bhopal (India); Shrivastva, Arvind [Nuclear Power Corporation of India Ltd. (NPCIL), Mumbai (India)

    2018-04-01

    For the first time in the world, the capability of red mud waste has been explored for the development of advanced synthetic radiation shielding aggregate. Red mud, an aluminium industry waste consists of multi component, multi elemental characteristics. In this study, red mud from two different sources have been utilized. Chemical formulation and mineralogical designing of the red mud has been done by ceramic processing using appropriate reducing agent and additives. The chemical analysis, SEM microphotographs and XRD analysis confirms the presence of multi-component, multi shielding and multi-layered phases in both the different developed advance synthetic radiation shielding aggregate. The mechanical properties, namely aggregate impact value, aggregate crushing value and aggregate abrasion value have also been evaluated and was compared with hematite ore aggregate and found to be an excellent material useful for making advanced radiation shielding concrete for the construction of nuclear power plants and other radiation installations.

  15. Extra-terrestrial construction processes - Advancements, opportunities and challenges

    Science.gov (United States)

    Lim, Sungwoo; Prabhu, Vibha Levin; Anand, Mahesh; Taylor, Lawrence A.

    2017-10-01

    Government space agencies, including NASA and ESA, are conducting preliminary studies on building alternative space-habitat systems for deep-space exploration. Such studies include development of advanced technologies for planetary surface exploration, including an in-depth understanding of the use of local resources. Currently, NASA plans to land humans on Mars in the 2030s. Similarly, other space agencies from Europe (ESA), Canada (CSA), Russia (Roscosmos), India (ISRO), Japan (JAXA) and China (CNSA) have already initiated or announced their plans for launching a series of lunar missions over the next decade, ranging from orbiters, landers and rovers for extended stays on the lunar surface. As the Space Odyssey is one of humanity's oldest dreams, there has been a series of research works for establishing temporary or permanent settlement on other planetary bodies, including the Moon and Mars. This paper reviews current projects developing extra-terrestrial construction, broadly categorised as: (i) ISRU-based construction materials; (ii) fabrication methods; and (iii) construction processes. It also discusses four categories of challenges to developing an appropriate construction process: (i) lunar simulants; (ii) material fabrication and curing; (iii) microwave-sintering based fabrication; and (iv) fully autonomous and scaled-up construction processes.

  16. Practical Implementations of Advanced Process Control for Linear Systems

    DEFF Research Database (Denmark)

    Knudsen, Jørgen K . H.; Huusom, Jakob Kjøbsted; Jørgensen, John Bagterp

    2013-01-01

    This paper describes some practical problems encountered, when implementing Advanced Process Control, APC, schemes on linear processes. The implemented APC controllers discussed will be LQR, Riccati MPC and Condensed MPC controllers illustrated by simulation of the Four Tank Process and a lineari......This paper describes some practical problems encountered, when implementing Advanced Process Control, APC, schemes on linear processes. The implemented APC controllers discussed will be LQR, Riccati MPC and Condensed MPC controllers illustrated by simulation of the Four Tank Process...... on pilot plant equipment on the department of Chemical Engineering DTU Lyngby....

  17. Project T.E.A.M. (Technical Education Advancement Modules). Introduction to Statistical Process Control.

    Science.gov (United States)

    Billings, Paul H.

    This instructional guide, one of a series developed by the Technical Education Advancement Modules (TEAM) project, is a 6-hour introductory module on statistical process control (SPC), designed to develop competencies in the following skill areas: (1) identification of the three classes of SPC use; (2) understanding a process and how it works; (3)…

  18. Trends in advanced reactor development and the role of the IAEA

    International Nuclear Information System (INIS)

    Kupitz, J.

    1992-01-01

    Worldwide a tremendous amount of experience has been accumulated during development, licensing, construction and operation of nuclear power reactors. The experience forms a sound basis for further improvements. Nuclear programmes in many countries are addressing the development of advanced reactors which are intended to have better economics, higher reliability and improved safety in order to overcome the current concerns of nuclear power. Advanced reactors now being developed could help to meet the demand for nev plants in developed and developing countries, not only for electricity generation, but also for district heating, desalination and for process heat. This report discussed the role of IAEA, as the only global international governmental organization dealing with nuclear power, which promotes international information exchange and international cooperation between all countries with their own advanced nuclear power programmes and offers assistance to countries with an interest in exploratory or research programmes

  19. Recent Advancements in Semiconductor-based Optical Signal Processing

    DEFF Research Database (Denmark)

    Nielsen, M L; Mørk, Jesper

    2006-01-01

    Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching.......Significant advancements in technology and basic understanding of device physics are bringing optical signal processing closer to a commercial breakthrough. In this paper we describe the main challenges in high-speed SOA-based switching....

  20. GEOTECHNICAL/GEOCHEMICAL CHARACTERIZATION OF ADVANCED COAL PROCESS WASTE STREAMS

    Energy Technology Data Exchange (ETDEWEB)

    Edwin S. Olson; Charles J. Moretti

    1999-11-01

    Thirteen solid wastes, six coals and one unreacted sorbent produced from seven advanced coal utilization processes were characterized for task three of this project. The advanced processes from which samples were obtained included a gas-reburning sorbent injection process, a pressurized fluidized-bed coal combustion process, a coal-reburning process, a SO{sub x}, NO{sub x}, RO{sub x}, BOX process, an advanced flue desulfurization process, and an advanced coal cleaning process. The waste samples ranged from coarse materials, such as bottom ashes and spent bed materials, to fine materials such as fly ashes and cyclone ashes. Based on the results of the waste characterizations, an analysis of appropriate waste management practices for the advanced process wastes was done. The analysis indicated that using conventional waste management technology should be possible for disposal of all the advanced process wastes studied for task three. However, some wastes did possess properties that could present special problems for conventional waste management systems. Several task three wastes were self-hardening materials and one was self-heating. Self-hardening is caused by cementitious and pozzolanic reactions that occur when water is added to the waste. All of the self-hardening wastes setup slowly (in a matter of hours or days rather than minutes). Thus these wastes can still be handled with conventional management systems if care is taken not to allow them to setup in storage bins or transport vehicles. Waste self-heating is caused by the exothermic hydration of lime when the waste is mixed with conditioning water. If enough lime is present, the temperature of the waste will rise until steam is produced. It is recommended that self-heating wastes be conditioned in a controlled manner so that the heat will be safely dissipated before the material is transported to an ultimate disposal site. Waste utilization is important because an advanced process waste will not require

  1. Advanced CCD camera developments

    Energy Technology Data Exchange (ETDEWEB)

    Condor, A. [Lawrence Livermore National Lab., CA (United States)

    1994-11-15

    Two charge coupled device (CCD) camera systems are introduced and discussed, describing briefly the hardware involved, and the data obtained in their various applications. The Advanced Development Group Defense Sciences Engineering Division has been actively designing, manufacturing, fielding state-of-the-art CCD camera systems for over a decade. These systems were originally developed for the nuclear test program to record data from underground nuclear tests. Today, new and interesting application for these systems have surfaced and development is continuing in the area of advanced CCD camera systems, with the new CCD camera that will allow experimenters to replace film for x-ray imaging at the JANUS, USP, and NOVA laser facilities.

  2. Development of the advanced CANDU technology

    International Nuclear Information System (INIS)

    Suk, Soo Dong; Min, Byung Joo; Na, Y. H.; Lee, S. Y.; Choi, J. H.; Lee, B. C.; Kim, S. N.; Jo, C. H.; Paik, J. S.; On, M. R.; Park, H. S.; Kim, S. R.

    1997-07-01

    The purpose of this study is to develop the advanced design technology to improve safety, operability and economy and to develop and advanced safety evaluation system. More realistic and reasonable methodology and modeling was employed to improve safety margin in containment analysis. Various efforts have been made to verify the CATHENA code which is the major safety analysis code for CANDU PHWR system. Fully computerized prototype ECCS was developed. The feasibility study and conceptual design of the distributed digital control system have been performed as well. The core characteristics of advanced fuel cycle, fuel management and power upgrade have been studied to determine the advanced core. (author). 77 refs., 51 tabs., 108 figs

  3. Development of the advanced CANDU technology

    Energy Technology Data Exchange (ETDEWEB)

    Suk, Soo Dong; Min, Byung Joo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of); Na, Y H; Lee, S Y; Choi, J H; Lee, B C; Kim, S N; Jo, C H; Paik, J S; On, M R; Park, H S; Kim, S R [Korea Electric Power Co., Taejon (Korea, Republic of)

    1997-07-01

    The purpose of this study is to develop the advanced design technology to improve safety, operability and economy and to develop and advanced safety evaluation system. More realistic and reasonable methodology and modeling was employed to improve safety margin in containment analysis. Various efforts have been made to verify the CATHENA code which is the major safety analysis code for CANDU PHWR system. Fully computerized prototype ECCS was developed. The feasibility study and conceptual design of the distributed digital control system have been performed as well. The core characteristics of advanced fuel cycle, fuel management and power upgrade have been studied to determine the advanced core. (author). 77 refs., 51 tabs., 108 figs.

  4. Proton Testing of Advanced Stellar Compass Digital Processing Unit

    DEFF Research Database (Denmark)

    Thuesen, Gøsta; Denver, Troelz; Jørgensen, Finn E

    1999-01-01

    The Advanced Stellar Compass Digital Processing Unit was radiation tested with 300 MeV protons at Proton Irradiation Facility (PIF), Paul Scherrer Institute, Switzerland.......The Advanced Stellar Compass Digital Processing Unit was radiation tested with 300 MeV protons at Proton Irradiation Facility (PIF), Paul Scherrer Institute, Switzerland....

  5. Comparisons among different development ways of advanced reactors in China

    International Nuclear Information System (INIS)

    Guo Xingqu; Lin Jianwen; Wang Ruoli

    1992-03-01

    For the development of nuclear energy in the 21st century, China will select a new type reactor to develop, which will have higher fuel efficiency, high safety and better economics. The selection is among the types of FBR (fast breeder reactor), HTGR (high temperature gas-cooled reactor) and FFHR (fusion-fission hybrid reactor). Since the evaluation of advanced reactors involves many uncertain factors and the difficulty of quantization, both the AHP (analytic hierarchy process) method and expert consultation are adopted. Four aspects are taken in the norm system of AHP, i.e. safety, maturity of technology, economy and appropriateness. By using questionnaire method to experts and studying related documents, five types of advanced reactor are selected, i.e. oxide fueled FBR, metal fueled FBR, uranium fueled HTGR, U-Th fueled HTGR and FFBR. Their evaluation parameters are a comprehensively assessed and sorted. About 130 experts and professors who have been working in the research institutes and government agencies of nuclear field are asked to give their comments on the development of advanced reactors. The response rate of questionnaires is 86%, and the data collected are processed by computers. From the evaluation result of AHP method and expert consultation of the fast breeder reactor, especially, the metal fueled FBR, should have the priority in nuclear energy development in the 21st century in China

  6. Advances in Process Intensification through Multifunctional Reactor Engineering

    Energy Technology Data Exchange (ETDEWEB)

    O' Hern, Timothy [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Engineering Sciences Center; Evans, Lindsay [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Materials Sciences and Engineering Center; Miller, Jim [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Materials Sciences and Engineering Center; Cooper, Marcia [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Energetic Components Realization Center; Torczynski, John [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Pena, Donovan [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Gill, Walt [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Engineering Sciences Center

    2011-02-01

    This project was designed to advance the art of process intensification leading to a new generation of multifunctional chemical reactors utilizing pulse flow. Experimental testing was performed in order to fully characterize the hydrodynamic operating regimes associated with pulse flow for implementation in commercial applications. Sandia National Laboratories (SNL) operated a pilot-scale multifunctional reactor experiment for operation with and investigation of pulse flow operation. Validation-quality data sets of the fluid dynamics, heat and mass transfer, and chemical kinetics were acquired and shared with Chemical Research and Licensing (CR&L). Experiments in a two-phase air-water system examined the effects of bead diameter in the packing, and viscosity. Pressure signals were used to detect pulsing. Three-phase experiments used immiscible organic and aqueous liquids, and air or nitrogen as the gas phase. Hydrodynamic studies of flow regimes and holdup were performed for different types of packing, and mass transfer measurements were performed for a woven packing. These studies substantiated the improvements in mass transfer anticipated for pulse flow in multifunctional reactors for the acid-catalyzed C4 paraffin/olefin alkylation process. CR&L developed packings for this alkylation process, utilizing their alkylation process pilot facilities in Pasadena, TX. These packings were evaluated in the pilot-scale multifunctional reactor experiments established by Sandia to develop a more fundamental understanding of their role in process intensification. Lummus utilized the alkylation technology developed by CR&L to design and optimize the full commercial process utilizing multifunctional reactors containing the packings developed by CR&L and evaluated by Sandia. This hydrodynamic information has been developed for multifunctional chemical reactors utilizing pulse flow, for the acid-catalyzed C4 paraffin/olefin alkylation process, and is now accessible for use in

  7. The Systems Engineering Process for Human Support Technology Development

    Science.gov (United States)

    Jones, Harry

    2005-01-01

    Systems engineering is designing and optimizing systems. This paper reviews the systems engineering process and indicates how it can be applied in the development of advanced human support systems. Systems engineering develops the performance requirements, subsystem specifications, and detailed designs needed to construct a desired system. Systems design is difficult, requiring both art and science and balancing human and technical considerations. The essential systems engineering activity is trading off and compromising between competing objectives such as performance and cost, schedule and risk. Systems engineering is not a complete independent process. It usually supports a system development project. This review emphasizes the NASA project management process as described in NASA Procedural Requirement (NPR) 7120.5B. The process is a top down phased approach that includes the most fundamental activities of systems engineering - requirements definition, systems analysis, and design. NPR 7120.5B also requires projects to perform the engineering analyses needed to ensure that the system will operate correctly with regard to reliability, safety, risk, cost, and human factors. We review the system development project process, the standard systems engineering design methodology, and some of the specialized systems analysis techniques. We will discuss how they could apply to advanced human support systems development. The purpose of advanced systems development is not directly to supply human space flight hardware, but rather to provide superior candidate systems that will be selected for implementation by future missions. The most direct application of systems engineering is in guiding the development of prototype and flight experiment hardware. However, anticipatory systems engineering of possible future flight systems would be useful in identifying the most promising development projects.

  8. Recent Advances in Computational Methods for Nuclear Magnetic Resonance Data Processing

    KAUST Repository

    Gao, Xin

    2013-01-11

    Although three-dimensional protein structure determination using nuclear magnetic resonance (NMR) spectroscopy is a computationally costly and tedious process that would benefit from advanced computational techniques, it has not garnered much research attention from specialists in bioinformatics and computational biology. In this paper, we review recent advances in computational methods for NMR protein structure determination. We summarize the advantages of and bottlenecks in the existing methods and outline some open problems in the field. We also discuss current trends in NMR technology development and suggest directions for research on future computational methods for NMR.

  9. Advanced Methods of Biomedical Signal Processing

    CERN Document Server

    Cerutti, Sergio

    2011-01-01

    This book grew out of the IEEE-EMBS Summer Schools on Biomedical Signal Processing, which have been held annually since 2002 to provide the participants state-of-the-art knowledge on emerging areas in biomedical engineering. Prominent experts in the areas of biomedical signal processing, biomedical data treatment, medicine, signal processing, system biology, and applied physiology introduce novel techniques and algorithms as well as their clinical or physiological applications. The book provides an overview of a compelling group of advanced biomedical signal processing techniques, such as mult

  10. Process Fragment Libraries for Easier and Faster Development of Process-based Applications

    Directory of Open Access Journals (Sweden)

    David Schumm

    2011-01-01

    Full Text Available The term “process fragment” is recently gaining momentum in business process management research. We understand a process fragment as a connected and reusable process structure, which has relaxed completeness and consistency criteria compared to executable processes. We claim that process fragments allow for an easier and faster development of process-based applications. As evidence to this claim we present a process fragment concept and show a sample collection of concrete, real-world process fragments. We present advanced application scenarios for using such fragments in development of process-based applications. Process fragments are typically managed in a repository, forming a process fragment library. On top of a process fragment library from previous work, we discuss the potential impact of using process fragment libraries in cross-enterprise collaboration and application integration.

  11. Advanced Learning Theories Applied to Leadership Development

    Science.gov (United States)

    2006-11-01

    Center for Army Leadership Technical Report 2006-2 Advanced Learning Theories Applied to Leadership Development Christina Curnow...2006 5a. CONTRACT NUMBER W91QF4-05-F-0026 5b. GRANT NUMBER 4. TITLE AND SUBTITLE Advanced Learning Theories Applied to Leadership Development 5c...ABSTRACT This report describes the development and implementation of an application of advanced learning theories to leadership development. A

  12. Engineering development of advanced physical fine coal cleaning for premium fuel applications

    International Nuclear Information System (INIS)

    1997-01-01

    Bechtel, together with Amax Research and Development Center (Amax R ampersand D), has prepared this study which provides conceptual cost estimates for the production of premium quality coal-water slurry fuel (CWF) in a commercial plant. Two scenarios are presented, one using column flotation technology and the other the selective agglomeration to clean the coal to the required quality specifications. This study forms part of US Department of Energy program Engineering Development of Advanced Physical Fine Coal Cleaning for Premium Fuel Applications, (Contract No. DE-AC22- 92PC92208), under Task 11, Project Final Report. The primary objective of the Department of Energy program is to develop the design base for prototype commercial advanced fine coal cleaning facilities capable of producing ultra-clean coals suitable for conversion to stable and highly loaded CWF. The fuels should contain less than 2 lb ash/MBtu (860 grams ash/GJ) of HHV and preferably less than 1 lb ash/MBtu (430 grams ash/GJ). The advanced fine coal cleaning technologies to be employed are advanced column froth flotation and selective agglomeration. It is further stipulated that operating conditions during the advanced cleaning process should recover not less than 80 percent of the carbon content (heating value) in the run-of-mine source coal. These goals for ultra-clean coal quality are to be met under the constraint that annualized coal production costs does not exceed $2.5 /MBtu ($ 2.37/GJ), including the mine mouth cost of the raw coal. A further objective of the program is to determine the distribution of a selected suite of eleven toxic trace elements between product CWF and the refuse stream of the cleaning processes. Laboratory, bench-scale and Process Development Unit (PDU) tests to evaluate advanced column flotation and selective agglomeration were completed earlier under this program with selected coal samples. A PDU with a capacity of 2 st/h was designed by Bechtel and installed at

  13. Process strategies for ultra-deep x-ray lithography at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Mancini, D.C.; Moldovan, N.; Divan, R.; De Carlo, F.; Yaeger, J.

    2001-01-01

    For the past five years, we have been investigating and advancing processing capabilities for deep x-ray lithography (DXRL) using synchrotron radiation from a bending magnet at the Advanced Photon Source (APS), with an emphasis on ultra-deep structures (1mm to 1cm thick). The use of higher-energy x-rays has presented many challenges in developing optimal lithographic techniques for high-aspect ratio structures: mask requirements, resist preparation, exposure, development, and post-processing. Many problems are more severe for high-energy exposure of thicker films than for sub-millimeter structures and affect resolution, processing time, adhesion, damage, and residue. A number of strategies have been created to overcome the challenges and limitations of ultra-deep x-ray lithography (UDXRL), that have resulted in the current choices for mask, substrate, and process flow at the APS. We describe our current process strategies for UDXRL, how they address the challenges presented, and their current limitations. We note especially the importance of the process parameters for use of the positive tone resist PMMA for UDXRL, and compare to the use of negative tone resists such as SU-8 regarding throughput, resolution, adhesion, damage, and post-processing.

  14. Shielding analysis of the advanced voloxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Park, Chang Je; Park, J. J.; Lee, J. W.; Shin, J. M.; Park, G. I.; Song, K. C

    2008-09-15

    This report deals describes how much a shielding benefit can be obtained by the Advanced Voloxidation process. The calculation was performed with the MCNPX code and a simple problem was modeled with a spent fuel source which was surrounded by a concrete wall. The source terms were estimated with the ORIGEN-ARP code and the gamma spectrum and the neutron spectrum were also obtained. The thickness of the concrete wall was estimated before and after the voloxidation process. From the results, the gamma spectrum after the voloxidation process was estimated as a 67% reduction compared with that of before the voloxidation process due to the removal of several gamma emission elements such as cesium and rubidium. The MCNPX calculations provided that the thickness of the general concrete wall could be reduced by 12% after the voloxidation process. And the heavy concrete wall provided a 28% reduction in the shielding of the source term after the voloxidation process. This can be explained in that there lots of gamma emission isotopes still exist after the advanced voloxidation process such as Pu-241, Y-90, and Sr-90 which are independent of the voloxidation process.

  15. Recent advances in processing and applications of microwave ferrites

    International Nuclear Information System (INIS)

    Harris, Vincent G.; Geiler, Anton; Chen Yajie; Yoon, Soack Dae; Wu Mingzhong; Yang, Aria; Chen Zhaohui; He Peng; Parimi, Patanjali V.; Zuo Xu; Patton, Carl E.; Abe, Manasori; Acher, Olivier

    2009-01-01

    Next generation magnetic microwave devices will be planar, smaller, weigh less, and perform well beyond the present state-of-the-art. For this to become a reality advances in ferrite materials must first be realized. These advances include self-bias magnetization, tunability of the magnetic anisotropy, low microwave loss, and volumetric and weight reduction. To achieve these goals one must turn to novel materials processing methods. Here, we review recent advances in the processing of microwave ferrites. Attention is paid to the processing of ferrite films by pulsed laser deposition, liquid phase epitaxy, spin spray ferrite plating, screen printing, and compaction of quasi-single crystals. Conventional and novel applications of ferrite materials, including microwave non-reciprocal passive devices, microwave signal processing, negative index metamaterial-based electronics, and electromagnetic interference suppression are discussed.

  16. Advanced servomanipulator development

    International Nuclear Information System (INIS)

    Kuban, D.P.

    1985-01-01

    The Advanced Servomanipulator (ASM) System consists of three major components: the ASM slave, the dual arm master controller (DAMC) or master, and the control system. The ASM is remotely maintainable force-reflecting servomanipulator developed at the Oak Ridge National Laboratory (ORNL) as part of the Consolidated Fuel Reprocessing Program. This new manipulator addresses requirements of advanced nuclear fuel reprocessing with emphasis on force reflection, remote maintainability, reliability, radiation tolerance, and corrosion resistance. The advanced servomanipulator is uniquely subdivided into remotely replaceable modules which will permit in situ manipulator repair by spare module replacement. Manipulator modularization and increased reliability are accomplished through a force transmission system that uses gears and torque tubes. Digital control algorithms and mechanical precision are used to offset the increased backlash, friction, and inertia resulting from the gear drives. This results in the first remotely maintainable force-reflecting servomanipulator in the world

  17. Advancement of CMOS Doping Technology in an External Development Framework

    Science.gov (United States)

    Jain, Amitabh; Chambers, James J.; Shaw, Judy B.

    2011-01-01

    The consumer appetite for a rich multimedia experience drives technology development for mobile hand-held devices and the infrastructure to support them. Enhancements in functionality, speed, and user experience are derived from advancements in CMOS technology. The technical challenges in developing each successive CMOS technology node to support these enhancements have become increasingly difficult. These trends have motivated the CMOS business towards a collaborative approach based on strategic partnerships. This paper describes our model and experience of CMOS development, based on multi-dimensional industrial and academic partnerships. We provide to our process equipment, materials, and simulation partners, as well as to our silicon foundry partners, the detailed requirements for future integrated circuit products. This is done very early in the development cycle to ensure that these requirements can be met. In order to determine these fundamental requirements, we rely on a strategy that requires strong interaction between process and device simulation, physical and chemical analytical methods, and research at academic institutions. This learning is shared with each project partner to address integration and manufacturing issues encountered during CMOS technology development from its inception through product ramp. We utilize TI's core strengths in physical analysis, unit processes and integration, yield ramp, reliability, and product engineering to support this technological development. Finally, this paper presents examples of the advancement of CMOS doping technology for the 28 nm node and beyond through this development model.

  18. Advanced Thermoelectric Materials for Efficient Waste Heat Recovery in Process Industries

    Energy Technology Data Exchange (ETDEWEB)

    Adam Polcyn; Moe Khaleel

    2009-01-06

    The overall objective of the project was to integrate advanced thermoelectric materials into a power generation device that could convert waste heat from an industrial process to electricity with an efficiency approaching 20%. Advanced thermoelectric materials were developed with figure-of-merit ZT of 1.5 at 275 degrees C. These materials were not successfully integrated into a power generation device. However, waste heat recovery was demonstrated from an industrial process (the combustion exhaust gas stream of an oxyfuel-fired flat glass melting furnace) using a commercially available (5% efficiency) thermoelectric generator coupled to a heat pipe. It was concluded that significant improvements both in thermoelectric material figure-of-merit and in cost-effective methods for capturing heat would be required to make thermoelectric waste heat recovery viable for widespread industrial application.

  19. Overview of advanced process control in welding within ERDA

    International Nuclear Information System (INIS)

    Armstrong, R.E.

    1977-01-01

    The special kinds of demands placed on ERDA weapons and reactors require them to have very reliable welds. Process control is critical in achieving this reliability. ERDA has a number of advanced process control projects underway with much of the emphasis being on electron beam welding. These include projects on voltage measurement, beam-current control, beam focusing, beam spot tracking, spike suppression, and computer control. A general discussion of process control in welding is followed by specific examples of some of the advanced joining process control projects in ERDA

  20. Electron processing of fibre-reinforced advanced composites

    International Nuclear Information System (INIS)

    Singh, A.; Saunders, C.B.; Barnard, J.W.; Lopata, V.J.; Kremers, W.; McDougall, T.E.; Chung, M.; Tateishi, Miyoko

    1996-01-01

    Advanced composites, such as carbon-fibre-reinforced epoxies, are used in the aircraft, aerospace, sporting goods, and transportation industries. Though thermal curing is the dominant industrial process for advanced composites, electron curing of similar composites containing acrylated epoxy matrices has been demonstrated by our work. The main attraction of electron processing technology over thermal technology is the advantages it offers which include ambient temperature curing, reduced curing times, reduced volatile emissions, better material handling, and reduced costs. Electron curing technology allows for the curing of many types of products, such as complex shaped, those containing different types of fibres and up to 15 cm thick. Our work has been done principally with the AECL's 10 MeV, 1 kW electron accelerator; we have also done some comparative work with an AECL Gammacell 220. In this paper we briefly review our work on the various aspects of electron curing of advanced composites and their properties. (Author)

  1. Electron processing of fibre-reinforced advanced composites

    Energy Technology Data Exchange (ETDEWEB)

    Singh, A.; Saunders, C.B.; Barnard, J.W.; Lopata, V.J.; Kremers, W.; McDougall, T.E.; Chung, M.; Tateishi, Miyoko [Atomic Energy of Canada Ltd., Pinawa, MB (Canada). Whiteshell Labs.

    1996-08-01

    Advanced composites, such as carbon-fibre-reinforced epoxies, are used in the aircraft, aerospace, sporting goods, and transportation industries. Though thermal curing is the dominant industrial process for advanced composites, electron curing of similar composites containing acrylated epoxy matrices has been demonstrated by our work. The main attraction of electron processing technology over thermal technology is the advantages it offers which include ambient temperature curing, reduced curing times, reduced volatile emissions, better material handling, and reduced costs. Electron curing technology allows for the curing of many types of products, such as complex shaped, those containing different types of fibres and up to 15 cm thick. Our work has been done principally with the AECL`s 10 MeV, 1 kW electron accelerator; we have also done some comparative work with an AECL Gammacell 220. In this paper we briefly review our work on the various aspects of electron curing of advanced composites and their properties. (Author).

  2. Heuristics Applied in the Development of Advanced Space Mission Concepts

    Science.gov (United States)

    Nilsen, Erik N.

    1998-01-01

    Advanced mission studies are the first step in determining the feasibility of a given space exploration concept. A space scientist develops a science goal in the exploration of space. This may be a new observation method, a new instrument or a mission concept to explore a solar system body. In order to determine the feasibility of a deep space mission, a concept study is convened to determine the technology needs and estimated cost of performing that mission. Heuristics are one method of defining viable mission and systems architectures that can be assessed for technology readiness and cost. Developing a viable architecture depends to a large extent upon extending the existing body of knowledge, and applying it in new and novel ways. These heuristics have evolved over time to include methods for estimating technical complexity, technology development, cost modeling and mission risk in the unique context of deep space missions. This paper examines the processes involved in performing these advanced concepts studies, and analyzes the application of heuristics in the development of an advanced in-situ planetary mission. The Venus Surface Sample Return mission study provides a context for the examination of the heuristics applied in the development of the mission and systems architecture. This study is illustrative of the effort involved in the initial assessment of an advance mission concept, and the knowledge and tools that are applied.

  3. Design process and philosophy of TVA's latest advance control room complex

    International Nuclear Information System (INIS)

    Owens, G.R.; Masters, D.W.

    1979-01-01

    TVA's latest nuclear power plant control room design includes a greater emphasis on human factors as compared to their earlier plant designs. This emphasis has resulted in changes in the overall design philosophy and design process. This paper discusses some of the prominent design features of both the control room and the surrounding control room complex. In addition, it also presents some of the important activities involved in the process of developing the advanced control room design

  4. [Research advances in secondary development of Chinese patent medicines based on quality by design concept].

    Science.gov (United States)

    Gong, Xing-Chu; Chen, Teng; Qu, Hai-Bin

    2017-03-01

    Quality by design (QbD) concept is an advanced pharmaceutical quality control concept. The application of QbD concept in the research and development of pharmaceutical processes of traditional Chinese medicines (TCM) mainly contains five parts, including the definition of critical processes and their evaluation criteria, the determination of critical process parameters and critical material attributes, the establishment of quantitative models, the development of design space, as well as the application and continuous improvement of control strategy. In this work, recent research advances in QbD concept implementation methods in the secondary development of Chinese patent medicines were reviewed, and five promising fields of the implementation of QbD concept were pointed out, including the research and development of TCM new drugs and Chinese medicine granules for formulation, modeling of pharmaceutical processes, development of control strategy based on industrial big data, strengthening the research of process amplification rules, and the development of new pharmaceutical equipment.. Copyright© by the Chinese Pharmaceutical Association.

  5. Advances in low-level color image processing

    CERN Document Server

    Smolka, Bogdan

    2014-01-01

    Color perception plays an important role in object recognition and scene understanding both for humans and intelligent vision systems. Recent advances in digital color imaging and computer hardware technology have led to an explosion in the use of color images in a variety of applications including medical imaging, content-based image retrieval, biometrics, watermarking, digital inpainting, remote sensing, visual quality inspection, among many others. As a result, automated processing and analysis of color images has become an active area of research, to which the large number of publications of the past two decades bears witness. The multivariate nature of color image data presents new challenges for researchers and practitioners as the numerous methods developed for single channel images are often not directly applicable to multichannel  ones. The goal of this volume is to summarize the state-of-the-art in the early stages of the color image processing pipeline.

  6. Process simulation for advanced composites production

    Energy Technology Data Exchange (ETDEWEB)

    Allendorf, M.D.; Ferko, S.M.; Griffiths, S. [Sandia National Labs., Livermore, CA (United States)] [and others

    1997-04-01

    The objective of this project is to improve the efficiency and lower the cost of chemical vapor deposition (CVD) processes used to manufacture advanced ceramics by providing the physical and chemical understanding necessary to optimize and control these processes. Project deliverables include: numerical process models; databases of thermodynamic and kinetic information related to the deposition process; and process sensors and software algorithms that can be used for process control. Target manufacturing techniques include CVD fiber coating technologies (used to deposit interfacial coatings on continuous fiber ceramic preforms), chemical vapor infiltration, thin-film deposition processes used in the glass industry, and coating techniques used to deposit wear-, abrasion-, and corrosion-resistant coatings for use in the pulp and paper, metals processing, and aluminum industries.

  7. Ultrasmall volume molecular isothermal amplification in microfluidic chip with advanced surface processing

    International Nuclear Information System (INIS)

    Huang Guoliang; Yang Xiaoyong; Ma Li; Yang Xu

    2011-01-01

    In this paper, we developed a metal micro-fluidic chip with advanced surface processing for ultra-small volume molecular isothermal amplification. This method takes advantages of the nucleic acid amplification with good stability and consistency, high sensitivity about 31 genomic DNA copies and bacteria specific gene identification. Based on the advanced surface processing, the bioreaction assays of nucleic acid amplification was dropped about 392nl in volume. A high numerical aperture confocal optical detection system was advanced to sensitively monitor the DNA amplification with low noise and high power collecting fluorescence near to the optical diffraction limit. A speedy nucleic acid isothermal amplification was performed in the ultra-small volume microfluidic chip, where the time at the inflexions of second derivative to DNA exponential amplified curves was brought forward and the sensitivity was improved about 65 folds to that of in current 25μl Ep-tube amplified reaction, which indicates a promising clinic molecular diagnostics in the droplet amplification.

  8. Advanced Reactor Technologies - Regulatory Technology Development Plan (RTDP)

    Energy Technology Data Exchange (ETDEWEB)

    Moe, Wayne L. [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2017-08-23

    This DOE-NE Advanced Small Modular Reactor (AdvSMR) regulatory technology development plan (RTDP) will link critical DOE nuclear reactor technology development programs to important regulatory and policy-related issues likely to impact a “critical path” for establishing a viable commercial AdvSMR presence in the domestic energy market. Accordingly, the regulatory considerations that are set forth in the AdvSMR RTDP will not be limited to any one particular type or subset of advanced reactor technology(s) but rather broadly consider potential regulatory approaches and the licensing implications that accompany all DOE-sponsored research and technology development activity that deal with commercial non-light water reactors. However, it is also important to remember that certain “minimum” levels of design and safety approach knowledge concerning these technology(s) must be defined and available to an extent that supports appropriate pre-licensing regulatory analysis within the RTDP. Final resolution to advanced reactor licensing issues is most often predicated on the detailed design information and specific safety approach as documented in a facility license application and submitted for licensing review. Because the AdvSMR RTDP is focused on identifying and assessing the potential regulatory implications of DOE-sponsored reactor technology research very early in the pre-license application development phase, the information necessary to support a comprehensive regulatory analysis of a new reactor technology, and the resolution of resulting issues, will generally not be available. As such, the regulatory considerations documented in the RTDP should be considered an initial “first step” in the licensing process which will continue until a license is issued to build and operate the said nuclear facility. Because a facility license application relies heavily on the data and information generated by technology development studies, the anticipated regulatory

  9. Advanced Reactor Technology -- Regulatory Technology Development Plan (RTDP)

    Energy Technology Data Exchange (ETDEWEB)

    Moe, Wayne Leland [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2015-05-01

    This DOE-NE Advanced Small Modular Reactor (AdvSMR) regulatory technology development plan (RTDP) will link critical DOE nuclear reactor technology development programs to important regulatory and policy-related issues likely to impact a “critical path” for establishing a viable commercial AdvSMR presence in the domestic energy market. Accordingly, the regulatory considerations that are set forth in the AdvSMR RTDP will not be limited to any one particular type or subset of advanced reactor technology(s) but rather broadly consider potential regulatory approaches and the licensing implications that accompany all DOE-sponsored research and technology development activity that deal with commercial non-light water reactors. However, it is also important to remember that certain “minimum” levels of design and safety approach knowledge concerning these technology(s) must be defined and available to an extent that supports appropriate pre-licensing regulatory analysis within the RTDP. Final resolution to advanced reactor licensing issues is most often predicated on the detailed design information and specific safety approach as documented in a facility license application and submitted for licensing review. Because the AdvSMR RTDP is focused on identifying and assessing the potential regulatory implications of DOE-sponsored reactor technology research very early in the pre-license application development phase, the information necessary to support a comprehensive regulatory analysis of a new reactor technology, and the resolution of resulting issues, will generally not be available. As such, the regulatory considerations documented in the RTDP should be considered an initial “first step” in the licensing process which will continue until a license is issued to build and operate the said nuclear facility. Because a facility license application relies heavily on the data and information generated by technology development studies, the anticipated regulatory

  10. Advanced Map For Real-Time Process Control

    Science.gov (United States)

    Shiobara, Yasuhisa; Matsudaira, Takayuki; Sashida, Yoshio; Chikuma, Makoto

    1987-10-01

    MAP, a communications protocol for factory automation proposed by General Motors [1], has been accepted by users throughout the world and is rapidly becoming a user standard. In fact, it is now a LAN standard for factory automation. MAP is intended to interconnect different devices, such as computers and programmable devices, made by different manufacturers, enabling them to exchange information. It is based on the OSI intercomputer com-munications protocol standard under development by the ISO. With progress and standardization, MAP is being investigated for application to process control fields other than factory automation [2]. The transmission response time of the network system and centralized management of data exchanged with various devices for distributed control are import-ant in the case of a real-time process control with programmable controllers, computers, and instruments connected to a LAN system. MAP/EPA and MINI MAP aim at reduced overhead in protocol processing and enhanced transmission response. If applied to real-time process control, a protocol based on point-to-point and request-response transactions limits throughput and transmission response. This paper describes an advanced MAP LAN system applied to real-time process control by adding a new data transmission control that performs multicasting communication voluntarily and periodically in the priority order of data to be exchanged.

  11. Needs for development in nondestructive testing for advanced reactor systems

    International Nuclear Information System (INIS)

    McClung, R.W.

    1978-01-01

    The needs for development of nondestructive testing (NDT) techniques and equipment were surveyed and analyzed relative to problem areas for the Liquid-Metal Fast Breeder Reactor, the Molten-Salt Breeder Reactor, and the Advanced Gas-Cooled Reactor. The paper first discusses the developmental needs that are broad-based requirements in nondestrutive testing, and the respective methods applicable, in general, to all components and reactor systems. Next, the requirements of generic materials and components that are common to all advanced reactor systems are examined. Generally, nondestructive techniques should be improved to provide better reliability and quantitativeness, improved flaw characterization, and more efficient data processing. Specific recommendations relative to such methods as ultrasonics, eddy currents, acoustic emission, radiography, etc., are made. NDT needs common to all reactors include those related to materials properties and degradation, welds, fuels, piping, steam generators, etc. The scope of applicability ranges from initial design and material development stages through process control and manufacturing inspection to in-service examination

  12. A 100-Year Review: A century of dairy processing advancements-Pasteurization, cleaning and sanitation, and sanitary equipment design.

    Science.gov (United States)

    Rankin, S A; Bradley, R L; Miller, G; Mildenhall, K B

    2017-12-01

    Over the past century, advancements within the mainstream dairy foods processing industry have acted in complement with other dairy-affiliated industries to produce a human food that has few rivals with regard to safety, nutrition, and sustainability. These advancements, such as milk pasteurization, may appear commonplace in the context of a modern dairy processing plant, but some consideration of how these advancements came into being serve as a basis for considering what advancements will come to bear on the next century of processing advancements. In the year 1917, depending on where one resided, most milk was presented to the consumer through privately owned dairy animals, small local or regional dairy farms, or small urban commercial dairies with minimal, or at best nascent, processing capabilities. In 1917, much of the retail milk in the United States was packaged and sold in returnable quart-sized clear glass bottles fitted with caps of various design and composition. Some reports suggest that the cost of that quart of milk was approximately 9 cents-an estimated $2.00 in 2017 US dollars. Comparing that 1917 quart of milk to a quart of milk in 2017 suggests several differences in microbiological, compositional, and nutritional value as well as flavor characteristics. Although a more comprehensive timeline of significant processing advancements is noted in the AppendixTable A1 to this paper, we have selected 3 advancements to highlight; namely, the development of milk pasteurization, cleaning and sanitizing technologies, and sanitary specifications for processing equipment. Finally, we provide some insights into the future of milk processing and suggest areas where technological advancements may need continued or strengthened attention and development as a means of securing milk as a food of high safety and value for the next century to come. Copyright © 2017 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  13. Advances in intelligent process-aware information systems concepts, methods, and technologies

    CERN Document Server

    Oberhauser, Roy; Reichert, Manfred

    2017-01-01

    This book provides a state-of-the-art perspective on intelligent process-aware information systems and presents chapters on specific facets and approaches applicable to such systems. Further, it highlights novel advances and developments in various aspects of intelligent process-aware information systems and business process management systems. Intelligence capabilities are increasingly being integrated into or created in many of today’s software products and services. Process-aware information systems provide critical computing infrastructure to support the various processes involved in the creation and delivery of business products and services. Yet the integration of intelligence capabilities into process-aware information systems is a non-trivial yet necessary evolution of these complex systems. The book’s individual chapters address adaptive process management, case management processes, autonomically-capable processes, process-oriented information logistics, process recommendations, reasoning over ...

  14. Advances in Gammalloy Materials-Processes-Application Technology: Successes, Dilemmas, and Future

    Science.gov (United States)

    Kim, Young-Won; Kim, Sang-Lan

    2018-04-01

    For the last several years, gamma titanium aluminide ( γ-TiAl)-based alloys, called "gammalloys," in specific alloy-microstructure forms began to be implemented in civil aero-engines as cast or wrought low-pressure turbine (LPT) blades and in select ground vehicle engines as cast turbocharger rotors and wrought exhaust valves. Their operation temperatures are approximately up to 750°C for LPT blades and around 1000°C for turbocharger rotors. This article critically assesses current engineering gammalloys and their limitations and introduces eight strengthening pathways that can be adopted immediately for the development of advanced, higher temperature gammalloys. Intelligent integration of the pathways into the emerging application-specific research and development processes is emphasized as the key to the advancement of the gammalloy technology to the next higher engineering performance levels.

  15. Data management system advanced development

    Science.gov (United States)

    Douglas, Katherine; Humphries, Terry

    1990-01-01

    The Data Management System (DMS) Advanced Development task provides for the development of concepts, new tools, DMS services, and for the testing of the Space Station DMS hardware and software. It also provides for the development of techniques capable of determining the effects of system changes/enhancements, additions of new technology, and/or hardware and software growth on system performance. This paper will address the built-in characteristics which will support network monitoring requirements in the design of the evolving DMS network implementation, functional and performance requirements for a real-time, multiprogramming, multiprocessor operating system, and the possible use of advanced development techniques such as expert systems and artificial intelligence tools in the DMS design.

  16. Advanced Manufacturing Systems in Food Processing and Packaging Industry

    International Nuclear Information System (INIS)

    Sani, Mohd Shafie; Aziz, Faieza Abdul

    2013-01-01

    In this paper, several advanced manufacturing systems in food processing and packaging industry are reviewed, including: biodegradable smart packaging and Nano composites, advanced automation control system consists of fieldbus technology, distributed control system and food safety inspection features. The main purpose of current technology in food processing and packaging industry is discussed due to major concern on efficiency of the plant process, productivity, quality, as well as safety. These application were chosen because they are robust, flexible, reconfigurable, preserve the quality of the food, and efficient.

  17. Advanced Manufacturing Systems in Food Processing and Packaging Industry

    Science.gov (United States)

    Shafie Sani, Mohd; Aziz, Faieza Abdul

    2013-06-01

    In this paper, several advanced manufacturing systems in food processing and packaging industry are reviewed, including: biodegradable smart packaging and Nano composites, advanced automation control system consists of fieldbus technology, distributed control system and food safety inspection features. The main purpose of current technology in food processing and packaging industry is discussed due to major concern on efficiency of the plant process, productivity, quality, as well as safety. These application were chosen because they are robust, flexible, reconfigurable, preserve the quality of the food, and efficient.

  18. Light-Assisted Advanced Oxidation Processes for the Elimination of Chemical and Microbiological Pollution of Wastewaters in Developed and Developing Countries

    Directory of Open Access Journals (Sweden)

    Stefanos Giannakis

    2017-06-01

    Full Text Available In this work, the issue of hospital and urban wastewater treatment is studied in two different contexts, in Switzerland and in developing countries (Ivory Coast and Colombia. For this purpose, the treatment of municipal wastewater effluents is studied, simulating the developed countries’ context, while cheap and sustainable solutions are proposed for the developing countries, to form a barrier between effluents and receiving water bodies. In order to propose proper methods for each case, the characteristics of the matrices and the targets are described here in detail. In both contexts, the use of Advanced Oxidation Processes (AOPs is implemented, focusing on UV-based and solar-supported ones, in the respective target areas. A list of emerging contaminants and bacteria are firstly studied to provide operational and engineering details on their removal by AOPs. Fundamental mechanistic insights are also provided on the degradation of the effluent wastewater organic matter. The use of viruses and yeasts as potential model pathogens is also accounted for, treated by the photo-Fenton process. In addition, two pharmaceutically active compound (PhAC models of hospital and/or industrial origin are studied in wastewater and urine, treated by all accounted AOPs, as a proposed method to effectively control concentrated point-source pollution from hospital wastewaters. Their elimination was modeled and the degradation pathway was elucidated by the use of state-of-the-art analytical techniques. In conclusion, the use of light-supported AOPs was proven to be effective in degrading the respective target and further insights were provided by each application, which could facilitate their divulgation and potential application in the field.

  19. Light-Assisted Advanced Oxidation Processes for the Elimination of Chemical and Microbiological Pollution of Wastewaters in Developed and Developing Countries.

    Science.gov (United States)

    Giannakis, Stefanos; Rtimi, Sami; Pulgarin, Cesar

    2017-06-26

    In this work, the issue of hospital and urban wastewater treatment is studied in two different contexts, in Switzerland and in developing countries (Ivory Coast and Colombia). For this purpose, the treatment of municipal wastewater effluents is studied, simulating the developed countries' context, while cheap and sustainable solutions are proposed for the developing countries, to form a barrier between effluents and receiving water bodies. In order to propose proper methods for each case, the characteristics of the matrices and the targets are described here in detail. In both contexts, the use of Advanced Oxidation Processes (AOPs) is implemented, focusing on UV-based and solar-supported ones, in the respective target areas. A list of emerging contaminants and bacteria are firstly studied to provide operational and engineering details on their removal by AOPs. Fundamental mechanistic insights are also provided on the degradation of the effluent wastewater organic matter. The use of viruses and yeasts as potential model pathogens is also accounted for, treated by the photo-Fenton process. In addition, two pharmaceutically active compound (PhAC) models of hospital and/or industrial origin are studied in wastewater and urine, treated by all accounted AOPs, as a proposed method to effectively control concentrated point-source pollution from hospital wastewaters. Their elimination was modeled and the degradation pathway was elucidated by the use of state-of-the-art analytical techniques. In conclusion, the use of light-supported AOPs was proven to be effective in degrading the respective target and further insights were provided by each application, which could facilitate their divulgation and potential application in the field.

  20. Advanced treatment and reuse system developed for oilfield process water

    Energy Technology Data Exchange (ETDEWEB)

    Conroy, Kevin

    2011-01-15

    An innovative plant to treat oilfield produced wastewater is being constructed in Trinidad and Tobago following recent regulations and industrial water supply challenges. The 4,100m3/day treatment system, developed by Golder Associates, will produce water for industrial reuse and effluent that meets new regulations. The treatment stages include: oil-water separation by gravity, equalization with a two-day capacity basin, dissolved air flotation, cooling, biotreatment/settling with immobilized cell bioreactors (ICB) technology, prefiltration/reverse osmosis and effluent storage/transfer. This advanced system will provide several important benefits including the elimination of inland discharge of minimally-treated water and the reduction of environmental and public health concerns. In addition, it will provide a new source of industrial water, resulting in a decrease in demand for fresh water. The success of this plant could lead to additional facilities in other oil field locations, expanding economic and environmental benefits of water reuse.

  1. Women Education and Economic Development in Kenya: Implications for Curriculum Development and Implementation Processes

    Science.gov (United States)

    Syomwene, Anne; Kindiki, Jonah Nyaga

    2015-01-01

    This paper is a discussion of the relationship between women education and sustainable economic development in Kenya and its implications for curriculum development and implementation processes. The argument advanced in this paper is that the solution to the development problems in Kenya and other developing nations lies on women education.…

  2. Advanced Nanofabrication Process Development for Self-Powered System-on-Chip

    KAUST Repository

    Rojas, Jhonathan Prieto

    2010-11-01

    In this work the development of a Self-Powered System-On-Chip is explored by examining two components of process development in different perspectives. On one side, an energy component is approached from a biochemical standpoint where a Microbial Fuel Cell (MFC) is built with standard microfabrication techniques, displaying a novel electrode based on Carbon Nanotubes (CNTs). The fabrication process involves the formation of a micrometric chamber that hosts an enhanced CNT-based anode. Preliminary results are promising, showing a high current density (113.6mA/m2) compared with other similar cells. Nevertheless many improvements can be done to the main design and further characterization of the anode will give a more complete understanding and bring the device closer to a practical implementation. On a second point of view, nano-patterning through silicon nitride spacer width control is developed, aimed at producing alternative sub-100nm device fabrication with the potential of further scaling thanks to nanowire based structures. These nanostructures are formed from a nano-pattern template, by using a bottom-up fabrication scheme. Uniformity and scalability of the process are demonstrated and its potential described. An estimated area of 0.120μm2 for a 6T-SRAM (Static Random Access Memory) bitcell (6 devices) can be achieved. In summary, by using a novel sustainable energy component and scalable nano-patterning for logic and computing module, this work has successfully collected the essential base knowledge and joined two different elements that synergistically will contribute for the future implementation of a Self-Powered System-on-Chip.

  3. Advanced Mirror & Modelling Technology Development

    Science.gov (United States)

    Effinger, Michael; Stahl, H. Philip; Abplanalp, Laura; Maffett, Steven; Egerman, Robert; Eng, Ron; Arnold, William; Mosier, Gary; Blaurock, Carl

    2014-01-01

    The 2020 Decadal technology survey is starting in 2018. Technology on the shelf at that time will help guide selection to future low risk and low cost missions. The Advanced Mirror Technology Development (AMTD) team has identified development priorities based on science goals and engineering requirements for Ultraviolet Optical near-Infrared (UVOIR) missions in order to contribute to the selection process. One key development identified was lightweight mirror fabrication and testing. A monolithic, stacked, deep core mirror was fused and replicated twice to achieve the desired radius of curvature. It was subsequently successfully polished and tested. A recently awarded second phase to the AMTD project will develop larger mirrors to demonstrate the lateral scaling of the deep core mirror technology. Another key development was rapid modeling for the mirror. One model focused on generating optical and structural model results in minutes instead of months. Many variables could be accounted for regarding the core, face plate and back structure details. A portion of a spacecraft model was also developed. The spacecraft model incorporated direct integration to transform optical path difference to Point Spread Function (PSF) and between PSF to modulation transfer function. The second phase to the project will take the results of the rapid mirror modeler and integrate them into the rapid spacecraft modeler.

  4. Development of advanced PWR steam generator

    International Nuclear Information System (INIS)

    Saito, Itaru; Nakamura, Tomomichi

    1999-01-01

    In response to the increased power of the advanced PWR, it is necessary to develop a steam generator (SG) which has a large capacity with high performance and high reliability as well as being economical to produce. In this paper, the development of the design of a new SG for the advanced PWRs is described and compared with the design of a conventional SG. Moreover, an outline of a seismic verification test for the U-bend tube bundle which includes advanced anti-vibration bars (AVB) which are very important is described. As a result, it was verified that the bundle has sufficient strength and a relatively high attenuation to seismic loads. These results will be reflected in the detailed design of advanced AVBs. (author)

  5. Biocatalyzed processes for production of commodity chemicals: Assessment of future research advances for N-butanol production

    Science.gov (United States)

    Ingham, J. D.

    1984-01-01

    This report is a summary of assessments by Chem Systems Inc. and a further evaluation of the impacts of research advances on energy efficiency and the potential for future industrial production of acetone-butanol-ethanol (ABE) solvents and other products by biocatalyzed processes. Brief discussions of each of the assessments made by CSI, followed by estimates of minimum projected energy consumption and costs for production of solvents by ABE biocatalyzed processes are included. These assessments and further advances discussed in this report show that substantial decreases in energy consumption and costs are possible on the basis of specific research advances; therefore, it appears that a biocatalyzed process for ABE can be developed that will be competitive with conventional petrochemical processes for production of n-butanol and acetone. (In this work, the ABE process was selected and utilized only as an example for methodology development; other possible bioprocesses for production of commodity chemicals are not intended to be excluded.) It has been estimated that process energy consumption can be decreased by 50%, with a corresponding cost reduction of 15-30% (in comparison with a conventional petrochemical process) by increasing microorganism tolerance to n-butanol and efficient recovery of product solvents from the vapor phase.

  6. Treatment of winery wastewater by physicochemical, biological and advanced processes: a review.

    Science.gov (United States)

    Ioannou, L A; Li Puma, G; Fatta-Kassinos, D

    2015-04-09

    Winery wastewater is a major waste stream resulting from numerous cleaning operations that occur during the production stages of wine. The resulting effluent contains various organic and inorganic contaminants and its environmental impact is notable, mainly due to its high organic/inorganic load, the large volumes produced and its seasonal variability. Several processes for the treatment of winery wastewater are currently available, but the development of alternative treatment methods is necessary in order to (i) maximize the efficiency and flexibility of the treatment process to meet the discharge requirements for winery effluents, and (ii) decrease both the environmental footprint, as well as the investment/operational costs of the process. This review, presents the state-of-the-art of the processes currently applied and/or tested for the treatment of winery wastewater, which were divided into five categories: i.e., physicochemical, biological, membrane filtration and separation, advanced oxidation processes, and combined biological and advanced oxidation processes. The advantages and disadvantages, as well as the main parameters/factors affecting the efficiency of winery wastewater treatment are discussed. Both bench- and pilot/industrial-scale processes have been considered for this review. Copyright © 2014 Elsevier B.V. All rights reserved.

  7. Advanced biochemical processes for geothermal brines FY 1998 annual operating plan

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-10-01

    As part of the overall Geothermal Energy Research which is aimed at the development of economical geothermal resources production systems, the aim of the Advanced Biochemical Processes for Geothermal Brines (ABPGB) effort is the development of economic and environmentally acceptable methods for disposal of geothermal wastes and conversion of by-products to useful forms. Methods are being developed for dissolution, separation and immobilization of geothermal wastes suitable for disposal, usable in inert construction materials, suitable for reinjection into the reservoir formation, or used for recovery of valuable metals.

  8. Evaluation, engineering and development of advanced cyclone processes. Final separating media evaluation and test report (FSMER). Appendices

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-05-01

    This report consists of appendices pertaining to the separating media evaluation (calcium nitrate solution) and testing for an advanced cyclone process. Appendices include: materials safety data, aqueous medium regeneration, pH control strategy, and other notes and data.

  9. Advancing the Assessment of Dynamic Psychological Processes.

    Science.gov (United States)

    Wright, Aidan G C; Hopwood, Christopher J

    2016-08-01

    Most commonly used clinical assessment tools cannot fully capture the dynamic psychological processes often hypothesized as core mechanisms of psychopathology and psychotherapy. There is therefore a gap between our theories of problems and interventions for those problems and the tools we use to understand clients. The purpose of this special issue is to connect theory about clinical dynamics to practice by focusing on methods for collecting dynamic data, statistical models for analyzing dynamic data, and conceptual schemes for implementing dynamic data in applied settings. In this introductory article, we argue for the importance of assessing dynamic processes, highlight recent advances in assessment science that enable their measurement, review challenges in using these advances in applied practice, and adumbrate the articles in this issue.

  10. Advanced exergetic analysis of five natural gas liquefaction processes

    International Nuclear Information System (INIS)

    Vatani, Ali; Mehrpooya, Mehdi; Palizdar, Ali

    2014-01-01

    Highlights: • Advanced exergetic analysis was investigated for five LNG processes. • Avoidable/unavoidable and endogenous/exogenous irreversibilities were calculated. • Advanced exergetic analysis identifies the potentials for improving the system. - Abstract: Conventional exergy analysis cannot identify portion of inefficiencies which can be avoided. Also this analysis does not have ability to calculate a portion of exergy destruction which has been produced through performance of a component alone. In this study advanced exergetic analysis was performed for five mixed refrigerant LNG processes and four parts of irreversibility (avoidable/unavoidable) and (endogenous/exogenous) were calculated for the components with high inefficiencies. The results showed that portion of endogenous exergy destruction in the components is higher than the exogenous one. In fact interactions among the components do not affect the inefficiencies significantly. Also this analysis showed that structural optimization cannot be useful to decrease the overall process irreversibilities. In compressors high portion of the exergy destruction is related to the avoidable one, thus they have high potential to improve. But in multi stream heat exchangers and air coolers, unavoidable inefficiencies were higher than the other parts. Advanced exergetic analysis can identify the potentials and strategies to improve thermodynamic performance of energy intensive processes

  11. Process-Based Quality (PBQ) Tools Development

    Energy Technology Data Exchange (ETDEWEB)

    Cummins, J.L.

    2001-12-03

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts.

  12. Development of improved processing and evaluation methods for high reliability structural ceramics for advanced heat engine applications Phase II. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.J.; Tracey, D.M.; Foley, M.R. [and others

    1996-02-01

    The research program had as goals the development and demonstration of significant improvements in processing methods, process controls, and nondestructive evaluation (NDE) which can be commercially implemented to produce high reliability silicon nitride components for advanced heat engine applications at temperatures to 1370{degrees}C. In Phase I of the program a process was developed that resulted in a silicon nitride - 4 w% yttria HIP`ed material (NCX 5102) that displayed unprecedented strength and reliability. An average tensile strength of 1 GPa and a strength distribution following a 3-parameter Weibull distribution were demonstrated by testing several hundred buttonhead tensile specimens. The Phase II program focused on the development of methodology for colloidal consolidation producing green microstructure which minimizes downstream process problems such as drying, shrinkage, cracking, and part distortion during densification. Furthermore, the program focused on the extension of the process to gas pressure sinterable (GPS) compositions. Excellent results were obtained for the HIP composition processed for minimal density gradients, both with respect to room-temperature strength and high-temperature creep resistance. Complex component fabricability of this material was demonstrated by producing engine-vane prototypes. Strength data for the GPS material (NCX-5400) suggest that it ranks very high relative to other silicon nitride materials in terms of tensile/flexure strength ratio, a measure of volume quality. This high quality was derived from the closed-loop colloidal process employed in the program.

  13. Current status on advanced aqueous reprocessing process (next) in FaCT project

    International Nuclear Information System (INIS)

    Washiya, Tadahiro; Myochin, Munetaka; Koyama, Tomozo

    2009-01-01

    Japan Atomic Energy Agency (JAEA) launched the Fast Reactor Cycle Technology Development (FaCT) project in cooperation with the Japanese electric utilities in 2006. An integration of the advanced aqueous reprocessing concept and the simplified pelletizing fuel fabrication was selected as the most promising fuel cycle system. In order to accomplish the integration, R and D tasks were launched as FaCT Project in 2006 by Japanese joint team. The New Extraction System for TRU Recovery (NEXT) system is an advanced aqueous reprocessing concept which was based on the well established aqueous reprocessing for LWR spent fuel and newly applied processes such as uranium crystallization and extraction chromatography for MAs recovery. Main task of the NEXT process is to develop the TRU recovery process and equipments with high reliability, criticality safety, high durability and remote maintainability. In the FaCT project, all innovative technologies are planned to be developed within the next decade focusing on the future commercialization of FBR cycle systems. The judgment of the adoption of each innovative technology will be made by 2010 based on the results of R and Ds. The development of each technology is to be completed by around 2015. By the same time, it is scheduled to present the conceptual design of commercial and demonstrative fast reactor cycle facilities. The six items (Disassembling and shearing, Fuel dissolution, Uranium Crystallization, Single cycle co-extraction of U, Pu and Np, MA recovery by extraction chromatography and Waste treatment) have been identified as the issues to be developed corresponding to each process step. Current R and D status and prospects of this system until around 2015 is reported. (author)

  14. Advanced Hydrogen Turbine Development

    Energy Technology Data Exchange (ETDEWEB)

    Joesph Fadok

    2008-01-01

    advanced hydrogen turbine that meets the aggressive targets set forth for the advanced hydrogen turbine, including increased rotor inlet temperature (RIT), lower total cooling and leakage air (TCLA) flow, higher pressure ratio, and higher mass flow through the turbine compared to the baseline. Maintaining efficiency with high mass flow Syngas combustion is achieved using a large high AN2 blade 4, which has been identified as a significant advancement beyond the current state-of-the-art. Preliminary results showed feasibility of a rotor system capable of increased power output and operating conditions above the baseline. In addition, several concepts were developed for casing components to address higher operating conditions. Rare earth modified bond coat for the purpose of reducing oxidation and TBC spallation demonstrated an increase in TBC spallation life of almost 40%. The results from Phase 1 identified two TBC compositions which satisfy the thermal conductivity requirements and have demonstrated phase stability up to temperatures of 1850 C. The potential to join alloys using a bonding process has been demonstrated and initial HVOF spray deposition trials were promising. The qualitative ranking of alloys and coatings in environmental conditions was also performed using isothermal tests where significant variations in alloy degradation were observed as a function of gas composition. Initial basic system configuration schematics and working system descriptions have been produced to define key boundary data and support estimation of costs. Review of existing materials in use for hydrogen transportation show benefits or tradeoffs for materials that could be used in this type of applications. Hydrogen safety will become a larger risk than when using natural gas fuel as the work done to date in other areas has shown direct implications for this type of use. Studies were conducted which showed reduced CO{sub 2} and NOx emissions with increased plant efficiency. An approach to

  15. High temperature material characterization and advanced materials development

    International Nuclear Information System (INIS)

    Ryu, Woo Seog; Kim, D. H.; Kim, S. H. and others

    2005-03-01

    The study is to characterize the structural materials under the high temperature, one of the most significant environmental factors in nuclear systems. And advanced materials are developed for high temperature and/or low activation in neutron irradiation. Tensile, fatigue and creep properties have been carried out at high temperature to evaluate the mechanical degradation. Irradiation tests were performed using the HANARO. The optimum chemical composition and heat treatment condition were determined for nuclear grade 316NG stainless steel. Nitrogen, aluminum, and tungsten were added for increasing the creep rupture strength of FMS steel. The new heat treatment method was developed to form more stable precipitates. By applying the novel whiskering process, high density SiC/SiC composites with relative density above 90% could be obtained even in a shorter processing time than the conventional CVI process. Material integrated databases are established using data sheets. The databases of 6 kinds of material properties are accessible through the home page of KAERI material division

  16. ADVANCED OXIDATION PROCESSES (AOX) TEXTILE WASTEWATER

    OpenAIRE

    Salas C., G.

    2014-01-01

    Advanced Oxidation Processes (AOX) are based on the in situ generation of hydroxyradicals (·OH), which have a high oxidation potential. In the case of Fenton processes !he generation of hydroxy radicals takes place by the combination of an oxidation agent (H202) with a catalyst (Fe(II)). These radicals are not selective and they react very fast with the organic matter,being able to oxidize a high variety of organic compounds. This property allows the degradation of pollutants into more biodeg...

  17. Status of advanced nuclear reactor development in Korea

    International Nuclear Information System (INIS)

    Kim, H.R.; Kim, K.K.; Kim, Y.W.; Joo, H.K.

    2014-01-01

    The Korean nuclear industry is facing new challenges to solve the spent fuel storage problem and meet the needs to diversify the application areas of nuclear energy. In order to provide solutions to these challenges, the Korea Atomic Energy Research Institute (KAERI) has been developing advanced nuclear reactors including a Sodium-cooled Fast Reactor, Very High Temperature Gas cooled Reactor (VHTR), and System-integrated Modular Advanced Reactor (SMART) with substantially improved safety, economics, and environment-friendly features. A fast reactor system is one of the most promising options for a reduction of radioactive wastes. The long-term plan for Advanced SFR development in conjunction with the pyro-process was authorized by the Korean Atomic Energy Commission in 2008. The development milestone includes specific design approval of a prototype SFR by 2020, and the construction of a prototype SFR by 2028. KAERI has been carrying out the preliminary design of a 150MWe SFR prototype plant system since 2012. The development of advanced SFR technologies and the basic key technologies necessary for the prototype SFR are also being carried out. By virtue of high-temperature heat, a VHTR has diverse applications including hydrogen production. KAERI launched a nuclear hydrogen project using a VHTR in 2006, which focused on four basic technologies: the development of design tools, very high-temperature experimental technology, TRISO fuel fabrication, and Sulfur-iodine thermo-chemical hydrogen production technology. The technology development project will be continued until 2017. A conceptual reactor design study was started in 2012 as collaboration between industry and government to enhance the early-launching of the nuclear hydrogen development and demonstration (NHDD) project. The goal of the NHDD project is to design and build a nuclear hydrogen demonstration system by 2030. KAERI has developed SMART which is a small-sized advanced integral reactor with a rated

  18. Rational design and optimization of downstream processes of virus particles for biopharmaceutical applications: current advances.

    Science.gov (United States)

    Vicente, Tiago; Mota, José P B; Peixoto, Cristina; Alves, Paula M; Carrondo, Manuel J T

    2011-01-01

    The advent of advanced therapies in the pharmaceutical industry has moved the spotlight into virus-like particles and viral vectors produced in cell culture holding great promise in a myriad of clinical targets, including cancer prophylaxis and treatment. Even though a couple of cases have reached the clinic, these products have yet to overcome a number of biological and technological challenges before broad utilization. Concerning the manufacturing processes, there is significant research focusing on the optimization of current cell culture systems and, more recently, on developing scalable downstream processes to generate material for pre-clinical and clinical trials. We review the current options for downstream processing of these complex biopharmaceuticals and underline current advances on knowledge-based toolboxes proposed for rational optimization of their processing. Rational tools developed to increase the yet scarce knowledge on the purification processes of complex biologicals are discussed as alternative to empirical, "black-boxed" based strategies classically used for process development. Innovative methodologies based on surface plasmon resonance, dynamic light scattering, scale-down high-throughput screening and mathematical modeling for supporting ion-exchange chromatography show great potential for a more efficient and cost-effective process design, optimization and equipment prototyping. Copyright © 2011 Elsevier Inc. All rights reserved.

  19. Use of the inverse temperature profile in microwave processing of advanced ceramics

    International Nuclear Information System (INIS)

    Binner, J.G.P.; Al-Dawery, I.A.; Aneziris, C.; Cross, T.E.

    1992-01-01

    Attempts are being made to exploit the inverse temperature profile which can be developed with microwave heating with respect to the processing of certain advanced ceramics. This paper discusses the results obtained to date during the microwave sintering of YBCO high-T c superconductors and the microwave reaction bonding of silicon nitride

  20. Development and validation process of the advanced main control board for next Japanese PWR plants

    International Nuclear Information System (INIS)

    Tani, M.; Ito, K.; Yokoyama, M.; Imase, M.; Okamoto, H.

    2000-01-01

    The purpose of main control room improvement is to reduce operator workload and potential human errors by offering a better working environment where operators can maximize their abilities. Japanese pressurized water reactor (PWR) utilities and Mitsubishi group have developed a touch -screen-based main control console (i.e. advanced main control room) the next generation PWRs to further improve the plant operability using a state of the art electronics technology. The advanced main control room consists of an operator console, a supervisor console and large display panels. The functional specifications were evaluated by utility operators using a prototype main control console connected to a plant simulator. (author)

  1. Advancements in Hydrology and Erosion Process Understanding and Post-Fire Hydrologic and Erosion Model Development for Semi-Arid Landscapes

    Science.gov (United States)

    Williams, C. Jason; Pierson, Frederick B.; Al-Hamdan, Osama Z.; Robichaud, Peter R.; Nearing, Mark A.; Hernandez, Mariano; Weltz, Mark A.; Spaeth, Kenneth E.; Goodrich, David C.

    2017-04-01

    Fire activity continues to increase in semi-arid regions around the globe. Private and governmental land management entities are challenged with predicting and mitigating post-fire hydrologic and erosion responses on these landscapes. For more than a decade, a team of scientists with the US Department of Agriculture has collaborated on extensive post-fire hydrologic field research and the application of field research to development of post-fire hydrology and erosion predictive technologies. Experiments funded through this research investigated the impacts of fire on vegetation and soils and the effects of these fire-induced changes on infiltration, runoff generation, erodibility, and soil erosion processes. The distribution of study sites spans diverse topography across grassland, shrubland, and woodland landscapes throughout the western United States. Knowledge gleaned from the extensive field experiments was applied to develop and enhance physically-based models for hillslope- to watershed-scale runoff and erosion prediction. Our field research and subsequent data syntheses have identified key knowledge gaps and challenges regarding post-fire hydrology and erosion modeling. Our presentation details some consistent trends across a diverse domain and varying landscape conditions based on our extensive field campaigns. We demonstrate how field data have advanced our understanding of post-fire hydrology and erosion for semi-arid landscapes and highlight remaining key knowledge gaps. Lastly, we briefly show how our well-replicated experimental methodologies have contributed to advancements in hydrologic and erosion model development for the post-fire environment.

  2. Advanced reactor development for non-electric applications

    International Nuclear Information System (INIS)

    Chang, M.H.; Kim, S.H.

    1996-01-01

    Advance in the nuclear reactor technology achieved through nuclear power programs carried out in the world has led nuclear communities to direct its attention to a better and peaceful utilization of nuclear energy in addition to that for power generation. The efforts for non-electric application of nuclear energy has been pursued in a limited number of countries in the world for their special needs. However, those needs and the associated efforts contributed largely to the development and practical realization of advanced reactors characterized by highly improved reactor safety and reliability by deploying the most up-to-date safety technologies. Due mainly to the special purpose of utilization, economic reasons and ease in implementation of new advanced technologies, small and medium reactors have become a major stream in the reactor developments for non-electric applications. The purpose of this paper is to provide, to the interested nuclear society, the overview of the development status and design characteristics of selected advanced nuclear reactors previously developed and/or currently under development specially for non-electric applications. Major design technologies employed in those reactors to enhance the reactor safety and reliability are reviewed to present the underlying principles of the design. Along with the overview, this paper also introduces a development program and major design characteristics of an advanced integral reactor (SMART) for co-generation purpose currently under conceptual development in Korea. (author)

  3. Accelerating development of advanced inverters :

    Energy Technology Data Exchange (ETDEWEB)

    Neely, Jason C.; Gonzalez, Sigifredo; Ropp, Michael; Schutz, Dustin

    2013-11-01

    The high penetration of utility interconnected photovoltaic (PV) systems is causing heightened concern over the effect that variable renewable generation will have on the electrical power system (EPS). These concerns have initiated the need to amend the utility interconnection standard to allow advanced inverter control functionalities that provide: (1) reactive power control for voltage support, (2) real power control for frequency support and (3) better tolerance of grid disturbances. These capabilities are aimed at minimizing the negative impact distributed PV systems may have on EPS voltage and frequency. Unfortunately, these advanced control functions may interfere with island detection schemes, and further development of advanced inverter functions requires a study of the effect of advanced functions on the efficacy of antiislanding schemes employed in industry. This report summarizes the analytical, simulation and experimental work to study interactions between advanced inverter functions and anti-islanding schemes being employed in distributed PV systems.

  4. Advanced Signal Processing for MIMO-OFDM Receivers

    DEFF Research Database (Denmark)

    Manchón, Carles Navarro

    This thesis deals with a wide range of topics within the research area of advanced baseband receiver design for wireless communication systems. In particular, the work focuses on signal processing algorithms for receivers in multiple-input multiple-output (MIMO) orthogonal frequency-division mult......This thesis deals with a wide range of topics within the research area of advanced baseband receiver design for wireless communication systems. In particular, the work focuses on signal processing algorithms for receivers in multiple-input multiple-output (MIMO) orthogonal frequency...... the structure of the receiver with the hope that the resulting heuristic architecture will exhibit the desired behavior and performance. On the other hand, one can employ analytical frameworks to pose the problem as the optimization of a global objective function subject to certain constraints. This work...

  5. Technology advancement of the static feed water electrolysis process

    Science.gov (United States)

    Schubert, F. H.; Wynveen, R. A.

    1977-01-01

    A program to advance the technology of oxygen- and hydrogen-generating subsystems based on water electrolysis was studied. Major emphasis was placed on static feed water electrolysis, a concept characterized by low power consumption and high intrinsic reliability. The static feed based oxygen generation subsystem consists basically of three subassemblies: (1) a combined water electrolysis and product gas dehumidifier module; (2) a product gas pressure controller and; (3) a cyclically filled water feed tank. Development activities were completed at the subsystem as well as at the component level. An extensive test program including single cell, subsystem and integrated system testing was completed with the required test support accessories designed, fabricated, and assembled. Mini-product assurance activities were included throughout all phases of program activities. An extensive number of supporting technology studies were conducted to advance the technology base of the static feed water electrolysis process and to resolve problems.

  6. Ion beam processing of advanced electronic materials

    International Nuclear Information System (INIS)

    Cheung, N.W.; Marwick, A.D.; Roberto, J.B.

    1989-01-01

    This report contains research programs discussed at the materials research society symposia on ion beam processing of advanced electronic materials. Major topics include: shallow implantation and solid-phase epitaxy; damage effects; focused ion beams; MeV implantation; high-dose implantation; implantation in III-V materials and multilayers; and implantation in electronic materials. Individual projects are processed separately for the data bases

  7. Developments of CHON-extractants and proliferation-resistant advanced reprocessing: ARTIST, in Japan

    International Nuclear Information System (INIS)

    Tachimori, S.; Yaita, T.; Suzuki, S.; Rais, J.

    2008-01-01

    Development of separation processes for the Partitioning and Transmutation (P and T) has been carried out in Japan for more than 30 years. From the Three Group Partitioning (3GP) and 4GP processes to the newest ARTIST processes, the main research results of Partitioning achieved at JAEA (former JAER1) are reviewed. In this report, the emphasis is put on the research works to develop the advanced reprocessing process: ARTIST, in which novel CHON-extractants were tailored to apply to separation of uranium (DO2EBA), transuranics (TODGA), cesium (DOC(4)C6), and Am-Cm from lanthanides (OcTolPTA). (author)

  8. Structural analysis of advanced spent fuel conditioning process

    International Nuclear Information System (INIS)

    Gu, J. H.; Jung, W. M.; Jo, I. J.; Gug, D. H.; Yoo, K. S.

    2003-01-01

    An advanced spent fuel conditioning process (ACP) is developing for the safe and effective management of spent fuels which arising from the domestic nuclear power plants. And its demonstration facility is under design. This facility will be prepared by modifying IMEF's reserve hot cell facility which reserved for future usage by considering the characteristics of ACP. This study presents a basic structural architecture design and analysis results of ACP hot cell including modification of the IMEF. The results of this study will be used for the detail design of ACP demonstration facility, and utilized as basic data for the licensing of the ACP facility

  9. A Dual-Process Account of the Development of Scientific Reasoning: The Nature and Development of Metacognitive Intercession Skills

    Science.gov (United States)

    Amsel, Eric; Klaczynski, Paul A.; Johnston, Adam; Bench, Shane; Close, Jason; Sadler, Eric; Walker, Rick

    2008-01-01

    Metacognitive knowledge of the dual-processing basis of judgment is critical to resolving conflict between analytic and experiential processing responses [Klaczynski, P. A. (2004). A dual-process model of adolescent development: Implications for decision making, reasoning, and identity. In R. V. Kail (Ed.), "Advances in child development and…

  10. Academic writing development: a complex, dynamic process

    NARCIS (Netherlands)

    Penris, Wouter; Verspoor, Marjolijn; Pfenniger, Simone; Navracsics, Judit

    2017-01-01

    Traditionally we look at learning outcomes by examining single outcomes. A new and future direction is to look at the actual process of development. Imagine an advanced, 17-year-old student of English (L2) who has just finished secondary school in the Netherlands and wants to become an English

  11. L2 Chinese: Grammatical Development and Processing

    Science.gov (United States)

    Mai, Ziyin

    2016-01-01

    Two recent books (Jiang, 2014, "Advances in Chinese as a second language"; Wang, 2013, "Grammatical development of Chinese among non-native speakers") provide new resources for exploring the role of processing in acquiring Chinese as a second language (L2). This review article summarizes, assesses and compares some of the…

  12. Advanced Reactor Technology -- Regulatory Technology Development Plan (RTDP)

    International Nuclear Information System (INIS)

    Moe, Wayne Leland

    2015-01-01

    This DOE-NE Advanced Small Modular Reactor (AdvSMR) regulatory technology development plan (RTDP) will link critical DOE nuclear reactor technology development programs to important regulatory and policy-related issues likely to impact a ''critical path'' for establishing a viable commercial AdvSMR presence in the domestic energy market. Accordingly, the regulatory considerations that are set forth in the AdvSMR RTDP will not be limited to any one particular type or subset of advanced reactor technology(s) but rather broadly consider potential regulatory approaches and the licensing implications that accompany all DOE-sponsored research and technology development activity that deal with commercial non-light water reactors. However, it is also important to remember that certain ''minimum'' levels of design and safety approach knowledge concerning these technology(s) must be defined and available to an extent that supports appropriate pre-licensing regulatory analysis within the RTDP. Final resolution to advanced reactor licensing issues is most often predicated on the detailed design information and specific safety approach as documented in a facility license application and submitted for licensing review. Because the AdvSMR RTDP is focused on identifying and assessing the potential regulatory implications of DOE-sponsored reactor technology research very early in the pre-license application development phase, the information necessary to support a comprehensive regulatory analysis of a new reactor technology, and the resolution of resulting issues, will generally not be available. As such, the regulatory considerations documented in the RTDP should be considered an initial ''first step'' in the licensing process which will continue until a license is issued to build and operate the said nuclear facility. Because a facility license application relies heavily on the data and information generated by

  13. Spatial Analysis of Depots for Advanced Biomass Processing

    Energy Technology Data Exchange (ETDEWEB)

    Hilliard, Michael R. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Brandt, Craig C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Webb, Erin [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Sokhansanj, Shahabaddine [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eaton, Laurence M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Martinez Gonzalez, Maria I. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2014-09-01

    The objective of this work was to perform a spatial analysis of the total feedstock cost at the conversion reactor for biomass supplied by a conventional system and an advanced system with depots to densify biomass into pellets. From these cost estimates, the conditions (feedstock cost and availability) for which advanced processing depots make it possible to achieve cost and volume targets can be identified.

  14. Development of the effectiveness measure for an advanced alarm system using signal detection theory

    International Nuclear Information System (INIS)

    Park, J.K.; Choi, S.S.; Hong, J.H.; Chang, S.H.

    1997-01-01

    Since many alarms which are activated during major process deviations or accidents in nuclear power plants can result in negative effects for operators, various types of advanced alarm systems that can select important alarms for the identification of process deviation have been developed to reduce the operator's workload. However, the irrelevant selection of important alarms could distract the operator from correct identification of process deviation. Therefore, to evaluate the effectiveness of the advanced alarm system, a tradeoff between the alarm reduction rate (how many alarms are reduced?) and informativeness (how many important alarms that are conducive to identifying process deviation are provided?) of an advanced alarm system should be considered. In this paper, a new measure is proposed to evaluate the effectiveness of an advanced alarm system with regard to the identification of process deviation. Here, the effectiveness measure is the combination of informativeness measure and reduction rate, and the informativeness measure means the information processing capability performed by the advanced alarm system including wrong rejection and wrong acceptance, and it can be calculated using the signal detection theory (SDT). The effectiveness of the prototype alarm system was evaluated using the loss of coolant accident (LOCA) scenario, and the validity of the effectiveness measure was investigated from two types of the operator response, such as the identification accuracy and the operator's preference for the identification of LOCA

  15. ADVANCING THE PROCESSING TECHNOLOGIES OF THE DETAILS OF CONSTRUCTION MACHINERY AND EQUIPMENT

    Directory of Open Access Journals (Sweden)

    Burlachenko Oleg Vasil’evich

    2016-03-01

    Full Text Available On the contemporary stage on the development and advancement of processing technologies of machine and equipment details it is necessary to find a complex solution to the problem of their performance characteristics. This solution supposes the development of specific methods providing and advancing some specific index of performance efficiency (often regardless of real coupling state and the creation and implementation of the technologies having complex physical and technical impacts on the contact combination. The novelty of processing methods is determined by all the components of the technological system, each of which has its own novelty or creates the novelty of the system as a whole due to definite non-traditional combination of the known components. The system of improving the existing and creating new methods and technological processes of manufacturing the products is considered. The mechanical, physical and chemical effects on the work surface in case of specific kinematics of tool path and the workpieces during operation, and also the wear of engine cylinders are analyzed. The authors offer a technology of obtaining nonporous hot-stamped powder alloys with higher strength properties of the outer surface and high plastic properties of core. The unity of the processes of power, temperature and other effects on the working surface of details during their manufacture and operation is established.

  16. Applications of advanced transport aircraft in developing countries

    Science.gov (United States)

    Gobetz, F. W.; Assarabowski, R. J.; Leshane, A. A.

    1978-01-01

    Four representative market scenarios were studied to evaluate the relative performance of air-and surface-based transportation systems in meeting the needs of two developing contries, Brazil and Indonesia, which were selected for detailed case studies. The market scenarios were: remote mining, low-density transport, tropical forestry, and large cargo aircraft serving processing centers in resource-rich, remote areas. The long-term potential of various aircraft types, together with fleet requirements and necessary technology advances, is determined for each application.

  17. Advances in Mammalian Cell Line Development Technologies for Recombinant Protein Production

    Directory of Open Access Journals (Sweden)

    Say Kong Ng

    2013-04-01

    Full Text Available From 2006 to 2011, an average of 15 novel recombinant protein therapeutics have been approved by US Food and Drug Administration (FDA annually. In addition, the expiration of blockbuster biologics has also spurred the emergence of biosimilars. The increasing numbers of innovator biologic products and biosimilars have thus fuelled the demand of production cell lines with high productivity. Currently, mammalian cell line development technologies used by most biopharmaceutical companies are based on either the methotrexate (MTX amplification technology or the glutamine synthetase (GS system. With both systems, the cell clones obtained are highly heterogeneous, as a result of random genome integration by the gene of interest and the gene amplification process. Consequently, large numbers of cell clones have to be screened to identify rare stable high producer cell clones. As such, the cell line development process typically requires 6 to 12 months and is a time, capital and labour intensive process. This article reviews established advances in protein expression and clone screening which are the core technologies in mammalian cell line development. Advancements in these component technologies are vital to improve the speed and efficiency of generating robust and highly productive cell line for large scale production of protein therapeutics.

  18. Integrated approaches to the application of advanced modeling technology in process development and optimization

    Energy Technology Data Exchange (ETDEWEB)

    Allgor, R.J.; Feehery, W.F.; Tolsma, J.E. [Massachusetts Institute of Technology, Cambridge, MA (United States)] [and others

    1995-12-31

    The batch process development problem serves as good candidate to guide the development of process modeling environments. It demonstrates that very robust numerical techniques are required within an environment that can collect, organize, and maintain the data and models required to address the batch process development problem. This paper focuses on improving the robustness and efficiency of the numerical algorithms required in such a modeling environment through the development of hybrid numerical and symbolic strategies.

  19. Advanced IGCC/Hydrogen Gas Turbine Development

    Energy Technology Data Exchange (ETDEWEB)

    York, William [General Electric Company, Schenectady, NY (United States); Hughes, Michael [General Electric Company, Schenectady, NY (United States); Berry, Jonathan [General Electric Company, Schenectady, NY (United States); Russell, Tamara [General Electric Company, Schenectady, NY (United States); Lau, Y. C. [General Electric Company, Schenectady, NY (United States); Liu, Shan [General Electric Company, Schenectady, NY (United States); Arnett, Michael [General Electric Company, Schenectady, NY (United States); Peck, Arthur [General Electric Company, Schenectady, NY (United States); Tralshawala, Nilesh [General Electric Company, Schenectady, NY (United States); Weber, Joseph [General Electric Company, Schenectady, NY (United States); Benjamin, Marc [General Electric Company, Schenectady, NY (United States); Iduate, Michelle [General Electric Company, Schenectady, NY (United States); Kittleson, Jacob [General Electric Company, Schenectady, NY (United States); Garcia-Crespo, Andres [General Electric Company, Schenectady, NY (United States); Delvaux, John [General Electric Company, Schenectady, NY (United States); Casanova, Fernando [General Electric Company, Schenectady, NY (United States); Lacy, Ben [General Electric Company, Schenectady, NY (United States); Brzek, Brian [General Electric Company, Schenectady, NY (United States); Wolfe, Chris [General Electric Company, Schenectady, NY (United States); Palafox, Pepe [General Electric Company, Schenectady, NY (United States); Ding, Ben [General Electric Company, Schenectady, NY (United States); Badding, Bruce [General Electric Company, Schenectady, NY (United States); McDuffie, Dwayne [General Electric Company, Schenectady, NY (United States); Zemsky, Christine [General Electric Company, Schenectady, NY (United States)

    2015-07-30

    The objective of this program was to develop the technologies required for a fuel flexible (coal derived hydrogen or syngas) gas turbine for IGCC that met DOE turbine performance goals. The overall DOE Advanced Power System goal was to conduct the research and development (R&D) necessary to produce coal-based IGCC power systems with high efficiency, near-zero emissions, and competitive capital cost. To meet this goal, the DOE Fossil Energy Turbine Program had as an interim objective of 2 to 3 percentage points improvement in combined cycle (CC) efficiency. The final goal is 3 to 5 percentage points improvement in CC efficiency above the state of the art for CC turbines in IGCC applications at the time the program started. The efficiency goals were for NOx emissions of less than 2 ppm NOx (@15 % O2). As a result of the technologies developed under this program, the DOE goals were exceeded with a projected 8 point efficiency improvement. In addition, a new combustion technology was conceived of and developed to overcome the challenges of burning hydrogen and achieving the DOE’s NOx goal. This report also covers the developments under the ARRA-funded portion of the program that include gas turbine technology advancements for improvement in the efficiency, emissions, and cost performance of gas turbines for industrial applications with carbon capture and sequestration. Example applications could be cement plants, chemical plants, refineries, steel and aluminum plants, manufacturing facilities, etc. The DOE’s goal for more than 5 percentage point improvement in efficiency was met with cycle analyses performed for representative IGCC Steel Mill and IGCC Refinery applications. Technologies were developed in this program under the following areas: combustion, larger latter stage buckets, CMC and EBC, advanced materials and coatings, advanced configurations to reduce cooling, sealing and rotor purge flows, turbine aerodynamics, advanced sensors, advancements in first

  20. Development of advanced coal cleaning process; Kodo sekitan kaishitsu gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Osaka, S [Center for Coal Utilization, Japan, Tokyo (Japan); Akimoto, A; Yamashita, T [Idemitsu Kosan Co. Ltd., Tokyo (Japan)

    1996-09-01

    This paper aims to develop a clean coal production process which excellently removes environmental pollutant, is low-costed, and need no particular systems for distribution of products. The result of the development was described paying attention to column flotation which is a technology to high-efficiently select particulate regions, particulate heavy media cyclone, magnetic separation, and the basic design of the process into which those above were integrated. The two-stage selection process, which is an integration of column flotation and particulate heavy media cyclone into the conventional coal preparation equipment, can produce low-ash clean coal at high separation efficiency and also suppress the rise in processing cost. This process was also effective for removal of sulfur content and trace metal elements. The use of clean coal at power plant can be effective for not only the reduction in ash treatment amount, but the aspect of boiler operation characteristics such as heat transfer efficiency of boiler furnace wall, ash related troubles, loads of electrostatic precipitator, loads of flue gas desulfurization facilities. 17 figs., 5 tabs.

  1. Trends in advanced reactor development and the role of the IAEA

    International Nuclear Information System (INIS)

    Semenov, B.; Dastidar, P.; Kupitz, J.; Cleveland, J.; Goodjohn, A.

    1992-01-01

    This report discusses advanced reactors are being developed for all principal reactor types, i.e. the light and heavy water-cooled reactors, the liquid-metal-cooled reactors and the gas-cooled reactors. Some of these developments are primarily of an evolutionary nature, i.e. they represent improvements in component and system technology, and in construction and operating practices as a result of experience gained with presently operating plants. Other developments are also evolutionary but with some incorporation of innovative features such as providing passive systems for assuring continuous cooling for removal of decay heat from the reactor core. If there is a revival of nuclear power, which may be dictated by ecological and economical factors, advanced reactors now being developed could help to meet the large demand for new plants in developed and developing countries, not only for electricity generation, but also for district heating, desalination and for process heat. The IAEA, as the only global international governmental organization dealing with nuclear power, has promoted international information exchange and international cooperation between all countries with their own advanced nuclear power programmes and has offered assistance to countries with an interest in exploratory or research programmes. In the future the IAEA could play an even more-important role

  2. Research and Development Progress of National Key Laboratory of Advanced Composites on Advanced Aeronautical Resin Matrix Composites

    Directory of Open Access Journals (Sweden)

    LI Bintai

    2016-06-01

    Full Text Available Applications and research progress in advanced aeronautical resin matrix composites by National Key Laboratory of Advanced Composites (LAC were summarized. A novel interlaminar toughening technology employing ultra-thin TP non-woven fabric was developed in LAC, which significantly improved the compression after impact (CAI performances of composite laminates.Newly designed multilayer sandwich stealth composite structures exhibited a good broadband radar absorbing properties at 1-18 GHz.There were remarkable developments in high toughness and high temperature resin matrix composites, covering major composite processing technologies such as prepreg-autoclave procedure, liquid composite molding and automation manufacture, etc. Finally, numerical simulation and optimization methods were deliberately utilized in the study of composites curing behavior, resin flow and curing deformation. A composite material database was also established.In conclusion, LAC has been a great support for the development of aeronautical equipment, playing such roles as innovation leading, system dominating, foundation supporting and application ensuring of aerocomposites.

  3. Renovation of CPF (Chemical Processing Facility) for Development of Advanced Fast Reactor Fuel Cycle System

    International Nuclear Information System (INIS)

    Shinichi Aose; Takafumi Kitajima; Kouji Ogasawara; Kazunori Nomura; Shigehiko Miyachi; Yoshiaki Ichige; Tadahiro Shinozaki; Shinichi Ohuchi

    2008-01-01

    CPF (Chemical Processing Facility) was constructed at Nuclear Fuel Cycle Engineering Laboratories of JAEA (Japan Atomic Energy Agency) in 1980 as a basic research field where spent fuel pins from fast reactor (FR) and high level liquid waste can be dealt with. The renovation consists of remodeling of the CA-3 cell and the laboratory A, installation of globe boxes, hoods and analytical equipments to the laboratory C and the analytical laboratory. Also maintenance equipments in the CA-5 cell which had been out of order were repaired. The CA-3 cell is the main cell in which important equipments such as a dissolver, a clarifier and extractors are installed for carrying out the hot test using the irradiated FR fuel. Since the CPF had specialized originally in the research function for the Purex process, it was desired to execute the research and development of such new, various reprocessing processes. Formerly, equipments were arranged in wide space and connected with not only each other but also with utility supply system mainly by fixed stainless steel pipes. It caused shortage of operation space in flexibility for basic experimental study. Old equipments in the CA-3 cell including vessels and pipes were removed after successful decontamination, and new equipments were installed conformably to the new design. For the purpose of easy installation and rearranging the experimental equipments, equipments are basically connected by flexible pipes. Since dissolver is able to be easily replaced, various dissolution experiments is conducted. Insoluble residue generated by dissolution of spent fuel is clarified by centrifugal. This small apparatus is effective to space-saving. Mini mixer settlers or centrifugal contactors are put on to the prescribed limited space in front of the backside wall. Fresh reagents such as solvent, scrubbing and stripping solution are continuously fed from the laboratory A to the extractor by the reagent supply system with semi-automatic observation

  4. Advanced Cell Development and Degradation Studies

    International Nuclear Information System (INIS)

    O'Brien, J.E.; Stoots, C.M.; Herring, J.S.; O'Brien, R.C.; Condie, K.G.; Sohal, M.; Housley, G.K.; Hartvigsen, J.J.; Larsen, D.; Tao, G.; Yildiz, B.; Sharma, V.; Singh, P.; Petigny, N.; Cable, T.L.

    2010-01-01

    The Idaho National Laboratory (INL) has been researching the application of solid-oxide electrolysis cells for large-scale hydrogen production from steam over a temperature range of 800 to 900 C. From 2003-2009, this work was sponsored by the DOE Nuclear Hydrogen Initiative (NHI). Starting in 2010, the HTE research program has been sponsored by the Next Generation Nuclear Plant (NGNP) program. HTSE research priorities in FY10 are centered on understanding and reducing cell and stack performance degradation to an acceptable level to advance the technology readiness level of HTSE and to justify further large-scale demonstration activities. This report provides a summary of our FY10 experimental program, which has been focused on advanced cell and stack development and degradation studies. Advanced cell and stack development activities are under way at five technology partners: MSRI, Versa Power, Ceramatec, NASA Glenn, and St. Gobain. Performance evaluation of the advanced technology cells and stacks has been performed by the technology partners, by MIT and the University of Connecticut and at the INL HTE Laboratory. Summaries of these development activities and test results are presented.

  5. Advanced Cell Development and Degradation Studies

    Energy Technology Data Exchange (ETDEWEB)

    J. E. O' Brien; C. M. Stoots; J. S. Herring; R. C. O' Brien; K. G. Condie; M. Sohal; G. K. Housley; J. J. Hartvigsen; D. Larsen; G. Tao; B. Yildiz; V. Sharma; P. Singh; N. Petigny; T. L. Cable

    2010-09-01

    The Idaho National Laboratory (INL) has been researching the application of solid-oxide electrolysis cells for large-scale hydrogen production from steam over a temperature range of 800 to 900ºC. From 2003 – 2009, this work was sponsored by the DOE Nuclear Hydrogen Initiative (NHI). Starting in 2010, the HTE research program has been sponsored by the Next Generation Nuclear Plant (NGNP) program. HTSE research priorities in FY10 are centered on understanding and reducing cell and stack performance degradation to an acceptable level to advance the technology readiness level of HTSE and to justify further large-scale demonstration activities. This report provides a summary of our FY10 experimental program, which has been focused on advanced cell and stack development and degradation studies. Advanced cell and stack development activities are under way at five technology partners: MSRI, Versa Power, Ceramatec, NASA Glenn, and St. Gobain. Performance evaluation of the advanced technology cells and stacks has been performed by the technology partners, by MIT and the University of Connecticut and at the INL HTE Laboratory. Summaries of these development activities and test results are presented.

  6. Advances in radiation processing of polymeric materials

    International Nuclear Information System (INIS)

    Makuuchi, K.; Sasak, T.; Vikis, A.C.; Singh, A.

    1993-12-01

    In this paper we review recent advances in industrial applications of electron-beam irradiation in the field of polymer processing at the Takasaki Radiation Chemistry Research Establishment (TRCRE) of JAERI (Japan Atomic Energy Research Institute), and the Whiteshell Laboratories of AECL Research, Canada. Irradiation of a substrate with ionizing radiation produces free radicals through ionization and excitation events. The subsequent chemistry of these radicals is used in radiation processing as a substitute for conventional processing techniques based on heating and/or the addition of chemicals. The advantages of radiation processing include the formation of novel products with desirable material properties, favourable overall process economics and, often, environmental benefits

  7. Advanced PWR technology development -Development of advanced PWR system analysis technology-

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Moon Heui; Hwang, Yung Dong; Kim, Sung Oh; Yoon, Joo Hyun; Jung, Bub Dong; Choi, Chul Jin; Lee, Yung Jin; Song, Jin Hoh [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1995-07-01

    The primary scope of this study is to establish the analysis technology for the advanced reactor designed on the basis of the passive and inherent safety concepts. This study is extended to the application of these technology to the safety analysis of the passive reactor. The study was performed for the small and medium sized reactor and the large sized reactor by focusing on the development of the analysis technology for the passive components. Among the identified concepts the once-through steam generator, the natural circulation of the integral reactor, heat pipe for containment cooling, and hydraulic valve were selected as the high priority items to be developed and the related studies are being performed for these items. For the large sized passive reactor, the study plans to extend the applicability of the best estimate computer code RELAP5/MOD3 which is widely used for the safety analyses of the reactor system. The improvement and supplementation study of the analysis modeling and the methodology is planned to be carried out for these purpose. The newly developed technologies are expected to be applied to the domestic advanced reactor design and analysis and these technologies will play a key role in extending the domestic nuclear base technology and consolidating self-reliance in the essential nuclear technology. 72 figs, 15 tabs, 124 refs. (Author).

  8. Global development of advanced nuclear power plants, and related IAEA activities

    International Nuclear Information System (INIS)

    2006-09-01

    Renewed interest in the potential of nuclear energy to contribute to a sustainable worldwide energy mix is underlining the IAEA's statutory role in fostering the peaceful uses of nuclear energy, in particular the need for effective exchanges of information and collaborative research and technology development among Member States on advanced nuclear power technologies deployable in the near term as well as in the longer term. For applications in the medium to longer term, with rising expectations for the role of nuclear energy in the future, technological innovation has become a strong focus of nuclear power technology developments by many Member States. To meet Member States' needs, the IAEA conducts activities to foster information exchange and collaborative research and development in the area of advanced nuclear reactor technologies. These activities include coordination of collaborative research, organization of international information exchange, and analyses of globally available technical data and results, with a focus on reducing nuclear power plant capital costs and construction periods while further improving performance, safety and proliferation resistance. In other activities, evolutionary and innovative advances are catalyzed for all reactor lines such as advanced water cooled reactors, high temperature gas cooled reactors, liquid metal cooled reactors and accelerator driven systems, including small and medium sized reactors. In addition, there are activities related to other applications of nuclear energy such as seawater desalination, hydrogen production, and other process heat applications. This brochure summarizes the worldwide status and the activities related to advanced nuclear power technology development and related IAEA activities. It includes a list of the collaborative research and development projects conducted by the IAEA, as well as of the status reports and other publications produced

  9. Career advancement and professional development in nursing.

    Science.gov (United States)

    Adeniran, Rita K; Smith-Glasgow, Mary Ellen; Bhattacharya, Anand; Xu, Yu

    2013-01-01

    Excellence underscores the need for nurses to keep their skills and competencies current through participation in professional development and career advancement. Evidence suggests that internationally educated nurses (IENs) progress relatively slowly through the career ladder and participate less in professional development compared with nurses educated in the United States (UENs). Mentorship and self-efficacy are considered major determinants of career advancement. The aim of the study was to understand the differences in levels of mentorship function and self-efficacy as well as the differences in participation in professional development and career advancement between UENs and IENs. A descriptive survey design was implemented using a Web-based survey. Significant disparities were noted in the role model function of mentoring and some professional development and career advancement measures between UENs and IENs. Mentorship is essential for professional growth. Sociodemographic characteristics of mentors are important because mentors are role models. Standardized career advancement structures are needed to promote professional growth. Published by Mosby, Inc.

  10. Recent Advances in Techniques for Hyperspectral Image Processing

    Science.gov (United States)

    Plaza, Antonio; Benediktsson, Jon Atli; Boardman, Joseph W.; Brazile, Jason; Bruzzone, Lorenzo; Camps-Valls, Gustavo; Chanussot, Jocelyn; Fauvel, Mathieu; Gamba, Paolo; Gualtieri, Anthony; hide

    2009-01-01

    Imaging spectroscopy, also known as hyperspectral imaging, has been transformed in less than 30 years from being a sparse research tool into a commodity product available to a broad user community. Currently, there is a need for standardized data processing techniques able to take into account the special properties of hyperspectral data. In this paper, we provide a seminal view on recent advances in techniques for hyperspectral image processing. Our main focus is on the design of techniques able to deal with the highdimensional nature of the data, and to integrate the spatial and spectral information. Performance of the discussed techniques is evaluated in different analysis scenarios. To satisfy time-critical constraints in specific applications, we also develop efficient parallel implementations of some of the discussed algorithms. Combined, these parts provide an excellent snapshot of the state-of-the-art in those areas, and offer a thoughtful perspective on future potentials and emerging challenges in the design of robust hyperspectral imaging algorithms

  11. Advanced diffusion processes and phenomena

    CERN Document Server

    Öchsner, Andreas; Belova, Irina

    2014-01-01

    This topical volume on Advanced Diffusion Processes and Phenomena addresses diffusion in a wider sense of not only mass diffusion but also heat diffusion in fluids and solids. Both diffusion phenomena play an important role in the characterization of engineering materials and corresponding structures. Understanding these different transport phenomena at many levels, from atomistic to macro, has therefore long attracted the attention of many researchers in materials science and engineering and related disciplines. The present topical volume captures a representative cross-section of some of the

  12. An Introduction to Intelligent Processing Programs Developed by the Air Force Manufacturing Technology Directorate

    Science.gov (United States)

    Sampson, Paul G.; Sny, Linda C.

    1992-01-01

    The Air Force has numerous on-going manufacturing and integration development programs (machine tools, composites, metals, assembly, and electronics) which are instrumental in improving productivity in the aerospace industry, but more importantly, have identified strategies and technologies required for the integration of advanced processing equipment. An introduction to four current Air Force Manufacturing Technology Directorate (ManTech) manufacturing areas is provided. Research is being carried out in the following areas: (1) machining initiatives for aerospace subcontractors which provide for advanced technology and innovative manufacturing strategies to increase the capabilities of small shops; (2) innovative approaches to advance machine tool products and manufacturing processes; (3) innovative approaches to advance sensors for process control in machine tools; and (4) efforts currently underway to develop, with the support of industry, the Next Generation Workstation/Machine Controller (Low-End Controller Task).

  13. Process-Based Quality (PBQ) Tools Development; TOPICAL

    International Nuclear Information System (INIS)

    Cummins, J.L.

    2001-01-01

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts

  14. Office of River Protection Advanced Low-Activity Waste Glass Research and Development Plan

    Energy Technology Data Exchange (ETDEWEB)

    Peeler, David K. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Kim, Dong-Sang [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Vienna, John D. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Schweiger, Michael J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Piepel, Gregory F. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2015-11-01

    The U.S. Department of Energy Office of River Protection (ORP) has initiated and leads an integrated Advanced Waste Glass (AWG) program to increase the loading of Hanford tank wastes in glass while meeting melter lifetime expectancies and process, regulatory, and product performance requirements. The integrated ORP program is focused on providing a technical, science-based foundation for making key decisions regarding the successful operation of the Hanford Tank Waste Treatment and Immobilization Plant (WTP) facilities in the context of an optimized River Protection Project (RPP) flowsheet. The fundamental data stemming from this program will support development of advanced glass formulations, key product performance and process control models, and tactical processing strategies to ensure safe and successful operations for both the low-activity waste (LAW) and high-level waste vitrification facilities. These activities will be conducted with the objective of improving the overall RPP mission by enhancing flexibility and reducing cost and schedule. The purpose of this advanced LAW glass research and development plan is to identify the near-term, mid-term, and longer-term research and development activities required to develop and validate advanced LAW glasses, property-composition models and their uncertainties, and an advanced glass algorithm to support WTP facility operations, including both Direct Feed LAW and full pretreatment flowsheets. Data are needed to develop, validate, and implement 1) new glass property-composition models and 2) a new glass formulation algorithm. Hence, this plan integrates specific studies associated with increasing the Na2O and SO3/halide concentrations in glass, because these components will ultimately dictate waste loadings for LAW vitrification. Of equal importance is the development of an efficient and economic strategy for 99Tc management. Specific and detailed studies are being implemented to understand the fate of Tc throughout

  15. The development of an advanced information management system

    International Nuclear Information System (INIS)

    Kim, Seung Hwan

    2005-01-01

    Performing a PSA requires a lot of data to analyze, to evaluate the risk, to trace the process of results and to verify the results. KAERI is developing a PSA information database system, AIMS (Advanced Information Management System for PSA). The objective of AIMS development is to integrate and computerize all the distributed information of a PSA into a system and to enhance the accessibility to PSA information for all PSA related activities. We designed the PSA information database system for the following purposes: integrated PSA information management software, sensitivity analysis, quality assurance, anchor to another reliability database. The AIMS consists of a PSA Information database, Information browsing (searching) modules, and PSA automatic quantification manager modules

  16. The development of an advanced information management system

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seung Hwan [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    2005-07-01

    Performing a PSA requires a lot of data to analyze, to evaluate the risk, to trace the process of results and to verify the results. KAERI is developing a PSA information database system, AIMS (Advanced Information Management System for PSA). The objective of AIMS development is to integrate and computerize all the distributed information of a PSA into a system and to enhance the accessibility to PSA information for all PSA related activities. We designed the PSA information database system for the following purposes: integrated PSA information management software, sensitivity analysis, quality assurance, anchor to another reliability database. The AIMS consists of a PSA Information database, Information browsing (searching) modules, and PSA automatic quantification manager modules.

  17. Advances in the Application of Image Processing Fruit Grading

    OpenAIRE

    Fang , Chengjun; Hua , Chunjian

    2013-01-01

    International audience; In the perspective of actual production, the paper presents the advances in the application of image processing fruit grading from several aspects, such as processing precision and processing speed of image processing technology. Furthermore, the different algorithms about detecting size, shape, color and defects are combined effectively to reduce the complexity of each algorithm and achieve a balance between the processing precision and processing speed are keys to au...

  18. Tracking radar advanced signal processing and computing for Kwajalein Atoll (KA) application

    Science.gov (United States)

    Cottrill, Stanley D.

    1992-11-01

    Two means are examined whereby the operations of KMR during mission execution may be improved through the introduction of advanced signal processing techniques. In the first approach, the addition of real time coherent signal processing technology to the FPQ-19 radar is considered. In the second approach, the incorporation of the MMW radar, with its very fine range precision, to the MMS system is considered. The former appears very attractive and a Phase 2 SBIR has been proposed. The latter does not appear promising enough to warrant further development.

  19. Post-treatment of reclaimed waste water based on an electrochemical advanced oxidation process

    Science.gov (United States)

    Verostko, Charles E.; Murphy, Oliver J.; Hitchens, G. D.; Salinas, Carlos E.; Rogers, Tom D.

    1992-01-01

    The purification of reclaimed water is essential to water reclamation technology life-support systems in lunar/Mars habitats. An electrochemical UV reactor is being developed which generates oxidants, operates at low temperatures, and requires no chemical expendables. The reactor is the basis for an advanced oxidation process in which electrochemically generated ozone and hydrogen peroxide are used in combination with ultraviolet light irradiation to produce hydroxyl radicals. Results from this process are presented which demonstrate concept feasibility for removal of organic impurities and disinfection of water for potable and hygiene reuse. Power, size requirements, Faradaic efficiency, and process reaction kinetics are discussed. At the completion of this development effort the reactor system will be installed in JSC's regenerative water recovery test facility for evaluation to compare this technique with other candidate processes.

  20. Simulation codes of chemical separation process of spent fuel reprocessing. Tool for process development and safety research

    International Nuclear Information System (INIS)

    Asakura, Toshihide; Sato, Makoto; Matsumura, Masakazu; Morita, Yasuji

    2005-01-01

    This paper reviews the succeeding development and utilization of Extraction System Simulation Code for Advanced Reprocessing (ESSCAR). From the viewpoint of development, more tests with spent fuel and calculations should be performed with better understanding of the physico-chemical phenomena in a separation process. From the viewpoint of process safety research on fuel cycle facilities, it is important to know the process behavior of a key substance; being highly reactive but existing only trace amount. (author)

  1. Research and development project in fiscal 1990 for large industrial technologies. Achievement report on research and development of ultra-advanced processing systems (Research and development of ultra-advanced processing systems); 1990 nendo chosentan kako system no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1991-03-01

    Research and development has been performed with an objective to establish the processing technology using excitation beam required for the advanced industries, and the ultra-precision machining technology to realize the nano-technology. This paper summarizes the achievements in fiscal 1990. In the research on the ultra-precision machining elements, experimental discussions were given on positioning and movement characteristics of static pressure feed screws in an NC equipment, wherein the intermediate target was achieved on rigidity improvement in rotating devices and accuracy in the ultra-precision positioning device. In the research on the thin film forming and laminating technology, a low temperature forming method was completed to form a diamond film at temperatures lower than 400 degrees C on such a substrate as aluminum. In the research of the ion beam surface modifying technology, researches were performed to laminate a silicon nitride layer and a phosphoric glass layer on the glass surface layer, having obtained a result that the modification can be executed in 57 minutes. A method was established to evaluate performance of Si films by measuring movement characteristics of an Si thin film transistor formed on the modified substrate, having achieved the intermediate target. (NEDO)

  2. Recent Advances in the Development and Application of Power Plate Transducers in Dense Gas Extraction and Aerosol Agglomeration Processes

    Science.gov (United States)

    Riera, E.; Cardoni, A.; Gallego-Juárez, J. A.; Acosta, V. M.; Blanco, A.; Rodríguez, G.; Blasco, M.; Herranz, L. E.

    Power ultrasound (PU) is an emerging, innovative, energy saving and environmental friendly technology that is generating a great interest in sectors such as food and pharmaceutical industries, green chemistry, environmental pollution, and other processes, where sustainable and energy efficient methods are required to improve and/or produce specific effects. Two typical effects of PU are the enhancement of mass transfer in gases and liquids, and the induction of particle agglomeration in aerosols. These effects are activated by a variety of mechanisms associated to the nonlinear propagation of high amplitude ultrasonic waves such as diffusion, agitation, entrainment, turbulence, etc. During the last years a great effort has been jointly made by the Spanish National Research Council (CSIC) and the company Pusonics towards introducing novel processes into the market based on airborne ultrasonic plate transducers. This technology was specifically developed for the treatment of gas and multiphasic media characterized by low specific acoustic impedance and high acoustic absorption. Different strategies have been developed to mitigate the effects of the nonlinear dynamic behavior of such ultrasonic piezoelectric transducers in order to enhance and stabilize their response at operational power conditions. This work deals with the latter advances in the mitigation of nonlinear problems found in power transducers; besides it describes two applications assisted by ultrasound developed at semi-industrial and laboratory scales and consisting in extraction via dense gases and particle agglomeration. Dense Gas Extraction (DGE) assisted by PU is a new process with a potential to enhance the extraction kinetics with supercritical CO2. Acoustic agglomeration of fine aerosol particles has a great potential for the treatment of air pollution problems generated by particulate materials. Experimental and numerical results in both processes will be shown and discussed.

  3. Advanced Information Processing System (AIPS)

    Science.gov (United States)

    Pitts, Felix L.

    1993-01-01

    Advanced Information Processing System (AIPS) is a computer systems philosophy, a set of validated hardware building blocks, and a set of validated services as embodied in system software. The goal of AIPS is to provide the knowledgebase which will allow achievement of validated fault-tolerant distributed computer system architectures, suitable for a broad range of applications, having failure probability requirements of 10E-9 at 10 hours. A background and description is given followed by program accomplishments, the current focus, applications, technology transfer, FY92 accomplishments, and funding.

  4. Environmental Development Plan for advanced isotope separation

    International Nuclear Information System (INIS)

    1979-05-01

    This EDP identifies the planning and management requirements and schedules needed to evaluate and assess the environmental, health, and safety aspects of the Advanced Isotope Separation (AIS) program. Current AIS processes include the molecular and atomic vapor laser processes and the plasma process. This document covers the technology program, environmental concerns and requirements, and environmental strategy

  5. Advances in Solidification Processing

    Directory of Open Access Journals (Sweden)

    Hugo F. Lopez

    2015-08-01

    Full Text Available Melt solidification is the shortest and most viable route to obtain components, starting from the design to the finished products. Hence, a sound knowledge of the solidification of metallic materials is essential for the development of advanced structural metallic components that drive modern technological societies. As a result, there have been innumerable efforts and full conferences dedicated to this important subject [1–6]. In addition, there are various scientific journals fully devoted to investigating the various aspects which give rise to various solidification microstructures [7–9]. [...

  6. High Temperature Materials Characterization and Advanced Materials Development

    International Nuclear Information System (INIS)

    Ryu, Woo Seog; Kim, D. H.; Kim, S. H.

    2007-06-01

    The project has been carried out for 2 years in stage III in order to achieve the final goals of performance verification of the developed materials, after successful development of the advanced high temperature material technologies for 3 years in Stage II. The mechanical and thermal properties of the advanced materials, which were developed during Stage II, were evaluated at high temperatures, and the modification of the advanced materials were performed. Moreover, a database management system was established using user-friendly knowledge-base scheme to complete the integrated-information material database in KAERI material division

  7. Framework Programmable Platform for the Advanced Software Development Workstation (FPP/ASDW). Demonstration framework document. Volume 1: Concepts and activity descriptions

    Science.gov (United States)

    Mayer, Richard J.; Blinn, Thomas M.; Dewitte, Paul S.; Crump, John W.; Ackley, Keith A.

    1992-01-01

    The Framework Programmable Software Development Platform (FPP) is a project aimed at effectively combining tool and data integration mechanisms with a model of the software development process to provide an intelligent integrated software development environment. Guided by the model, this system development framework will take advantage of an integrated operating environment to automate effectively the management of the software development process so that costly mistakes during the development phase can be eliminated. The Advanced Software Development Workstation (ASDW) program is conducting research into development of advanced technologies for Computer Aided Software Engineering (CASE).

  8. Development of inherent core technologies for advanced reactor

    International Nuclear Information System (INIS)

    Kim, Keung Koo; Noh, J.M.; Hwang, D.H.

    1999-03-01

    Recently, the developed countries made their effort on developing the advanced reactor which will result in significantly enhanced safety and economy. However, they will protect the advanced reactor and its design technology with patent and proprietary right. Therefore, it is very important to develop our own key core concepts and inherent core design technologies which can form a foundation of indigenous technologies for development of the domestic advanced reactor in order to keep the superiority in the nuclear plant building market among the developing countries. In order to provide the basic technology for the core design of advanced reactor, this project is for developing the inherent core design concepts with enhanced safety and economy, and associated methodologies and technologies for core analyses. The feasibility study of constructing domestic critical facilities are performed by surveying the status and utilization of foreign facilities and by investigating the demand for domestic facilities. The research results developed in this project, such as core analysis methodologies for hexagonal core, conceptual core design based on hexagonal fuel assemblies and soluble boron core design and control strategies, will provide a technical foundation in developing core design of domestic advanced reactor. Furthermore, they will strengthen the competitiveness of Korean nuclear technology. We also expect that some of the design concepts developed in this project to improve the reactor safety and economy can be applicable to the design of advanced reactor. This will significantly reduce the public anxiety on the nuclear power plant, and will contribute to the economy of construction and operation for the future domestic reactors. Even though the critical facility will not be constructed right now, the investigation of the status and utilization of foreign critical facility will contribute to the future critical facility construction. (author). 150 refs., 34 tabs., 103

  9. Development of inherent core technologies for advanced reactor

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Keung Koo; Noh, J.M.; Hwang, D.H. [and others

    1999-03-01

    Recently, the developed countries made their effort on developing the advanced reactor which will result in significantly enhanced safety and economy. However, they will protect the advanced reactor and its design technology with patent and proprietary right. Therefore, it is very important to develop our own key core concepts and inherent core design technologies which can form a foundation of indigenous technologies for development of the domestic advanced reactor in order to keep the superiority in the nuclear plant building market among the developing countries. In order to provide the basic technology for the core design of advanced reactor, this project is for developing the inherent core design concepts with enhanced safety and economy, and associated methodologies and technologies for core analyses. The feasibility study of constructing domestic critical facilities are performed by surveying the status and utilization of foreign facilities and by investigating the demand for domestic facilities. The research results developed in this project, such as core analysis methodologies for hexagonal core, conceptual core design based on hexagonal fuel assemblies and soluble boron core design and control strategies, will provide a technical foundation in developing core design of domestic advanced reactor. Furthermore, they will strengthen the competitiveness of Korean nuclear technology. We also expect that some of the design concepts developed in this project to improve the reactor safety and economy can be applicable to the design of advanced reactor. This will significantly reduce the public anxiety on the nuclear power plant, and will contribute to the economy of construction and operation for the future domestic reactors. Even though the critical facility will not be constructed right now, the investigation of the status and utilization of foreign critical facility will contribute to the future critical facility construction. (author). 150 refs., 34 tabs., 103

  10. Development of advanced neutron beam technology

    Energy Technology Data Exchange (ETDEWEB)

    Seong, B S; Lee, J S; Sim, C M [and others

    2007-06-15

    The purpose of this work is to timely support the national science and technology policy through development of the advanced application techniques for neutron spectrometers, built in the previous project, in order to improve the neutron spectrometer techniques up to the world-class level in both quantity and quality and to reinforce industrial competitiveness. The importance of the research and development (R and D) is as follows: 1. Technological aspects - Development of a high value-added technology through performing the advanced R and D in the broad research areas from basic to applied science and from hard to soft condensed matter using neutron scattering technique. - Achievement of an important role in development of the new technology for the following industries aerospace, defense industry, atomic energy, hydrogen fuel cell etc. by the non-destructive inspection and analysis using neutron radiography. - Development of a system supporting the academic-industry users for the HANARO facility 2. Economical and Industrial Aspects - Essential technology in the industrial application of neutron spectrometer, in the basic and applied research of the diverse materials sciences, and in NT, BT, and IT areas - Broad impact on the economics and the domestic and international collaborative research by using the neutron instruments in the mega-scale research facility, HANARO, that is a unique source of neutron in Korea. 3. Social Aspects - Creating the scientific knowledge and contributing to the advanced industrial society through the neutron beam application - Improving quality of life and building a national consensus on the application of nuclear power by developing the RT fusion technology using the HANARO facility. - Widening the national research area and strengthening the national R and D capability by performing advanced R and D using the HANARO facility.

  11. Development of advanced neutron beam technology

    International Nuclear Information System (INIS)

    Seong, B. S.; Lee, J. S.; Sim, C. M.

    2007-06-01

    The purpose of this work is to timely support the national science and technology policy through development of the advanced application techniques for neutron spectrometers, built in the previous project, in order to improve the neutron spectrometer techniques up to the world-class level in both quantity and quality and to reinforce industrial competitiveness. The importance of the research and development (R and D) is as follows: 1. Technological aspects - Development of a high value-added technology through performing the advanced R and D in the broad research areas from basic to applied science and from hard to soft condensed matter using neutron scattering technique. - Achievement of an important role in development of the new technology for the following industries aerospace, defense industry, atomic energy, hydrogen fuel cell etc. by the non-destructive inspection and analysis using neutron radiography. - Development of a system supporting the academic-industry users for the HANARO facility 2. Economical and Industrial Aspects - Essential technology in the industrial application of neutron spectrometer, in the basic and applied research of the diverse materials sciences, and in NT, BT, and IT areas - Broad impact on the economics and the domestic and international collaborative research by using the neutron instruments in the mega-scale research facility, HANARO, that is a unique source of neutron in Korea. 3. Social Aspects - Creating the scientific knowledge and contributing to the advanced industrial society through the neutron beam application - Improving quality of life and building a national consensus on the application of nuclear power by developing the RT fusion technology using the HANARO facility. - Widening the national research area and strengthening the national R and D capability by performing advanced R and D using the HANARO facility

  12. Advances in biohydrogen production processes: An approach towards commercialization

    Energy Technology Data Exchange (ETDEWEB)

    Das, Debabrata [Department of Biotechnology, Indian Institute of Technology, Kharagpur 721302, West Bengal (India)

    2009-09-15

    Biological H{sub 2} production has an edge over its chemical counterpart mainly because it is environmentally benign. Despite having simpler technology, higher evolution rate of H{sub 2} and the wide spectrum of substrate utilization, the major deterrent of anaerobic dark fermentation process stems from its lower achievable yields. Theoretically, the maximum H{sub 2} yield is 4 mol H{sub 2}/mol glucose when glucose is completely metabolized to acetate or acetone in the anaerobic process. But it is somewhat difficult to achieve the complete degradation of glucose to carbon dioxide and H{sub 2} through anaerobic dark fermentation. Moreover, this yield appears too low to be economically viable as an alternative to the existing chemical or electrochemical processes of hydrogen generation. Intensive research studies have already been carried out on the advancement of these processes, such as the development of genetically modified microorganism, improvement of the reactor designs, use of different solid matrices for the immobilization of whole cells, development of two-stage processes, and higher H{sub 2} production rates. Maximum H{sub 2} yield is found to be 5.1 mol H{sub 2}/mol glucose. However, major bottlenecks for the commercialization of these processes are lower H{sub 2} yield and rate of H{sub 2} production. Competent microbial cultures are required to handle waste materials efficiently, which are usually complex in nature. This will serve dual purposes: clean energy generation and bioremediation. Scale-up studies on fermentative H{sub 2} production processes have been done successfully. Pilot plant trials of the photo-fermentation processes require more attention. Use of cheaper raw materials and efficient biological H{sub 2} production processes will surely make them more competitive with the conventional H{sub 2} generation processes in near future. (author)

  13. Advanced Engineering Environments for Space Transportation System Development

    Science.gov (United States)

    Thomas, L. Dale; Smith, Charles A.; Beveridge, James

    2000-01-01

    There are significant challenges facing today's launch vehicle industry. Global competition, more complex products, geographically-distributed design teams, demands for lower cost, higher reliability and safer vehicles, and the need to incorporate the latest technologies quicker, all face the developer of a space transportation system. Within NASA, multiple technology development and demonstration projects are underway toward the objectives of safe, reliable, and affordable access to space. New information technologies offer promising opportunities to develop advanced engineering environments to meet these challenges. Significant advances in the state-of-the-art of aerospace engineering practice are envisioned in the areas of engineering design and analytical tools, cost and risk tools, collaborative engineering, and high-fidelity simulations early in the development cycle. At the Marshall Space Flight Center, work has begun on development of an advanced engineering environment specifically to support the design, modeling, and analysis of space transportation systems. This paper will give an overview of the challenges of developing space transportation systems in today's environment and subsequently discuss the advanced engineering environment and its anticipated benefits.

  14. An advanced purex process based on salt-free reductants

    Energy Technology Data Exchange (ETDEWEB)

    He, Hui; Ye, Guoan; Tang, Hongbin; Zheng, Weifang; Li, Gaoliang; Lin, Rushan [China Institute of Atomic Energy, Beijing (China). Dept. of Radiochemistry

    2014-04-01

    An advanced plutonium and uranium recovery process has been established based on two organic reductants, N,N-dimethylhydroxylamine (DMHAN) and methylhydrazine (MH), as U/Pu separation reagents. This Advanced Purex process based on Organic Reductants (APOR) is composed of three cycles, including U/Pu co-decontamination/separation cycle, uranium purification cycle and plutonium purification cycle. Using DMHAN and MH as plutonium stripping reagents in the U/Pu co-decontamination/separation cycle and plutonium purification cycle, the APOR process exhibits high performance with following highlights: (1) the process is much simpler because of the elimination of Tc scrubbing operation and the supplement extraction operation, (2) high efficiency of U/Pu separation can be achieved in the first cycle, (3) plutonium product solution of high concentration can be obtained in the Pu purification cycle with a simple extraction operation instead of circumfluent extraction or evaporation of the plutonium solution. (orig.)

  15. Development of advanced LWR fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong Hwan; Park, S. Y.; Lee, M. H. [and others

    2000-04-01

    This report describes the results from evaluating the preliminary Zr-based alloys to develop the advanced Zr-based alloys for the nuclear fuel claddings, which should have good corrosion resistance and mechanical properties at high burn-up over 70,000MWD/MTU. It also includes the results from the basic studies for optimizing the processes which are involved in the development of the advanced Zr-based alloys. Ten(10) kinds of candidates for the alloys of which performance is over that of the existing Zircaloy-4 or ZIRLO alloy were selected out of the preliminary alloys of 150 kinds which were newly designed and repeatedly manufactured and evaluated to find out the promising alloys. First of all, the corrosion tests on the preliminary alloys were carried out to evaluate their performance in both pure water and LiOH solution at 360 deg C and in steam at 400 deg C. The tensile tests were performed on the alloys which proved to be good in the corrosion resistance. The creep behaviors were tested at 400 deg C for 10 days with the application of constant load on the samples which showed good performance in the corrosion resistance and tensile properties. The effect of the final heat treatment and A-parameters as well as Sn or Nb on the corrosion resistance, tensile properties, hardness, microstructures of the alloys was evaluated for some alloys interested. The other basic researches on the oxides, electrochemical properties, corrosion mechanism, and the establishment of the phase diagrams of some alloys were also carried out.

  16. Development of advanced LWR fuel cladding

    International Nuclear Information System (INIS)

    Jeong, Yong Hwan; Park, S. Y.; Lee, M. H.

    2000-04-01

    This report describes the results from evaluating the preliminary Zr-based alloys to develop the advanced Zr-based alloys for the nuclear fuel claddings, which should have good corrosion resistance and mechanical properties at high burn-up over 70,000MWD/MTU. It also includes the results from the basic studies for optimizing the processes which are involved in the development of the advanced Zr-based alloys. Ten(10) kinds of candidates for the alloys of which performance is over that of the existing Zircaloy-4 or ZIRLO alloy were selected out of the preliminary alloys of 150 kinds which were newly designed and repeatedly manufactured and evaluated to find out the promising alloys. First of all, the corrosion tests on the preliminary alloys were carried out to evaluate their performance in both pure water and LiOH solution at 360 deg C and in steam at 400 deg C. The tensile tests were performed on the alloys which proved to be good in the corrosion resistance. The creep behaviors were tested at 400 deg C for 10 days with the application of constant load on the samples which showed good performance in the corrosion resistance and tensile properties. The effect of the final heat treatment and A-parameters as well as Sn or Nb on the corrosion resistance, tensile properties, hardness, microstructures of the alloys was evaluated for some alloys interested. The other basic researches on the oxides, electrochemical properties, corrosion mechanism, and the establishment of the phase diagrams of some alloys were also carried out

  17. Advanced Materials Development Program: Ceramic Technology for Advanced Heat Engines program plan, 1983--1993

    Energy Technology Data Exchange (ETDEWEB)

    1990-07-01

    The purpose of the Ceramic Technology for Advanced Heat Engines (CTAHE) Project is the development of an industrial technology base capable of providing reliable and cost-effective high temperature ceramic components for application in advanced heat engines. There is a deliberate emphasis on industrial'' in the purpose statement. The project is intended to support the US ceramic and engine industries by providing the needed ceramic materials technology. The heat engine programs have goals of component development and proof-of-concept. The CTAHE Project is aimed at developing generic basic ceramic technology and does not involve specific engine designs and components. The materials research and development efforts in the CTAHE Project are focused on the needs and general requirements of the advanced gas turbine and low heat rejection diesel engines. The CTAHE Project supports the DOE Office of Transportation Systems' heat engine programs, Advanced Turbine Technology Applications (ATTAP) and Heavy Duty Transport (HDT) by providing the basic technology required for development of reliable and cost-effective ceramic components. The heat engine programs provide the iterative component design, fabrication, and test development logic. 103 refs., 18 figs., 11 tabs.

  18. Process Techno – Innovation Using TQM in Developing Countries

    Directory of Open Access Journals (Sweden)

    Fasil Taddese

    2010-08-01

    Full Text Available Techno-innovation has been competitive edge for most manufacturing companies. Rapid advancement in technology-innovation geared-up with global mega-competition has resulted in unprecedented economic growth where TQM has played major role. Despite slow economic growth in developing countries caused by incapability to develop their own technology, failure to make wise decision in adopting competent technology, and inability to properly utilize adopted technologies; tremendous developments are seen in some. Examples can be Indian companies that won the prestigious Deming Prize and Japan Quality Medal after adopting necessary technologies from Japan under TQM. We have addressed process techno-innovation by 4M (Man, Machine, Method, Material and 1E (working condition-corporate culture approach. Results indicate that TQM affects process techno-innovation by primary effect on human resource and working condition/corporate culture. Three stage gates vis-à-vis: process understanding, process improvement and technology learning, and process techno-innovation are the mechanisms through which TQM promotes process techno-innovation in developing countries.

  19. Advanced optical manufacturing digital integrated system

    Science.gov (United States)

    Tao, Yizheng; Li, Xinglan; Li, Wei; Tang, Dingyong

    2012-10-01

    It is necessarily to adapt development of advanced optical manufacturing technology with modern science technology development. To solved these problems which low of ration, ratio of finished product, repetition, consistent in big size and high precision in advanced optical component manufacturing. Applied business driven and method of Rational Unified Process, this paper has researched advanced optical manufacturing process flow, requirement of Advanced Optical Manufacturing integrated System, and put forward architecture and key technology of it. Designed Optical component core and Manufacturing process driven of Advanced Optical Manufacturing Digital Integrated System. the result displayed effective well, realized dynamic planning Manufacturing process, information integration improved ratio of production manufactory.

  20. Advancing Work Practices Through Online Professional Development

    DEFF Research Database (Denmark)

    Noesgaard, Signe Schack

    The natural expectation for professional development courses is that they will improve a participant’s work performance, but do they? This PhD research challenges several assumptions underlying the design of online professional development courses, revealing that it is after such interventions...... was not effective and subsequently terminate change that could have advanced their practices. This underlines the need to think beyond the course format to make online professional development interventions continuous, committing, and contextual. The research suggests rethinking online professional development...... as adaptive “just-in-time” technologies and proposes a design theory called “situated online professional development,” entailing six design principles for advancing work practices....

  1. Safeguards System for the Advanced Spent Fuel Conditioning Process Facility

    International Nuclear Information System (INIS)

    Kim, Ho-dong; Lee, T.H.; Yoon, J.S.; Park, S.W; Lee, S.Y.; Li, T.K.; Menlove, H.; Miller, M.C.; Tolba, A.; Zarucki, R.; Shawky, S.; Kamya, S.

    2007-01-01

    The advanced spent fuel conditioning process (ACP) which is a part of a pyro-processing has been under development at Korean Atomic Energy Research Institute (KAERI) since 1997 to tackle the problem of an accumulation of spent fuel. The concept is to convert spent oxide fuel into a metallic form in a high temperature molten salt in order to reduce the heat energy, volume, and radioactivity of a spent fuel. Since the inactive tests of the ACP have been successfully implemented to confirm the validity of the electrolytic reduction technology, a lab-scale hot test will be undertaken in a couple of years to validate the concept. For this purpose, the KAERI has built the ACP Facility (ACPF) at the basement of the Irradiated Material Examination Facility (IMEF) of KAERI, which already has a reserved hot-cell area. Through the bilateral arrangement between US Department of Energy (DOE) and Korean Ministry of Science and Technology (MOST) for safeguards R and D, the KAERI has developed elements of safeguards system for the ACPF in cooperation with the Los Alamos National Laboratory (LANL). The reference safeguards design conditions and equipment were established for the ACPF. The ACPF safeguards system has many unique design specifications because of the particular characteristics of the pyro-process materials and the restrictions during a facility operation. For the material accounting system, a set of remote operation and maintenance concepts has been introduced for a non-destructive assay (NDA) system. The IAEA has proposed a safeguards approach to the ACPF for the different operational phases. Safeguards measures at the ACPF will be implemented during all operational phases which include a 'Cold Test', a 'Hot Test' and at the end of a 'Hot test'. Optimization of the IAEA's inspection efforts was addressed by designing an effective safeguards approach that relies on, inter alia, remote monitoring using cameras, installed NDA instrumentation, gate monitors and seals

  2. Advanced Environmental Barrier Coating Development for SiC-SiC Ceramic Matrix Composite Components

    Science.gov (United States)

    Zhu, Dongming; Harder, Bryan; Hurst, Janet B.; Halbig, Michael Charles; Puleo, Bernadette J.; Costa, Gustavo; Mccue, Terry R.

    2017-01-01

    This presentation reviews the NASA advanced environmental barrier coating (EBC) system development for SiC-SiC Ceramic Matrix Composite (CMC) combustors particularly under the NASA Environmentally Responsible Aviation, Fundamental Aeronautics and Transformative Aeronautics Concepts Programs. The emphases have been placed on the current design challenges of the 2700-3000F capable environmental barrier coatings for low NOX emission combustors for next generation turbine engines by using advanced plasma spray based processes, and the coating processing and integration with SiC-SiC CMCs and component systems. The developments also have included candidate coating composition system designs, degradation mechanisms, performance evaluation and down-selects; the processing optimizations using TriplexPro Air Plasma Spray Low Pressure Plasma Spray (LPPS), Plasma Spray Physical Vapor Deposition and demonstration of EBC-CMC systems. This presentation also highlights the EBC-CMC system temperature capability and durability improvements under the NASA development programs, as demonstrated in the simulated engine high heat flux, combustion environments, in conjunction with high heat flux, mechanical creep and fatigue loading testing conditions.

  3. Implementation and benefits of advanced process control for lithography CD and overlay

    Science.gov (United States)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  4. Design and optimization of components and processes for plasma sources in advanced material treatments

    OpenAIRE

    Rotundo, Fabio

    2012-01-01

    The research activities described in the present thesis have been oriented to the design and development of components and technological processes aimed at optimizing the performance of plasma sources in advanced in material treatments. Consumables components for high definition plasma arc cutting (PAC) torches were studied and developed. Experimental activities have in particular focussed on the modifications of the emissive insert with respect to the standard electrode configuration, whi...

  5. Radiation Processing of Advanced Composite Materials

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Phil Hyun; Jeun, Joonpyo; Nho, Young Chang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2008-04-15

    Advanced composites, such as carbon-fiber-reinforced plastics, are being used widely for many applications. Carbon fiber/epoxies composites have attracted special attention from the aircraft, aerospace, marine engineering, sporting goods and transportation industries, because they have useful mechanical properties including high strength-to-weight and stiffness-to-weight ratios, a corrosion resistant, impact and damage tolerance characteristics and wear properties. Thermal curing has been the dominant industrial process for advanced composites until now, however, a radiation curing process using UV, microwave x-ray, electron-beam(E-beam) and {gamma}-ray has emerged as a better alternative in recent years. These processes are compatible with the manufacturing of composites using traditional fabrication methods including a filament/tape winding, pultrusion, resin transfer moulding and hand lay-up. In this study, E-beam curable carbon fiber/epoxy composites were manufactured, and their mechanical properties were investigated. Two epoxy resins (bisphenol-A, bisphenol-F) containing photo-initiators (tri aryl sulfonium hexafluorophosphate, tri aryl sulfonium hexafluoroantimonate) were used as a matrix and a 4H-satin carbon woven fabric was used as a reinforcement. And then an electron beam irradiated the composites up to 200 kGy in a vacuum and an inert atmosphere. The cure cycle was optimized and the properties of composites were evaluated and analyzed via a differential scanning calorimetry, scanning electron microscopy, sol-gel extractions, FT-NIR, universal test machine, and an impact tester. The gel content, glass transition temperature and mechanical strength of the irradiated composites were increased with an increasing radiation dose.

  6. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    Science.gov (United States)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; Koopman, B. J.; Li, D.; McMahon, J.; Nati, F.; Niemack, M. D.; Pappas, C. G.; Salatino, M.; Schmitt, B. L.; Simon, S. M.; Staggs, S. T.; Stevens, J. R.; Van Lanen, J.; Vavagiakis, E. M.; Ward, J. T.; Wollack, E. J.

    2016-08-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN_x) materials and microwave structures, and the resulting performance improvements.

  7. Development of a visualized software for tokamak experiment data processing

    International Nuclear Information System (INIS)

    Cao Jianyong; Ding Xuantong; Luo Cuiwen

    2004-01-01

    With the VBA programming in Microsoft Excel, the authors have developed a post-processing software of experimental data in tokamak. The standard formal data in the HL-1M and HL-2A tokamaks can be read, displayed in Excel, and transmitted directly into the MATLAB workspace, for displaying pictures in MATLAB with the software. The authors have also developed data post-processing software in MATLAB environment, which can read standard format data, display picture, supply visual graphical user interface and provide part of advanced signal processing ability

  8. Development of processing procedures for advanced silicon solar cells. [antireflection coatings and short circuit currents

    Science.gov (United States)

    Scott-Monck, J. A.; Stella, P. M.; Avery, J. E.

    1975-01-01

    Ten ohm-cm silicon solar cells, 0.2 mm thick, were produced with short circuit current efficiencies up to thirteen percent and using a combination of recent technical advances. The cells were fabricated in conventional and wraparound contact configurations. Improvement in cell collection efficiency from both the short and long wavelengths region of the solar spectrum was obtained by coupling a shallow junction and an optically transparent antireflection coating with back surface field technology. Both boron diffusion and aluminum alloying techniques were evaluated for forming back surface field cells. The latter method is less complicated and is compatible with wraparound cell processing.

  9. PLUS 7TM advanced fuel assembly development program for KSNPs and APR1400

    International Nuclear Information System (INIS)

    Kim, Kyutae; Stucker, David L.

    2002-01-01

    KNFC and Westinghouse have recently completed the development of the PLUS 7 TM advanced 16 X 16 fuel assembly for the Korean Standard Nuclear Plants (KSNPs) and the Advanced Power Reactor 1400 (APR 1400). This fuel design utilized the proven advanced design features including mixing vane spacer grids to increase critical heat flux performance, ZIRLO TM advanced materials to enable high-duty, high burnup fuel management and an optimized fuel rod diameter which improves fuel cycle cost while resulting in significant standardization of Korean fuel manufacture. PLUS 7 TM , also includes a patented spacer grid design with conformal fuel rod support designed to provide superior fuel rod wear/fretting resistance while minimizing pressure drop. This paper will present an overview of the PLUS 7 TM fuel assembly development process including a summary of the three-year design and testing program from a mechanical, neutronic, and thermal/hydraulic perspective. The PLUS 7 TM fuel for the KSNPs and the APR1400 reactors results in multi-million dollar per cycle savings in imported enriched uranium product for the Korean nuclear power program with technology specifically developed for Korea by experienced Korean engineers

  10. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  11. Advance care planning in CKD/ESRD: an evolving process.

    Science.gov (United States)

    Holley, Jean L

    2012-06-01

    Advance care planning was historically considered to be simply the completion of a proxy (health care surrogate designation) or instruction (living will) directive that resulted from a conversation between a patient and his or her physician. We now know that advance care planning is a much more comprehensive and dynamic patient-centered process used by patients and families to strengthen relationships, achieve control over medical care, prepare for death, and clarify goals of care. Some advance directives, notably designated health care proxy documents, remain appropriate expressions of advance care planning. Moreover, although physician orders, such as do-not-resuscitate orders and Physician Orders for Life-Sustaining Treatment, may not be strictly defined as advance directives, their completion, when appropriate, is an integral component of advance care planning. The changing health circumstances and illness trajectory characteristic of ESRD mandate that advance care planning discussions adapt to a patient's situation and therefore must be readdressed at appropriate times and intervals. The options of withholding and withdrawing dialysis add ESRD-specific issues to advance care planning in this population and are events each nephrologist will at some time confront. Advance care planning is important throughout the spectrum of ESRD and is a part of nephrology practice that can be rewarding to nephrologists and beneficial to patients and their families.

  12. [The debate on the development of advanced competences].

    Science.gov (United States)

    Dimonte, Valerio; Palese, Alvisa; Chiari, Paolo; Laquintana, Dario; Tognoni, Gianni; Di Giulio, Paola

    2016-01-01

    . The debate on the development of advanced nursing competences. The dossier aims to describe and disentagle the present Italian and international debate on the development and recognition of advanced nursing competences. Following a general brief description of the legislative national background, the attention is first of all focused on the lack of clarity on the definition of advanced competence, which is further complicated by the issue of their formal, contractual and economic recognition. To explore these issues a list of contributions is presented and some proposals are formulated to favor a better oriented development of the debate: a. A convenience sample of 139 nurses were interviewed asking to describe problems occurred in the last month that could prompt the intervention of an expert nurse and to list the clinical, managerial and educational competences of a specialized nurse in their ward. The results document the quality and the dispersion of the definitions which are perceived and applied in the general settings of care. b. The issue the post basic courses (master, specialization) offered to nurses in 2015-2016 by Italian universities were described and their aims. While the contribution of the courses in increasing the theoretical knowledge is well defined, the aims and the description of the clinical training are badly developed and an acquisition of advanced competences would seem unlikely. c. The definition of advanced competences was explored in the international literature: while evidences are available on the impact of advanced nursing on patients' outcomes, what is advanced nursing is far from being clear, and an impressive list of roles, activities and functions are considered advanced. d. Although at national level there is no formal recognition for nurses with advanced competences (with the exception of the head nurse that holds mostly an organizational rather than clinical role), the opportunities for promoting the role of specialistic/advanced

  13. Technological advances in precision medicine and drug development.

    Science.gov (United States)

    Maggi, Elaine; Patterson, Nicole E; Montagna, Cristina

    New technologies are rapidly becoming available to expand the arsenal of tools accessible for precision medicine and to support the development of new therapeutics. Advances in liquid biopsies, which analyze cells, DNA, RNA, proteins, or vesicles isolated from the blood, have gained particular interest for their uses in acquiring information reflecting the biology of tumors and metastatic tissues. Through advancements in DNA sequencing that have merged unprecedented accuracy with affordable cost, personalized treatments based on genetic variations are becoming a real possibility. Extraordinary progress has been achieved in the development of biological therapies aimed to even further advance personalized treatments. We provide a summary of current and future applications of blood based liquid biopsies and how new technologies are utilized for the development of biological therapeutic treatments. We discuss current and future sequencing methods with an emphasis on how technological advances will support the progress in the field of precision medicine.

  14. A new advanced software platform for nuclear power plant process information systems

    International Nuclear Information System (INIS)

    Sorsa, A.

    1993-01-01

    In the late 80s, ABB Stromberg Power Ltd. started the development of a new generation software platform for the power plant Process Information System (PIS). This development resulted in a software platform called Procontrol PMS. Procontrol PMS is a platform for fully distributed systems which provides the following features: distributed data processing, non-stop architecture, low-cost incremental expansion path, open network architecture, high functionality, effective application development environment, and advanced user interface services. A description of the structure of the Procontrol PMS software is given. ABB has received by May 1992 six orders for nuclear power plant PISs based on Procontrol PMS (4 for PWR plants, 2 for BWRs). The first Procontrol PMS based nuclear power plant PIS was commissioned in 1989 at the Loviisa nuclear power plant and has been running with 100% availability since the commissioning. (Z.S.) 2 figs

  15. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  16. Research and development on the application of advanced control technologies to advanced nuclear reactor systems: A US national perspective

    International Nuclear Information System (INIS)

    White, J.D.; Monson, L.R.; Carrol, D.G.; Dayal, Y.

    1989-01-01

    Control system designs for nuclear power plants are becoming more advanced through the use of digital technology and automation. This evolution is taking place because of: (1) the limitations in analog based control system performance and maintenance and availability and (2) the promise of significant improvement in plant operation and availability due to advances in digital and other control technologies. Digital retrofits of control systems in US nuclear plants are occurring now. Designs of control and protection systems for advanced LWRs are based on digital technology. The use of small inexpensive, fast, large-capacity computers in these designs is the first step of an evolutionary process described in this paper. Under the sponsorship of the US Department of Energy (DOE), Oak Ridge National Laboratory, Argonne National Laboratory, GE Nuclear Energy and several universities are performing research and development in the application of advances in control theory, software engineering, advanced computer architectures, artificial intelligence, and man-machine interface analysis to control system design. The target plant concept for the work described in this paper is the Power Reactor Inherently Safe Module reactor (PRISM), an advanced modular liquid metal reactor concept. This and other reactor designs which provide strong passive responses to operational upsets or accidents afford good opportunities to apply these advances in control technology. 18 refs., 5 figs

  17. The state of art report on advanced reactor development

    International Nuclear Information System (INIS)

    Kim, Keung Koo; Noh, J. M.; Hwang, D. H. and others

    1999-07-01

    Recently, researches on the advanced power reactors are being performed actively, that maximize the economics and enhance the reactor safety by introducing the inherent safety characteristics and passive safety features. In the development of advanced reactor technology, we developed the inherent core design technologies which can form a foundation of indigenous technologies to provide the basic technology for the core design of the domestic advanced reactor. In this report, we examined the neutronics design technologies and core thermal hydraulics design technologies for advanced reactors performed all over the world. Major efforts are focussed on the soluble boron free core design technology and high conversion core design technology. In addition to these, new conceptual core, such as a supercritical core, design technology development was also reviewed. The characteristics of critical heat flux have been investigated for non-square lattice rod bundles, such as triangular lattice and wire wrap lattice. Based on the status of advanced reactor development, the soluble boron free and hexagonal lattice core design technologies are elementary technology for the domestic advanced reactor core. These elementary core technologies would enhance the reactor safety and improve the economics. (author). 71 refs., 31 tabs., 74 figs

  18. Recent advances in nonlinear speech processing

    CERN Document Server

    Faundez-Zanuy, Marcos; Esposito, Antonietta; Cordasco, Gennaro; Drugman, Thomas; Solé-Casals, Jordi; Morabito, Francesco

    2016-01-01

    This book presents recent advances in nonlinear speech processing beyond nonlinear techniques. It shows that it exploits heuristic and psychological models of human interaction in order to succeed in the implementations of socially believable VUIs and applications for human health and psychological support. The book takes into account the multifunctional role of speech and what is “outside of the box” (see Björn Schuller’s foreword). To this aim, the book is organized in 6 sections, each collecting a small number of short chapters reporting advances “inside” and “outside” themes related to nonlinear speech research. The themes emphasize theoretical and practical issues for modelling socially believable speech interfaces, ranging from efforts to capture the nature of sound changes in linguistic contexts and the timing nature of speech; labors to identify and detect speech features that help in the diagnosis of psychological and neuronal disease, attempts to improve the effectiveness and performa...

  19. Advanced Booster Composite Case/Polybenzimidazole Nitrile Butadiene Rubber Insulation Development

    Science.gov (United States)

    Gentz, Steve; Taylor, Robert; Nettles, Mindy

    2015-01-01

    The NASA Engineering and Safety Center (NESC) was requested to examine processing sensitivities (e.g., cure temperature control/variance, debonds, density variations) of polybenzimidazole nitrile butadiene rubber (PBI-NBR) insulation, case fiber, and resin systems and to evaluate nondestructive evaluation (NDE) and damage tolerance methods/models required to support human-rated composite motor cases. The proposed use of composite motor cases in Blocks IA and II was expected to increase performance capability through optimizing operating pressure and increasing propellant mass fraction. This assessment was to support the evaluation of risk reduction for large booster component development/fabrication, NDE of low mass-to-strength ratio material structures, and solid booster propellant formulation as requested in the Space Launch System NASA Research Announcement for Advanced Booster Engineering Demonstration and/or Risk Reduction. Composite case materials and high-energy propellants represent an enabling capability in the Agency's ability to provide affordable, high-performing advanced booster concepts. The NESC team was requested to provide an assessment of co- and multiple-cure processing of composite case and PBI-NBR insulation materials and evaluation of high-energy propellant formulations.

  20. The development and validation of the advance care planning questionnaire in Malaysia.

    Science.gov (United States)

    Lai, Pauline Siew Mei; Mohd Mudri, Salinah; Chinna, Karuthan; Othman, Sajaratulnisah

    2016-10-18

    Advance care planning is a voluntary process whereby individual preferences, values and beliefs are used to aid a person in planning for end-of-life care. Currently, there is no local instrument to assess an individual's awareness and attitude towards advance care planning. This study aimed to develop an Advance Care Planning Questionnaire and to determine its validity and reliability among older people in Malaysia. The Advance Care Planning Questionnaire was developed based on literature review. Face and content validity was verified by an expert panel, and piloted among 15 participants. Our study was conducted from October 2013 to February 2014, at an urban primary care clinic in Malaysia. Included were those aged >50 years, who could understand English. A retest was conducted 2 weeks after the first administration. Participants from the pilot study did not encounter any problems in answering the Advance Care Planning Questionnaire. Hence, no further modifications were made. Flesch reading ease was 71. The final version of the Advance Care Planning Questionnaire consists of 66 items: 30 items were measured on a nominal scale, whilst 36 items were measured on a Likert-like scale; of which we were only able to validate 22 items, as the remaining 14 items were descriptive in nature. A total of 245 eligible participants were approached; of which 230 agreed to participate (response rate = 93.9 %). Factor analysis on the 22 items measured on a Likert-scale revealed four domains: "feelings regarding advance care planning", "justifications for advance care planning", "justifications for not having advance care planning: fate and religion", and "justifications for not having advance care planning: avoid thinking about death". The Cronbach's alpha values for items each domain ranged from 0.637-0.915. In test-retest, kappa values ranged from 0.738-0.947. The final Advance Care Planning Questionnaire consisted of 63 items and 4 domains. It was found to be a valid and

  1. Advanced monitoring with complex stream processing

    CERN Multimedia

    CERN. Geneva

    2015-01-01

    Making sense of metrics and logs for service monitoring can be a complicated task. Valuable information is normally scattered across several streams of monitoring data, requiring aggregation, correlation and time-based analysis to promptly detect problems and failures. This presentations shows a solution which is used to support the advanced monitoring of the messaging services provided by the IT Department. It uses Esper, an open-source software product for Complex Event Processing (CEP), that analyses series of events for deriving conclusions from them.

  2. Microeconomics of advanced process window control for 50-nm gates

    Science.gov (United States)

    Monahan, Kevin M.; Chen, Xuemei; Falessi, Georges; Garvin, Craig; Hankinson, Matt; Lev, Amir; Levy, Ady; Slessor, Michael D.

    2002-07-01

    Fundamentally, advanced process control enables accelerated design-rule reduction, but simple microeconomic models that directly link the effects of advanced process control to profitability are rare or non-existent. In this work, we derive these links using a simplified model for the rate of profit generated by the semiconductor manufacturing process. We use it to explain why and how microprocessor manufacturers strive to avoid commoditization by producing only the number of dies required to satisfy the time-varying demand in each performance segment. This strategy is realized using the tactic known as speed binning, the deliberate creation of an unnatural distribution of microprocessor performance that varies according to market demand. We show that the ability of APC to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process window variation.

  3. Development of essential system technologies for advanced reactor

    International Nuclear Information System (INIS)

    Bae, Y. Y.; Hwang, Y. D.; Cho, B. H. and others

    1999-03-01

    Basic design of SMART adopts the new advanced technologies which were not applied in the existing 1000MWe PWR. However, the R and D experience on these advanced essential technologies is lacking in domestic nuclear industry. Recently, a research on these advanced technologies has been performed as a part of the mid-and-long term nuclear R and D program, but the research was limited only for the small scale fundamental study. The research on these essential technologies such as helically coiled tube steam generator, self pressurizer, core cooling by natural circulation required for the development of integral reactor SMART have not been conducted in full scale. This project, therefore, was performed for the development of analysis models and methodologies, system analysis and thermal hydraulic experiments on the essential technologies to be applied to the 300MWe capacity of integral reactor SMART and the advanced passive reactor expected to be developed in near future with the emphasis on experimental investigation. (author)

  4. Development of proto-type advanced leaked fuel rod detection system

    International Nuclear Information System (INIS)

    Kang, Kyung Chul; Cho, Seong Won; Jeon, Jae Hyuk; Jeong, Jae Cheon; Kim, Min

    1996-02-01

    The fuel inspection equipment using ultrasonic signal has been developed its design and configuration in order to get inspection results more accurate and easier than the previous ones. In this task, the system functions are advanced by adopting of state of the art technologies in the field of digital servo control and signal processing. By the above endeavors, the total performance are improved and made to handle easily. 61 tabs., 31 figs., 3 ills., 9 refs. (Author)

  5. Advances in uranium enrichment processes

    International Nuclear Information System (INIS)

    Rae, H.K.; Melvin, J.G.; Slater, J.B.

    1986-05-01

    Advances in gas centrifuges and development of the atomic vapour laser isotope separation process promise substantial reductions in the cost of enriched uranium. The resulting reduction in LWR fuel costs could seriously erode the economic advantage of CANDU, and in combination with LWR design improvements, shortened construction times and increased operational reliability could allow the LWR to overtake CANDU. CANDU's traditional advantages of neutron economy and high reliability may no longer be sufficient - this is the challenge. The responses include: combining neutron economy and dollar economy by optimizing CANDU for slightly enriched uranium fuel; developing cost-reducing improvements in design, manufacture and construction; and reducing the cost of heavy water. Technology is a renewable resource which must be continually applied to a product for it to remain competitive in the decades to come. Such innovation is a prerequisite to Canada increasing her share of the international market for nuclear power stations. The higher burn-up achievable with enriched fuel in CANDU can reduce the fuel cycle costs by 20 to 40 percent for a likely range of costs for yellowcake and separative work. Alternatively, some of the benefits of a higher fissile content can take the form of a cheaper reactor core containing fewer fuel channels and less heavy water, and needing only a single fuelling machine. An opportunity that is linked to this need to introduce an enriched uranium fuel cycle into CANDU is to build an enrichment business in Canada. This could offer greater value added to our uranium exports, security of supply for enriched CANDUs, technological growth in Canada and new employment opportunities. AECL has a study in progress to define this opportunity

  6. Human factors challenges for advanced process control

    International Nuclear Information System (INIS)

    Stubler, W.F.; O'Hara, J..M.

    1996-01-01

    New human-system interface technologies provide opportunities for improving operator and plant performance. However, if these technologies are not properly implemented, they may introduce new challenges to performance and safety. This paper reports the results from a survey of human factors considerations that arise in the implementation of advanced human-system interface technologies in process control and other complex systems. General trends were identified for several areas based on a review of technical literature and a combination of interviews and site visits with process control organizations. Human factors considerations are discussed for two of these areas, automation and controls

  7. Research on corrosion aspects of the advanced cold process canister

    International Nuclear Information System (INIS)

    Blackwood, D.J.; Hoch, A.R.; Naish, C.C.; Rance, A.

    1994-01-01

    The Advanced Cold Process Canister (ACPC) is a waste canister being developed jointly by SKB and TVO for the disposal of spent nuclear fuel. It comprises an outer copper canister, with a carbon steel canister inside. A concern regarding the use of the ACPC is that, in the unlikely event that the outer copper canister is penetrated, the anaerobic corrosion of the carbon steel container may result in the formation of hydrogen gas bubbles. These bubbles could disrupt the backfill, and thus increase water flow through the near field and the flux of radionuclides to the host geology. A number of factors that influence the rate at which hydrogen evolves as a result of the anaerobic corrosion of carbon steel in artificial granitic groundwaters have been investigated. A previously observed, time-dependent decline in the hydrogen evolution rate has been confirmed as being due to the production of magnetite film. Once the magnetite film is about 0.7-1.0 μm thick, the rate of hydrogen evolution reaches a steady state value. The pH and the ionic strength of the groundwater were both found to influence the long-term hydrogen evolution rate. The results of the experimental programme were used to update a model of the corrosion behaviour and hydrogen production from the Advanced Cold Process Canister. 36 figs, 5 tabs, 13 refs

  8. Report on Advanced Detector Development

    Energy Technology Data Exchange (ETDEWEB)

    James K. Jewell

    2012-09-01

    Neutron, gamma and charged particle detection improvements are key to supporting many of the foreseen measurements and systems envisioned in the R&D programs and the future fuel cycle requirements, such as basic nuclear physics and data, modeling and simulation, reactor instrumentation, criticality safety, materials management and safeguards. This task will focus on the developmental needs of the FCR&D experimental programs, such as elastic/inelastic scattering, total cross sections and fission neutron spectra measurements, and will leverage a number of existing neutron detector development efforts and programs, such as those at LANL, PNNL, INL, and IAC as well as those at many universities, some of whom are funded under NE grants and contracts. Novel materials and fabrication processes combined with state-of-the-art electronics and computing provide new opportunities for revolutionary detector systems that will be able to meet the high precision needs of the program. This work will be closely coordinated with the Nuclear Data Crosscut. The Advanced Detector Development effort is a broadly-focused activity that supports the development of improved nuclear data measurements and improved detection of nuclear reactions and reactor conditions. This work supports the design and construction of large-scale, multiple component detectors to provide nuclear reaction data of unprecedented quality and precision. Examples include the Time Projection Chamber (TPC) and the DANCE detector at LANL. This work also supports the fabrication and end-user application of novel scintillator materials detection and monitoring.

  9. Development of Demonstration Facility Design Technology for Advanced Nuclear Fuel Cycle Process

    International Nuclear Information System (INIS)

    Cho, Il Je; You, G. S.; Choung, W. M.

    2010-04-01

    The main objective of this R and D is to develop the PRIDE (PyRoprocess Integrated inactive DEmonstration) facility for engineering-scale inactive test using fresh uranium, and to establish the design requirements of the ESPF (Engineering Scale Pyroprocess Facility) for active demonstration of the pyroprocess. Pyroprocess technology, which is applicable to GEN-IV systems as one of the fuel cycle options, is a solution of the spent fuel accumulation problems. PRIDE Facility, pyroprocess mock-up facility, is the first facility that is operated in inert atmosphere in the country. By using the facility, the functional requirements and validity of pyroprocess technology and facility related to the advanced fuel cycle can be verified with a low cost. Then, PRIDE will contribute to evaluate the technology viability, proliferation resistance and possibility of commercialization of the pyroprocess technology. The PRIDE evaluation data, such as performance evaluation data of equipment and operation experiences, will be directly utilized for the design of ESPF

  10. NASA Advanced Concepts Office, Earth-To-Orbit Team Design Process and Tools

    Science.gov (United States)

    Waters, Eric D.; Garcia, Jessica; Threet, Grady E., Jr.; Phillips, Alan

    2013-01-01

    The Earth-to-Orbit Team (ETO) of the Advanced Concepts Office (ACO) at NASA Marshall Space Flight Center (MSFC) is considered the pre-eminent "go-to" group for pre-phase A and phase A concept definition. Over the past several years the ETO team has evaluated thousands of launch vehicle concept variations for a significant number of studies including agency-wide efforts such as the Exploration Systems Architecture Study (ESAS), Constellation, Heavy Lift Launch Vehicle (HLLV), Augustine Report, Heavy Lift Propulsion Technology (HLPT), Human Exploration Framework Team (HEFT), and Space Launch System (SLS). The ACO ETO Team is called upon to address many needs in NASA's design community; some of these are defining extremely large trade-spaces, evaluating advanced technology concepts which have not been addressed by a large majority of the aerospace community, and the rapid turn-around of highly time critical actions. It is the time critical actions, those often limited by schedule or little advanced warning, that have forced the five member ETO team to develop a design process robust enough to handle their current output level in order to meet their customer's needs. Based on the number of vehicle concepts evaluated over the past year this output level averages to four completed vehicle concepts per day. Each of these completed vehicle concepts includes a full mass breakdown of the vehicle to a tertiary level of subsystem components and a vehicle trajectory analysis to determine optimized payload delivery to specified orbital parameters, flight environments, and delta v capability. A structural analysis of the vehicle to determine flight loads based on the trajectory output, material properties, and geometry of the concept is also performed. Due to working in this fast-paced and sometimes rapidly changing environment, the ETO Team has developed a finely tuned process to maximize their delivery capabilities. The objective of this paper is to describe the interfaces

  11. Commercially developed mixed-signal CMOS process features for application in advanced ROICs in 0.18μm technology node

    Science.gov (United States)

    Kar-Roy, Arjun; Hurwitz, Paul; Mann, Richard; Qamar, Yasir; Chaudhry, Samir; Zwingman, Robert; Howard, David; Racanelli, Marco

    2012-06-01

    Increasingly complex specifications for next-generation focal plane arrays (FPAs) require smaller pixels, larger array sizes, reduced power consumption and lower cost. We have previously reported on the favorable features available in the commercially available TowerJazz CA18 0.18μm mixed-signal CMOS technology platform for advanced read-out integrated circuit (ROIC) applications. In his paper, new devices in development for commercial purposes and which may have applications in advanced ROICs are reported. First, results of buried-channel 3.3V field effect transistors (FETs) are detailed. The buried-channel pFETs show flicker (1/f) noise reductions of ~5X in comparison to surface-channel pFETs along with a significant reduction of the body constant parameter. The buried-channel nFETs show ~2X reduction of 1/f noise versus surface-channel nFETs. Additional reduced threshold voltage nFETs and pFETs are also described. Second, a high-density capacitor solution with a four-stacked linear (metal-insulator-metal) MIM capacitor having capacitance density of 8fF/μm2 is reported. Additional stacking with MOS capacitor in a 5V tolerant process results in >50fC/μm2 charge density. Finally, one-time programmable (OTP) and multi-time programmable (MTP) non-volatile memory options in the CA18 technology platform are outlined.

  12. Workshop on Critical Issues in Microgravity Fluids, Transport, and Reaction Processes in Advanced Human Support Technology

    Science.gov (United States)

    Chiaramonte, Francis P.; Joshi, Jitendra A.

    2004-01-01

    This workshop was designed to bring the experts from the Advanced Human Support Technologies communities together to identify the most pressing and fruitful areas of research where success hinges on collaborative research between the two communities. Thus an effort was made to bring together experts in both advanced human support technologies and microgravity fluids, transport and reaction processes. Expertise was drawn from academia, national laboratories, and the federal government. The intent was to bring about a thorough exchange of ideas and develop recommendations to address the significant open design and operation issues for human support systems that are affected by fluid physics, transport and reaction processes. This report provides a summary of key discussions, findings, and recommendations.

  13. Nontraditional machining processes research advances

    CERN Document Server

    2013-01-01

    Nontraditional machining employs processes that remove material by various methods involving thermal, electrical, chemical and mechanical energy or even combinations of these. Nontraditional Machining Processes covers recent research and development in techniques and processes which focus on achieving high accuracies and good surface finishes, parts machined without burrs or residual stresses especially with materials that cannot be machined by conventional methods. With applications to the automotive, aircraft and mould and die industries, Nontraditional Machining Processes explores different aspects and processes through dedicated chapters. The seven chapters explore recent research into a range of topics including laser assisted manufacturing, abrasive water jet milling and hybrid processes. Students and researchers will find the practical examples and new processes useful for both reference and for developing further processes. Industry professionals and materials engineers will also find Nontraditional M...

  14. Fieldcrest Cannon, Inc. Advanced Technical Preparation. Statistical Process Control (SPC). PRE-SPC I. Instructor Book.

    Science.gov (United States)

    Averitt, Sallie D.

    This instructor guide, which was developed for use in a manufacturing firm's advanced technical preparation program, contains the materials required to present a learning module that is designed to prepare trainees for the program's statistical process control module by improving their basic math skills and instructing them in basic calculator…

  15. Advances in chemical engineering in nuclear and process industries

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-06-01

    Symposium on Advances in Chemical Engineering in Nuclear and Process Industries dealt with a wide spectrum of areas encompassing various industries such as nuclear, fertilizer, petrochemical, refinery and cement. The topics covered in the symposium dealt with the advancements in the existing fields of science and technologies as well as in some of the emerging technologies such as membrane technology, bio-chemical and photo-chemical engineering etc. with a special emphasis on nuclear related aspects. Papers relevant to INIS are indexed separately.

  16. Advances in chemical engineering in nuclear and process industries

    International Nuclear Information System (INIS)

    1994-06-01

    Symposium on Advances in Chemical Engineering in Nuclear and Process Industries dealt with a wide spectrum of areas encompassing various industries such as nuclear, fertilizer, petrochemical, refinery and cement. The topics covered in the symposium dealt with the advancements in the existing fields of science and technologies as well as in some of the emerging technologies such as membrane technology, bio-chemical and photo-chemical engineering etc. with a special emphasis on nuclear related aspects. Papers relevant to INIS are indexed separately

  17. Advanced Color Image Processing and Analysis

    CERN Document Server

    2013-01-01

    This volume does much more than survey modern advanced color processing. Starting with a historical perspective on ways we have classified color, it sets out the latest numerical techniques for analyzing and processing colors, the leading edge in our search to accurately record and print what we see. The human eye perceives only a fraction of available light wavelengths, yet we live in a multicolor world of myriad shining hues. Colors rich in metaphorical associations make us “purple with rage” or “green with envy” and cause us to “see red.” Defining colors has been the work of centuries, culminating in today’s complex mathematical coding that nonetheless remains a work in progress: only recently have we possessed the computing capacity to process the algebraic matrices that reproduce color more accurately. With chapters on dihedral color and image spectrometers, this book provides technicians and researchers with the knowledge they need to grasp the intricacies of today’s color imaging.

  18. Nuclear heat source design for an advanced HTGR process heat plant

    International Nuclear Information System (INIS)

    McDonald, C.F.; O'Hanlon, T.W.

    1983-01-01

    A high-temperature gas-cooled reactor (HTGR) coupled with a chemical process facility could produce synthetic fuels (i.e., oil, gasoline, aviation fuel, methanol, hydrogen, etc.) in the long term using low-grade carbon sources (e.g., coal, oil shale, etc.). The ultimate high-temperature capability of an advanced HTGR variant is being studied for nuclear process heat. This paper discusses a process heat plant with a 2240-MW(t) nuclear heat source, a reactor outlet temperature of 950 0 C, and a direct reforming process. The nuclear heat source outputs principally hydrogen-rich synthesis gas that can be used as a feedstock for synthetic fuel production. This paper emphasizes the design of the nuclear heat source and discusses the major components and a deployment strategy to realize an advanced HTGR process heat plant concept

  19. Effects of marital conflict on children: recent advances and emerging themes in process-oriented research.

    Science.gov (United States)

    Cummings, E Mark; Davies, Patrick T

    2002-01-01

    The effects of marital conflict on children's adjustment are well documented. For the past decade research has increasingly focused on advancing a process-level understanding of these effects, that is, accounting for the particular responses and patterns embedded within specific contexts, histories, and developmental periods that account for children's outcomes over time. As a vehicle for presenting an update, this review follows the framework for process-oriented research initially proposed by Cummings and Cummings (1988), concentrating on recent research developments, and also considering new and emerging themes in this area of research. In this regard, areas of advancement include (a) greater articulation of the effects of specific context/stimulus characteristics of marital conflict, (b) progress in identifying the psychological response processes in children (e.g., cognitive, emotional, social, physiological) that are affected and their possible role in accounting for relations between marital conflict and child outcomes, (c) greater understanding of the role of child characteristics, family history, and other contextual factors, including effects on children due to interrelations between marital conflict and parenting, and (d) advances in the conceptualization of children's outcomes, including that effects may be more productively viewed as dynamic processes of functioning rather than simply clinical diagnoses. Understanding of the impact of marital conflict on children as a function of time-related processes remains a gap in a process-oriented conceptualization of effects. Based on this review, a revised model for a process-oriented approach on the effects of marital discord on children is proposed and suggestions are made for future research directions.

  20. Advances in downstream processing of biologics - Spectroscopy: An emerging process analytical technology.

    Science.gov (United States)

    Rüdt, Matthias; Briskot, Till; Hubbuch, Jürgen

    2017-03-24

    Process analytical technologies (PAT) for the manufacturing of biologics have drawn increased interest in the last decade. Besides being encouraged by the Food and Drug Administration's (FDA's) PAT initiative, PAT promises to improve process understanding, reduce overall production costs and help to implement continuous manufacturing. This article focuses on spectroscopic tools for PAT in downstream processing (DSP). Recent advances and future perspectives will be reviewed. In order to exploit the full potential of gathered data, chemometric tools are widely used for the evaluation of complex spectroscopic information. Thus, an introduction into the field will be given. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  1. Measurement and modeling of advanced coal conversion processes

    Energy Technology Data Exchange (ETDEWEB)

    Solomon, P.R.; Serio, M.A.; Hamblen, D.G.; Smoot, L.D.; Brewster, B.S. (Advanced Fuel Research, Inc., East Hartford, CT (United States) Brigham Young Univ., Provo, UT (United States))

    1991-01-01

    The overall objective of this program is the development of predictive capability for the design, scale up, simulation, control and feedstock evaluation in advanced coal conversion devices. This program will merge significant advances made in measuring and quantitatively describing the mechanisms in coal conversion behavior. Comprehensive computer codes for mechanistic modeling of entrained-bed gasification. Additional capabilities in predicting pollutant formation will be implemented and the technology will be expanded to fixed-bed reactors.

  2. Research and development project in fiscal 1990 for large industrial technologies. Achievement report on research and development of ultra-advanced processing systems (Development of high-level processing devices for electric power generation facility members); 1990 nendo chosentan kako system no kenkyu kaihatsu seika hokokusho. Hatsuden shisetsuyo buzai kodo kako sochi kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1991-03-01

    Research and development has been performed with an objective to establish the processing technology using excitation beam required for the advanced technology industries, and the ultra-precision machining technology to realize the nano-technology. This paper summarizes the achievements in fiscal 1990. In the research on the large output and long life technology for excimer laser, an average output of 500 W or more was demonstrated achieving the intermediate target by developing and improving the technologies for low electric power gas circulation, high efficiency discharge and excitation, and large output oscillation control. In the research on intensity resistant optical element technology, a prototype device was fabricated to create and process axially symmetrical aspherical shapes, whereas SiC aspherical shape creation and processing were demonstrated at the shape accuracy of three microns or better, and surface roughness of 20 nanometers or less, having achieved the intermediate target. Regarding the large current ion beam, a demonstration device was completed based on improvements and test results on the sheet plasma generator. In the research and development of the high-level processing technology, low-temperature forming technology was developed for oxide ceramic thin films by using the excimer laser abrasion method. (NEDO)

  3. Developing a Model of Advanced Training to Promote Career Advancement for Certified Genetic Counselors: An Investigation of Expanded Skills, Advanced Training Paths, and Professional Opportunities.

    Science.gov (United States)

    Baty, Bonnie J; Trepanier, Angela; Bennett, Robin L; Davis, Claire; Erby, Lori; Hippman, Catriona; Lerner, Barbara; Matthews, Anne; Myers, Melanie F; Robbins, Carol B; Singletary, Claire N

    2016-08-01

    There are currently multiple paths through which genetic counselors can acquire advanced knowledge and skills. However, outside of continuing education opportunities, there are few formal training programs designed specifically for the advanced training of genetic counselors. In the genetic counseling profession, there is currently considerable debate about the paths that should be available to attain advanced skills, as well as the skills that might be needed for practice in the future. The Association of Genetic Counseling Program Directors (AGCPD) convened a national committee, the Committee on Advanced Training for Certified Genetic Counselors (CATCGC), to investigate varied paths to post-master's training and career development. The committee began its work by developing three related grids that view career advancement from the viewpoints of the skills needed to advance (skills), ways to obtain these skills (paths), and existing genetic counselor positions that offer career change or advancement (positions). Here we describe previous work related to genetic counselor career advancement, the charge of the CATCGC, our preliminary work in developing a model through which to view genetic counselor advanced training and career advancement opportunities, and our next steps in further developing and disseminating the model.

  4. MCWASP XIV: International Conference on Modelling of Casting, Welding and Advanced Solidification Processes

    International Nuclear Information System (INIS)

    Yasuda, H

    2015-01-01

    The current volume represents contributed papers of the proceedings of the 14th international conference on ''Modeling of Casting, Welding and Advanced Solidification Processes (MCWASP XIV)'', Yumebutai International Conference Center, Awaji island, Hyogo, Japan on 21 – 26 June, 2016. The first conference of the series 'Modeling of Casting, Welding and Advanced Solidification Processes (MCWASP)' was started up in 1980, and this is the 14th conference. The participants are more than 100 scientists from industry and academia, coming from 19 countries. In the conference, we have 5 invited, 70 oral and 31 poster presentations on different aspects of the modeling. The conference deals with various casting processes (Ingot / shape casting, continuous casting, direct chill casting and welding), fundamental phenomena (nucleation and growth, dendritic growth, eutectic growth, micro-, meso- and macrostructure formation and defect formation), coupling problems (electromagnetic interactions, application of ultrasonic wave), development of experimental / computational methods and so on. This volume presents the cutting-edge research in the modeling of casting, welding and solidification processes. I would like to thank MAGMA Giessereitechnologie GmbH, Germany and SCSK Corporation, Japan for supporting the publication of contributed papers. Hideyuki Yasuda Conference Chairman Department of Materials Science and Engineering, Kyoto University Japan (preface)

  5. Advanced Solid-State Joining Processes for 2219 Aluminum Alloys, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Thermal Stir Welding (TSW) advances the more conventional Friction Stir Welding (C-FSW) process by separating the primary process variables of metal stirring and...

  6. The use of safeguards data for process monitoring in the Advanced Test Line for Actinide Separations

    International Nuclear Information System (INIS)

    Barnes, J.W.; Yarbro, S.L.

    1987-01-01

    Los Alamos is constructing an integrated process monitoring/materials control and accounting (PM/MC and A) system in the Advanced Testing Line for Actinide Separations (ATLAS) at the Los Alamos Plutonium Facility. The ATLAS will test and demonstrate new methods for aqueous processing of plutonium. The ATLAS will also develop, test, and demonstrate the concepts for integrated process monitoring/materials control and accounting. We describe how this integrated PM/MC and A system will function and provide benefits to both process research and materials accounting personnel

  7. Adding structure to the transition process to advanced mathematical activity

    Science.gov (United States)

    Engelbrecht, Johann

    2010-03-01

    The transition process to advanced mathematical thinking is experienced as traumatic by many students. Experiences that students had of school mathematics differ greatly to what is expected from them at university. Success in school mathematics meant application of different methods to get an answer. Students are not familiar with logical deductive reasoning, required in advanced mathematics. It is necessary to assist students in this transition process, in moving from general to mathematical thinking. In this article some structure is suggested for this transition period. This essay is an argumentative exposition supported by personal experience and international literature. This makes this study theoretical rather than empirical.

  8. Radioactive Waste Conditioning, Immobilisation, And Encapsulation Processes And Technologies: Overview And Advances (Chapter 7)

    Energy Technology Data Exchange (ETDEWEB)

    Jantzen, Carol M. [Savannah River National Lab., Aiken SC (United States); Lee, William E. [Imperial College, London (United Kingdom). Dept. of Materials; Ojovan, Michael I. [Univ. of Sheffield (United Kingdom). Dept. of Materials Science and Engineering

    2012-10-19

    The main immobilization technologies that are available commercially and have been demonstrated to be viable are cementation, bituminization, and vitrification. Vitrification is currently the most widely used technology for the treatment of high level radioactive wastes (HLW) throughout the world. Most of the nations that have generated HLW are immobilizing in either alkali borosilicate glass or alkali aluminophosphate glass. The exact compositions of nuclear waste glasses are tailored for easy preparation and melting, avoidance of glass-in-glass phase separation, avoidance of uncontrolled crystallization, and acceptable chemical durability, e.g., leach resistance. Glass has also been used to stabilize a variety of low level wastes (LLW) and mixed (radioactive and hazardous) low level wastes (MLLW) from other sources such as fuel rod cladding/decladding processes, chemical separations, radioactive sources, radioactive mill tailings, contaminated soils, medical research applications, and other commercial processes. The sources of radioactive waste generation are captured in other chapters in this book regarding the individual practices in various countries (legacy wastes, currently generated wastes, and future waste generation). Future waste generation is primarily driven by interest in sources of clean energy and this has led to an increased interest in advanced nuclear power production. The development of advanced wasteforms is a necessary component of the new nuclear power plant (NPP) flowsheets. Therefore, advanced nuclear wasteforms are being designed for robust disposal strategies. A brief summary is given of existing and advanced wasteforms: glass, glass-ceramics, glass composite materials (GCM’s), and crystalline ceramic (mineral) wasteforms that chemically incorporate radionuclides and hazardous species atomically in their structure. Cementitious, geopolymer, bitumen, and other encapsulant wasteforms and composites that atomically bond and encapsulate

  9. 3D metal droplet printing development and advanced materials additive manufacturing

    Directory of Open Access Journals (Sweden)

    Lawrence E. Murr

    2017-01-01

    Full Text Available While commercial additive manufacturing processes involving direct metal wire or powder deposition along with powder bed fusion technologies using laser and electron beam melting have proliferated over the past decade, inkjet printing using molten metal droplets for direct, 3D printing has been elusive. In this paper we review the more than three decades of development of metal droplet generation for precision additive manufacturing applications utilizing advanced, high-temperature metals and alloys. Issues concerning process optimization, including product structure and properties affected by oxidation are discussed and some comparisons of related additive manufactured microstructures are presented.

  10. Development of biological coal gasification (MicGAS Process)

    Energy Technology Data Exchange (ETDEWEB)

    Walia, D.S.; Srivastava, K.C.

    1994-10-01

    The overall goal of the project is to develop an advanced, clean coal biogasification (MicGAS) Process. The objectives of the research during FY 1993--94 were to: (1) enhance kinetics of methane production (biogasification, biomethanation) from Texas lignite (TxL) by the Mic-1 consortium isolated and developed at ARCTECH, (2) increase coal solids loading, (3) optimize medium composition, and (4) reduce retention time. A closer analysis of the results described here indicate that biomethanation of TxL at >5% solids loading is feasible through appropriate development of nutrient medium and further adaptation of the microorganisms involved in this process. Further understanding of the inhibitory factors and some biochemical manipulations to overcome those inhibitions will hasten the process considerably. Results are discussed on the following: products of biomethanation and enhance of methane production including: bacterial adaptation; effect of nutrient amendment substitutes; effects of solids loading; effect of initial pH of the culture medium; effect of hydrogen donors and carbon balance.

  11. Policy issues inherent in advanced technology development

    Energy Technology Data Exchange (ETDEWEB)

    Baumann, P.D.

    1994-12-31

    In the development of advanced technologies, there are several forces which are involved in the success of the development of those technologies. In the overall development of new technologies, a sufficient number of these forces must be present and working in order to have a successful opportunity at developing, introducing and integrating into the marketplace a new technology. This paper discusses some of these forces and how they enter into the equation for success in advanced technology research, development, demonstration, commercialization and deployment. This paper limits itself to programs which are generally governmental funded, which in essence represent most of the technology development efforts that provide defense, energy and environmental technological products. Along with the identification of these forces are some suggestions as to how changes may be brought about to better ensure success in a long term to attempt to minimize time and financial losses.

  12. Policy issues inherent in advanced technology development

    International Nuclear Information System (INIS)

    Baumann, P.D.

    1994-01-01

    In the development of advanced technologies, there are several forces which are involved in the success of the development of those technologies. In the overall development of new technologies, a sufficient number of these forces must be present and working in order to have a successful opportunity at developing, introducing and integrating into the marketplace a new technology. This paper discusses some of these forces and how they enter into the equation for success in advanced technology research, development, demonstration, commercialization and deployment. This paper limits itself to programs which are generally governmental funded, which in essence represent most of the technology development efforts that provide defense, energy and environmental technological products. Along with the identification of these forces are some suggestions as to how changes may be brought about to better ensure success in a long term to attempt to minimize time and financial losses

  13. B ampersand W PWR advanced control system algorithm development

    International Nuclear Information System (INIS)

    Winks, R.W.; Wilson, T.L.; Amick, M.

    1992-01-01

    This paper discusses algorithm development of an Advanced Control System for the B ampersand W Pressurized Water Reactor (PWR) nuclear power plant. The paper summarizes the history of the project, describes the operation of the algorithm, and presents transient results from a simulation of the plant and control system. The history discusses the steps in the development process and the roles played by the utility owners, B ampersand W Nuclear Service Company (BWNS), Oak Ridge National Laboratory (ORNL), and the Foxboro Company. The algorithm description is a brief overview of the features of the control system. The transient results show that operation of the algorithm in a normal power maneuvering mode and in a moderately large upset following a feedwater pump trip

  14. Advanced Purex process for the new French reprocessing plants

    International Nuclear Information System (INIS)

    Viala, M.; Ledermann, P.; Pradel, P.

    1993-01-01

    The paper describes the main process innovations of the new Cogema reprocessing plants of La Hague (UP3 and UP2 800). Major improvements of process like the use of rotary dissolvers and annular columns, and also entirely new processes like solvent distillation and plutonium oxidizing dissolution, yield an advanced Purex process. The results of these innovations are significant improvements for throughput, end-products purification performances and waste minimization. They contribute also to limit personnel exposure. The main results of the first three years of operation are described. (author). 3 refs., 5 figs

  15. Advances in optical information processing V; Proceedings of the Meeting, Orlando, FL, Apr. 21-24, 1992

    Science.gov (United States)

    Pape, Dennis R.

    Consideration is given to the following topics: transition of optical processing into systems (TOPS), optical signal processing, optical signal processing devices, optical image processing, Russian optical information processing, optical interconnects, and optical computing. Particular papers are presented on an acoustooptic range-Doppler processor design for radar insertion, an optical SAR processor and target recognition system, an advanced magnetooptic spatial light modulator device development update, an algorithm for controlling speckle-noise parameters, optical image processing in Russia, a massively parallel optical interconnect for long data stream convolution, and a reprogrammable digital optical coprocessor. (For individual items see A93-27718 to A93-27723)

  16. Development of advanced instrumentation for the Phebus FP project-preliminary studies

    International Nuclear Information System (INIS)

    Hampel, G.; Poss, G.

    1989-10-01

    The objective of the project was to examine advanced measuring methods for on-line determination of aerosol, thermohydraulic and hydrogen parameters for their usefulness in the French core melting programme PHEBUS FP. Advanced methods that are known from reactor safety programmes as well as novel measuring techniques as used in related fields such as fuel reprocessing and in non-nuclear process technology have been described and analysed with a view to their potential application in the planned tests. Possibly necessary further developments and modifications have been identified and approaches to solution have been outlined. Special emphasis has been put on the present state of radiation resistant optical fibre wave-guides, which might be integrated in several instruments. As regards the particularly important on-line aerosol measurements in PHEBUS FP, a combined system is recommended as the most promising further development. This novel system configuration comprises the mass monitor of a MESA dust monitoring system and one or several photometers of EIR design

  17. Recent developments and key barriers to advanced biofuels: A short review.

    Science.gov (United States)

    Oh, You-Kwan; Hwang, Kyung-Ran; Kim, Changman; Kim, Jung Rae; Lee, Jin-Suk

    2018-06-01

    Biofuels are regarded as one of the most viable options for reduction of CO 2 emissions in the transport sector. However, conventional plant-based biofuels (e.g., biodiesel, bioethanol)'s share of total transportation-fuel consumption in 2016 was very low, about 4%, due to several major limitations including shortage of raw materials, low CO 2 mitigation effect, blending wall, and poor cost competitiveness. Advanced biofuels such as drop-in, microalgal, and electro biofuels, especially from inedible biomass, are considered to be a promising solution to the problem of how to cope with the growing biofuel demand. In this paper, recent developments in oxy-free hydrocarbon conversion via catalytic deoxygenation reactions, the selection of and lipid-content enhancement of oleaginous microalgae, electrochemical biofuel conversion, and the diversification of valuable products from biomass and intermediates are reviewed. The challenges and prospects for future development of eco-friendly and economically advanced biofuel production processes also are outlined herein. Copyright © 2018 Elsevier Ltd. All rights reserved.

  18. Industrial waste water treatment by advanced oxidation processes; Tratamiento de aguas residuales industriales mediante procesos de oxidacion avanzada

    Energy Technology Data Exchange (ETDEWEB)

    Gasso, S.; Baldasano, J.M.

    1996-06-01

    Advanced Oxidation Technologies have been defined as processes which involve the generation of highly reactive oxy radicals. These systems show promise for the destruction of non biodegradable and hazardous organic substances in industrial wastewater. Two types of advanced oxidation processes are considered in this paper: (1) systems that use high energy oxidants (O{sub 3}, H{sub 2}O{sub 2}, UV, etc) at ambient temperature to initiate the oxidation reaction, and (2) processes that use molecular oxygen and high temperature and pressure to initiate the reaction (wet oxidation at subcritical and supercritical conditions). The fundamental aspects of these oxidation technologies are discussed, the application framework is defined and the technology development is indicated. (Author) 33 refs.

  19. Radiation Monitoring System in Advanced Spent Fuel Conditioning Process Facility

    Energy Technology Data Exchange (ETDEWEB)

    You, Gil Sung; Kook, D. H.; Choung, W. M.; Ku, J. H.; Cho, I. J.; You, G. S.; Kwon, K. C.; Lee, W. K.; Lee, E. P

    2006-09-15

    The Advanced spent fuel Conditioning Process is under development for effective management of spent fuel by converting UO{sub 2} into U-metal. For demonstration of this process, {alpha}-{gamma} type new hot cell was built in the IMEF basement . To secure against radiation hazard, this facility needs radiation monitoring system which will observe the entire operating area before the hot cell and service area at back of it. This system consists of 7 parts; Area Monitor for {gamma}-ray, Room Air Monitor for particulate and iodine in both area, Hot cell Monitor for hot cell inside high radiation and rear door interlock, Duct Monitor for particulate of outlet ventilation, Iodine Monitor for iodine of outlet duct, CCTV for watching workers and material movement, Server for management of whole monitoring system. After installation and test of this, radiation monitoring system will be expected to assist the successful ACP demonstration.

  20. Radiation Monitoring System in Advanced Spent Fuel Conditioning Process Facility

    International Nuclear Information System (INIS)

    You, Gil Sung; Kook, D. H.; Choung, W. M.; Ku, J. H.; Cho, I. J.; You, G. S.; Kwon, K. C.; Lee, W. K.; Lee, E. P.

    2006-09-01

    The Advanced spent fuel Conditioning Process is under development for effective management of spent fuel by converting UO 2 into U-metal. For demonstration of this process, α-γ type new hot cell was built in the IMEF basement . To secure against radiation hazard, this facility needs radiation monitoring system which will observe the entire operating area before the hot cell and service area at back of it. This system consists of 7 parts; Area Monitor for γ-ray, Room Air Monitor for particulate and iodine in both area, Hot cell Monitor for hot cell inside high radiation and rear door interlock, Duct Monitor for particulate of outlet ventilation, Iodine Monitor for iodine of outlet duct, CCTV for watching workers and material movement, Server for management of whole monitoring system. After installation and test of this, radiation monitoring system will be expected to assist the successful ACP demonstration

  1. Theoretical and Practical Aspects of Logistic Quality Management System Documentation Development Process

    Directory of Open Access Journals (Sweden)

    Linas Šaulinskas

    2013-12-01

    Full Text Available This paper addresses aspects of logistics quality management system documentation development and suggests models for quality management system documentation development, documentation hierarchical systems and authorization approval. It also identifies logistic processes and a responsibilities model and a detailed document development and approval process that can be practically applied. Our results are based upon an analysis of advanced Lithuanian and foreign corporate business practices, a review of current literature and recommendations for quality management system standards.

  2. Response to waste electrical and electronic equipments in China: legislation, recycling system, and advanced integrated process.

    Science.gov (United States)

    Zhou, Lei; Xu, Zhenming

    2012-05-01

    Over the past 30 years, China has been suffering from negative environmental impacts from distempered waste electrical and electronic equipments (WEEE) recycling activities. For the purpose of environmental protection and resource reusing, China made a great effort to improve WEEE recycling. This article reviews progresses of three major fields in the development of China's WEEE recycling industry: legal system, formal recycling system, and advanced integrated process. Related laws concerning electronic waste (e-waste) management and renewable resource recycling are analyzed from aspects of improvements and loopholes. The outcomes and challenges for existing formal recycling systems are also discussed. The advantage and deficiency related to advanced integrated recycling processes for typical e-wastes are evaluated respectively. Finally, in order to achieve high disposal rates of WEEE, high-quantify separation of different materials in WEEE and high added value final products produced by separated materials from WEEE, an idea of integrated WEEE recycling system is proposed to point future development of WEEE recycling industry. © 2012 American Chemical Society

  3. Recent advances in control and diagnostics development and application

    International Nuclear Information System (INIS)

    Monson, L.R.; King, R.W.; Lindsay, R.W.; Staffon, J.D.

    1989-01-01

    The power industry is undergoing rapid technological advances and cultural changes. Technologies are advancing and evolving so rapidly that the industry is hard pressed to keep up and take full advantage of the many developments now in progress. Recent advantages in state-of-the-art computer technology are making in-roads in the form of advanced computer control, expert systems, on-line performance monitoring and diagnostics. Validation and verification schemes are being developed which provide increased confidence in the correctness and reliability of both computer hardware and software. Our challenge in the nuclear community is to effectively apply these new technologies to improve the operation, safety, and reliability of our plants. This presentation discusses two areas of development that are essential to advanced control strategies: application of diagnostic systems to improve fault-tolerance, and model-based graphic displays. 4 refs., 4 figs

  4. Idaho Chemical Processing Plant Site Development Plan

    International Nuclear Information System (INIS)

    Ferguson, F.G.

    1994-02-01

    The Idaho Chemical Processing Plant (ICPP) mission is to receive and store spent nuclear fuels and radioactive wastes for disposition for Department of Energy (DOE) in a cost-effective manner that protects the safety of Idaho National Engineering Laboratory (INEL) employees, the public, and the environment by: Developing advanced technologies to process spent nuclear fuel for permanent offsite disposition and to achieve waste minimization. Receiving and storing Navy and other DOE assigned spent nuclear fuels. Managing all wastes in compliance with applicable laws and regulations. Identifying and conducting site remediation consistent with facility transition activities. Seeking out and implementing private sector technology transfer and cooperative development agreements. Prior to April 1992, the ICPP mission included fuel reprocessing. With the recent phaseout of fuel reprocessing, some parts of the ICPP mission have changed. Others have remained the same or increased in scope

  5. Office of River Protection Advanced Low-Activity Waste Glass Research and Development Plan

    International Nuclear Information System (INIS)

    Kruger, A. A.; Peeler, D. K.; Kim, D. S.; Vienna, J. D.; Piepel, G. F.; Schweiger, M. J.

    2015-01-01

    The U.S. Department of Energy Office of River Protection (ORP) has initiated and leads an integrated Advanced Waste Glass (AWG) program to increase the loading of Hanford tank wastes in glass while meeting melter lifetime expectancies and process, regulatory, and product performance requirements. The integrated ORP program is focused on providing a technical, science-based foundation for making key decisions regarding the successful operation of the Hanford Tank Waste Treatment and Immobilization Plant (WTP) facilities in the context of an optimized River Protection Project (RPP) flowsheet. The fundamental data stemming from this program will support development of advanced glass formulations, key product performance and process control models, and tactical processing strategies to ensure safe and successful operations for both the low-activity waste (LAW) and high-level waste vitrification facilities. These activities will be conducted with the objective of improving the overall RPP mission by enhancing flexibility and reducing cost and schedule.

  6. Office of River Protection Advanced Low-Activity Waste Glass Research and Development Plan

    Energy Technology Data Exchange (ETDEWEB)

    Kruger, A. A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Peeler, D. K. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Kim, D. S. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Vienna, J. D. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Piepel, G. F. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Schweiger, M. J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2015-11-23

    The U.S. Department of Energy Office of River Protection (ORP) has initiated and leads an integrated Advanced Waste Glass (AWG) program to increase the loading of Hanford tank wastes in glass while meeting melter lifetime expectancies and process, regulatory, and product performance requirements. The integrated ORP program is focused on providing a technical, science-based foundation for making key decisions regarding the successful operation of the Hanford Tank Waste Treatment and Immobilization Plant (WTP) facilities in the context of an optimized River Protection Project (RPP) flowsheet. The fundamental data stemming from this program will support development of advanced glass formulations, key product performance and process control models, and tactical processing strategies to ensure safe and successful operations for both the low-activity waste (LAW) and high-level waste vitrification facilities. These activities will be conducted with the objective of improving the overall RPP mission by enhancing flexibility and reducing cost and schedule.

  7. ADVANCED TECHNOLOGIES OF ELECTRONIC EDUCATIONAL SYSTEMS DEVELOPMENT

    Directory of Open Access Journals (Sweden)

    M. Shishkina

    2011-11-01

    Full Text Available Actual problems and contradictions of electronic educational systems development are described: availability of education, quality of educational services; individualization of education; exposures and advantages in using of computer technology; standardization of technologies and resources. Tendencies of their solution in the view of development of new advanced technologies of e-education are specified. The essence and advantages of using the cloud computing technologies as a new platform of distributed learning are specified. Advanced directions of cloud-based data usage in executive system of education are declared: access management, content management, asset management, communications management.

  8. Equipment system for advanced nuclear fuel development

    International Nuclear Information System (INIS)

    Kwon, Hyuk Il; Ji, C. G.; Bae, S. O.

    2002-11-01

    The purpose of the settlement of equipment system for nuclear Fuel Technology Development Facility(FTDF) is to build a seismic designed facility that can accommodate handling of nuclear materials including <20% enriched Uranium and produce HANARO fuel commercially, and also to establish the advanced common research equipment essential for the research on advanced fuel development. For this purpose, this research works were performed for the settlement of radiation protection system and facility special equipment for the FTDF, and the advanced common research equipment for the fuel fabrication and research. As a result, 11 kinds of radiation protection systems such as criticality detection and alarm system, 5 kinds of facility special equipment such as environmental pollution protection system and 5 kinds of common research equipment such as electron-beam welding machine were established. By the settlement of exclusive domestic facility for the research of advanced fuel, the fabrication and supply of HANARO fuel is possible and also can export KAERI-invented centrifugal dispersion fuel materials and its technology to the nations having research reactors in operation. For the future, the utilization of the facility will be expanded to universities, industries and other research institutes

  9. Advanced Electrical Materials and Components Development: An Update

    Science.gov (United States)

    Schwarze, Gene E.

    2005-01-01

    The primary means to develop advanced electrical components is to develop new and improved materials for magnetic components (transformers, inductors, etc.), capacitors, and semiconductor switches and diodes. This paper will give an update of the Advanced Power Electronics and Components Technology being developed by the NASA Glenn Research Center for use in future Power Management and Distribution subsystems used in space power systems for spacecraft and lunar and planetary surface power. The initial description and status of this technology program was presented two years ago at the First International Energy Conversion Engineering Conference held at Portsmouth, Virginia, August 2003. The present paper will give a brief background of the previous work reported and a summary of research performed the past several years on soft magnetic materials characterization, dielectric materials and capacitor developments, high quality silicon carbide atomically smooth substrates, and SiC static and dynamic device characterization under elevated temperature conditions. The rationale for and the benefits of developing advanced electrical materials and components for the PMAD subsystem and also for the total power system will also be briefly discussed.

  10. Development of advanced Czochralski Growth Process to produce low cost 150 KG silicon ingots from a single crucible for technology readiness

    Science.gov (United States)

    1981-01-01

    The goals in this program for advanced czochralski growth process to produce low cost 150 kg silicon ingots from a single crucible for technology readiness are outlined. To provide a modified CG2000 crystal power capable of pulling a minimum of five crystals, each of approximately 30 kg in weight, 150 mm diameter from a single crucible with periodic melt replenishment. Crystals to have: resistivity of 1 to 3 ohm cm, p-type; dislocation density below 1- to the 6th power per cm; orientation (100); after growth yield of greater than 90%. Growth throughput of greater than 2.5 kg per hour of machine operation using a radiation shield. Prototype equipment suitable for use as a production facility. The overall cost goal is $.70 per peak watt by 1986. To accomplish these goals, the modified CG2000 grower and development program includes: (1) increased automation with a microprocessor based control system; (2) sensors development which will increase the capability of the automatic controls system, and provide technology transfer of the developed systems.

  11. Challenges in process marginality for advanced technology nodes and tackling its contributors

    Science.gov (United States)

    Narayana Samy, Aravind; Schiwon, Roberto; Seltmann, Rolf; Kahlenberg, Frank; Katakamsetty, Ushasree

    2013-10-01

    Process margin is getting critical in the present node shrinkage scenario due to the physical limits reached (Rayleigh's criterion) using ArF lithography tools. K1 is used to its best for better resolution and to enhance the process margin (28nm metal patterning k1=0.31). In this paper, we would like to give an overview of various contributors in the advanced technology nodes which limit the process margins and how the challenges have been tackled in a modern foundry model. Advanced OPC algorithms are used to make the design content at the mask optimum for patterning. However, as we work at the physical limit, critical features (Hot-spots) are very susceptible to litho process variations. Furthermore, etch can have a significant impact as well. Pattern that still looks healthy at litho can fail due to etch interactions. This makes the traditional 2D contour output from ORC tools not able to predict accurately all defects and hence not able to fully correct it in the early mask tapeout phase. The above makes a huge difference in the fast ramp-up and high yield in a competitive foundry market. We will explain in this paper how the early introduction of 3D resist model based simulation of resist profiles (resist top-loss, bottom bridging, top-rounding, etc.,) helped in our prediction and correction of hot-spots in the early 28nm process development phase. The paper also discusses about the other overall process window reduction contributors due to mask 3D effects, wafer topography (focus shifts/variations) and how this has been addressed with different simulation efforts in a fast and timely manner.

  12. Study on the Hot Extrusion Process of Advanced Radiation Resistant Oxide Dispersion Strengthened Steel Tubes

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byoungkwon; Noh, Sanghoon; Kim, Kibaik; Kang, Suk Hoon; Chun, Youngbum; Kim, Tae Kyu [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Ferritic/martensitic steel has a better thermal conductivity and swelling resistance than austenitic stainless steel. Unfortunately, the available temperature range of ferritic/martensitic steel is limited at up to 650 .deg. C. Oxide dispersion strengthened (ODS) steels have been developed as the most prospective core structural materials for next generation nuclear systems because of their excellent high strength and irradiation resistance. The material performances of this new alloy are attributed to the existence of uniformly distributed nano-oxide particles with a high density, which is extremely stable at high temperature in a ferritic/martensitic matrix. This microstructure can be very attractive in achieving superior mechanical properties at high temperatures, and thus, these favorable microstructures should be obtained through the controls of the fabrication process parameters during the mechanical alloying and hot consolidation procedures. In this study, a hot extrusion process for advanced radiation resistant ODS steel tube was investigated. ODS martensitic steel was designed to have high homogeneity, productivity, and reproducibility. Mechanical alloying and hot consolidation processes were employed to fabricate the ODS steels. A microstructure observation and creep rupture test were examined to investigate the effects of the optimized fabrication conditions. Advanced radiation resistant ODS steel has been designed to have homogeneity, productivity, and reproducibility. For these characteristics, modified mechanical alloying and hot consolidation processes were developed. Microstructure observation revealed that the ODS steel has uniformly distributed fine-grain nano-oxide particles. The fabrication process for the tubing is also being propelled in earnest.

  13. Study on the Hot Extrusion Process of Advanced Radiation Resistant Oxide Dispersion Strengthened Steel Tubes

    International Nuclear Information System (INIS)

    Choi, Byoungkwon; Noh, Sanghoon; Kim, Kibaik; Kang, Suk Hoon; Chun, Youngbum; Kim, Tae Kyu

    2014-01-01

    Ferritic/martensitic steel has a better thermal conductivity and swelling resistance than austenitic stainless steel. Unfortunately, the available temperature range of ferritic/martensitic steel is limited at up to 650 .deg. C. Oxide dispersion strengthened (ODS) steels have been developed as the most prospective core structural materials for next generation nuclear systems because of their excellent high strength and irradiation resistance. The material performances of this new alloy are attributed to the existence of uniformly distributed nano-oxide particles with a high density, which is extremely stable at high temperature in a ferritic/martensitic matrix. This microstructure can be very attractive in achieving superior mechanical properties at high temperatures, and thus, these favorable microstructures should be obtained through the controls of the fabrication process parameters during the mechanical alloying and hot consolidation procedures. In this study, a hot extrusion process for advanced radiation resistant ODS steel tube was investigated. ODS martensitic steel was designed to have high homogeneity, productivity, and reproducibility. Mechanical alloying and hot consolidation processes were employed to fabricate the ODS steels. A microstructure observation and creep rupture test were examined to investigate the effects of the optimized fabrication conditions. Advanced radiation resistant ODS steel has been designed to have homogeneity, productivity, and reproducibility. For these characteristics, modified mechanical alloying and hot consolidation processes were developed. Microstructure observation revealed that the ODS steel has uniformly distributed fine-grain nano-oxide particles. The fabrication process for the tubing is also being propelled in earnest

  14. Advanced Precursor Reaction Processing for Cu(InGa)(SeS)2 Solar Cells

    Energy Technology Data Exchange (ETDEWEB)

    Shafarman, William N. [Univ. of Delaware, Newark, DE (United States)

    2015-10-12

    This project “Advanced Precursor Reaction Processing for Cu(InGa)(SeS)2 Solar Cells”, completed by the Institute of Energy Conversion (IEC) at the University of Delaware in collaboration with the Department of Chemical Engineering at the University of Florida, developed the fundamental understanding and technology to increase module efficiency and improve the manufacturability of Cu(InGa)(SeS)2 films using the precursor reaction approach currently being developed by a number of companies. Key results included: (1) development of a three-step H2Se/Ar/H2S reaction process to control Ga distribution through the film and minimizes back contact MoSe2 formation; (2) Ag-alloying to improve precursor homogeneity by avoiding In phase agglomeration, faster reaction and improved adhesion to allow wider reaction process window; (3) addition of Sb, Bi, and Te interlayers at the Mo/precursor junction to produce more uniform precursor morphology and improve adhesion with reduced void formation in reacted films; (4) a precursor structure containing Se and a reaction process to reduce processing time to 5 minutes and eliminate H2Se usage, thereby increasing throughput and reducing costs. All these results were supported by detailed characterization of the film growth, reaction pathways, thermodynamic assessment and device behavior.

  15. Quantitative high throughput analytics to support polysaccharide production process development.

    Science.gov (United States)

    Noyes, Aaron; Godavarti, Ranga; Titchener-Hooker, Nigel; Coffman, Jonathan; Mukhopadhyay, Tarit

    2014-05-19

    The rapid development of purification processes for polysaccharide vaccines is constrained by a lack of analytical tools current technologies for the measurement of polysaccharide recovery and process-related impurity clearance are complex, time-consuming, and generally not amenable to high throughput process development (HTPD). HTPD is envisioned to be central to the improvement of existing polysaccharide manufacturing processes through the identification of critical process parameters that potentially impact the quality attributes of the vaccine and to the development of de novo processes for clinical candidates, across the spectrum of downstream processing. The availability of a fast and automated analytics platform will expand the scope, robustness, and evolution of Design of Experiment (DOE) studies. This paper details recent advances in improving the speed, throughput, and success of in-process analytics at the micro-scale. Two methods, based on modifications of existing procedures, are described for the rapid measurement of polysaccharide titre in microplates without the need for heating steps. A simplification of a commercial endotoxin assay is also described that features a single measurement at room temperature. These assays, along with existing assays for protein and nucleic acids are qualified for deployment in the high throughput screening of polysaccharide feedstreams. Assay accuracy, precision, robustness, interference, and ease of use are assessed and described. In combination, these assays are capable of measuring the product concentration and impurity profile of a microplate of 96 samples in less than one day. This body of work relies on the evaluation of a combination of commercially available and clinically relevant polysaccharides to ensure maximum versatility and reactivity of the final assay suite. Together, these advancements reduce overall process time by up to 30-fold and significantly reduce sample volume over current practices. The

  16. Advanced methods for processing ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1997-04-01

    Combustion chemical vapor deposition (combustion CVD) is being developed for the deposition of high temperature oxide coatings. The process is being evaluated as an alternative to more capital intensive conventional coating processes. The thrusts during this reporting period were the development of the combustion CVD process for depositing lanthanum monazite, the determination of the influence of aerosol size on coating morphology, the incorporation of combustion CVD coatings into thermal barrier coatings (TBCs) and related oxidation research, and continued work on the deposition of zirconia-yttria coatings.

  17. Fundamentals and advances in the development of remote welding fabrication systems

    Science.gov (United States)

    Agapakis, J. E.; Masubuchi, K.; Von Alt, C.

    1986-01-01

    Operational and man-machine issues for welding underwater, in outer space, and at other remote sites are investigated, and recent process developments are described. Probable remote welding missions are classified, and the essential characteristics of fundamental remote welding tasks are analyzed. Various possible operational modes for remote welding fabrication are identified, and appropriate roles for humans and machines are suggested. Human operator performance in remote welding fabrication tasks is discussed, and recent advances in the development of remote welding systems are described, including packaged welding systems, stud welding systems, remotely operated welding systems, and vision-aided remote robotic welding and autonomous welding systems.

  18. Reflective Journaling for Critical Thinking Development in Advanced Practice Registered Nurse Students.

    Science.gov (United States)

    Raterink, Ginger

    2016-02-01

    Critical thinking, clinical decision making, and critical reflection have been identified as skills required of nurses in every clinical situation. The Educating Nurses: A Call for Radical Transformation report suggested that critical reflection is a key to improving the educational process. Reflective journaling is a tool that helps develop such skills. This article presents the tool of reflective journaling and the use of this process by educators working with students. It describes the use of reflective journaling in graduate nursing education, as well as a scoring process to evaluate the reflection and provide feedback. Students and faculty found the journaling to be helpful for reflection of a clinical situation focused on critical thinking skill development. The rubric scoring tool provided faculty with a method for feedback. Reflective journaling is a tool that faculty and students can use to develop critical thinking skills for the role of the advanced practice RN. A rubric scoring system offers a consistent format for feedback. Copyright 2016, SLACK Incorporated.

  19. Engineering development of advance physical fine coal cleaning for premium fuel applications

    Energy Technology Data Exchange (ETDEWEB)

    Jha, M.C.; Smit, F.J.; Shields, G.L. [AMAX R& D Center/ENTECH Global Inc., Golden, CO (United States)

    1995-11-01

    The objective of this project is to develop the engineering design base for prototype fine coal cleaning plants based on Advanced Column Flotation and Selective Agglomeration processes for premium fuel and near-term applications. Removal of toxic trace elements is also being investigated. The scope of the project includes laboratory research and bench-scale testing of each process on six coals followed by design, construction, and operation of a 2 tons/hour process development unit (PDU). Three coals will be cleaned in tonnage quantity and provided to DOE and its contractors for combustion evaluation. Amax R&D (now a subsidiary of Cyprus Amax Mineral Company) is the prime contractor. Entech Global is managing the project and performing most of the research and development work as an on-site subcontractor. Other participants in the project are Cyprus Amax Coal Company, Arcanum, Bechtel, TIC, University of Kentucky and Virginia Tech. Drs. Keller of Syracuse and Dooher of Adelphi University are consultants.

  20. Advanced Radioisotope Power Conversion Technology Research and Development

    Science.gov (United States)

    Wong, Wayne A.

    2004-01-01

    NASA's Radioisotope Power Conversion Technology program is developing next generation power conversion technologies that will enable future missions that have requirements that cannot be met by either the ubiquitous photovoltaic systems or by current Radioisotope Power System (RPS) technology. Performance goals of advanced radioisotope power systems include improvement over the state-of-practice General Purpose Heat Source/Radioisotope Thermoelectric Generator by providing significantly higher efficiency to reduce the number of radioisotope fuel modules, and increase specific power (watts/kilogram). Other Advanced RPS goals include safety, long-life, reliability, scalability, multi-mission capability, resistance to radiation, and minimal interference with the scientific payload. NASA has awarded ten contracts in the technology areas of Brayton, Stirling, Thermoelectric, and Thermophotovoltaic power conversion including five development contracts that deal with more mature technologies and five research contracts. The Advanced RPS Systems Assessment Team includes members from NASA GRC, JPL, DOE and Orbital Sciences whose function is to review the technologies being developed under the ten Radioisotope Power Conversion Technology contracts and assess their relevance to NASA's future missions. Presented is an overview of the ten radioisotope power conversion technology contracts and NASA's Advanced RPS Systems Assessment Team.

  1. Recent Developments of Advanced Austenitic and Duplex Stainless Steels for Oil and Gas Industry

    Science.gov (United States)

    Chai, Guocai; Kangas, Pasi

    The demands for fuel and the development of the fuel exploitation processes have made it economically possible to produce oil-gas from deeper and more corrosive wells where the parameters such as high chloride, H2S or CO2 content, high temperature and pressure, erosion and bioactivities in seawater should be considered. In these applications, special grades of stainless steels with greater corrosion resistance at a broad range of temperatures and high strength have to be used to meet the requirements. This paper provides an overview on the development, properties and applications of these advanced materials for oil & gas industry. They include recently developed advanced super austenitic stainless steels with high Mo, Ni, Cr and N contents with a PRE (pitting resistance equivalent) number up to 52 and hyper duplex stainless steels.

  2. Technology Development of an Advanced Small-scale Microchannel-type Process Heat Exchanger (PHE) for Hydrogen Production in Iodine-sulfur Cycle

    Energy Technology Data Exchange (ETDEWEB)

    Sah, Injin; Kim, Chan Soo; Kim, Yong Wan; Park, Jae-Won; Kim, Eung-Seon; Kim, Min-Hwan [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2015-10-15

    In this study, ongoing manufacturing processes of the components employed in an advanced small-scale microchannel-type PHE are presented. The components, such as mechanically machined microchannels and a diffusion-bonded stack are introduced. Also, preliminary studies on surface treatment techniques for improving corrosion resistance from the corrosive sulfuric environment will be covered. Ongoing manufacturing process for an advanced small-size microchannel-type PHE in KAERI is presented. Through the preliminary studies for optimizing diffusion bonding condition of Hastelloy-X, a diffusion-bonded stack, consisting of primary and secondary side layer by layer, is scheduled to be fabricated in a few months. Also, surface treatment for enhancing the corrosion resistance from the sulfuric acid environment is in progress for the plates with microchannels. A massive production of hydrogen with electricity generation is expected in a Process Heat Exchanger (PHE) in a Very High Temperature gas-cooled Reactor (VHTR) system. For the application of hydrogen production, a small-scale gas loop for feasibility testing of a laboratory-scale has constructed and operated in Korea Atomic Energy Research Institute (KAERI) as a precursor to an experimental- and a pilot-scale gas loops.

  3. Advanced safeguards systems development for chemical processing plants. Final report for Fiscal Year 1979

    International Nuclear Information System (INIS)

    Johnson, C.E.

    1980-01-01

    A computer system is being installed by INEL to test and evaluate safeguards monitoring concepts in an operating nuclear fuel processing plant. Safeguards development sensors and instruments installed in the ICPP provide plant information to a computer data acquisition and analysis system. Objective of the system is to collect data from process and safeguards sensors and show how this data can be analyzed to detect diversion operations or improper plant operation, and to test the performance of the monitoring devices. Approximately one-third of the installation designs and one-eighth of the installations were completed in FY 1979. The ICPP processing schedule for FY 1980 permits installation of the remaining monitoring devices before process startup in the fourth quarter of FY 1980. All computer hardware was delivered and checked out in FY 1979. Computer software system designs were completed with the majority of the programming scheduled for FY 1980. Sensor and instrument development in FY 1979 emphasized device testing for ICPP monitoring applications

  4. Ethiopian Journal of Development Research: Advanced Search

    African Journals Online (AJOL)

    PROMOTING ACCESS TO AFRICAN RESEARCH ... Ethiopian Journal of Development Research: Advanced Search ... containing either term; e.g., education OR research; Use parentheses to create more complex queries; ... Ethiopian Journal of Business and Economics (The), Ethiopian Journal of Development Research ...

  5. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  6. Cumulative latency advance underlies fast visual processing in desynchronized brain state.

    Science.gov (United States)

    Wang, Xu-dong; Chen, Cheng; Zhang, Dinghong; Yao, Haishan

    2014-01-07

    Fast sensory processing is vital for the animal to efficiently respond to the changing environment. This is usually achieved when the animal is vigilant, as reflected by cortical desynchronization. However, the neural substrate for such fast processing remains unclear. Here, we report that neurons in rat primary visual cortex (V1) exhibited shorter response latency in the desynchronized state than in the synchronized state. In vivo whole-cell recording from the same V1 neurons undergoing the two states showed that both the resting and visually evoked conductances were higher in the desynchronized state. Such conductance increases of single V1 neurons shorten the response latency by elevating the membrane potential closer to the firing threshold and reducing the membrane time constant, but the effects only account for a small fraction of the observed latency advance. Simultaneous recordings in lateral geniculate nucleus (LGN) and V1 revealed that LGN neurons also exhibited latency advance, with a degree smaller than that of V1 neurons. Furthermore, latency advance in V1 increased across successive cortical layers. Thus, latency advance accumulates along various stages of the visual pathway, likely due to a global increase of membrane conductance in the desynchronized state. This cumulative effect may lead to a dramatic shortening of response latency for neurons in higher visual cortex and play a critical role in fast processing for vigilant animals.

  7. Compact Process Development at Babcock & Wilcox

    Energy Technology Data Exchange (ETDEWEB)

    Eric Shaber; Jeffrey Phillips

    2012-03-01

    Multiple process approaches have been used historically to manufacture cylindrical nuclear fuel compacts. Scale-up of fuel compacting was required for the Next Generation Nuclear Plant (NGNP) project to achieve an economically viable automated production process capable of providing a minimum of 10 compacts/minute with high production yields. In addition, the scale-up effort was required to achieve matrix density equivalent to baseline historical production processes, and allow compacting at fuel packing fractions up to 46% by volume. The scale-up approach of jet milling, fluid-bed overcoating, and hot-press compacting adopted in the U.S. Advanced Gas Reactor (AGR) Fuel Development Program involves significant paradigm shifts to capitalize on distinct advantages in simplicity, yield, and elimination of mixed waste. A series of compaction trials have been completed to optimize compaction conditions of time, temperature, and forming pressure using natural uranium oxycarbide (NUCO) fuel at packing fractions exceeding 46% by volume. Results from these trials are included. The scale-up effort is nearing completion with the process installed and operable using nuclear fuel materials. Final process testing is in progress to certify the process for manufacture of qualification test fuel compacts in 2012.

  8. CROSSCUTTING TECHNOLOGY DEVELOPMENT AT THE CENTER FOR ADVANCED SEPARATION TECHNOLOGIES

    Energy Technology Data Exchange (ETDEWEB)

    Hugh W. Rimmer

    2004-05-12

    This Technical Progress Report describes progress made on the seventeen subprojects awarded in the first year of Cooperative Agreement DE-FC26-02NT41607: Crosscutting Technology Development at the Center for Advanced Separation Technologies. This work is summarized in the body of the main report: the individual sub-project Technical Progress Reports are attached as Appendices. Due to the time taken up by the solicitation/selection process, these cover the initial 6-month period of project activity only. The U.S. is the largest producer of mining products in the world. In 1999, U.S. mining operations produced $66.7 billion worth of raw materials that contributed a total of $533 billion to the nation's wealth. Despite these contributions, the mining industry has not been well supported with research and development funds as compared to mining industries in other countries. To overcome this problem, the Center for Advanced Separation Technologies (CAST) was established to develop technologies that can be used by the U.S. mining industry to create new products, reduce production costs, and meet environmental regulations. Originally set up by Virginia Tech and West Virginia University, this endeavor has been expanded into a seven-university consortium--Virginia Tech, West Virginia University, University of Kentucky, University of Utah, Montana Tech, New Mexico Tech and University of Nevada, Reno--that is supported through U.S. DOE Cooperative Agreement No. DE-FC26-02NT41607: Crosscutting Technology Development at the Center for Advanced Separation Technologies. Much of the research to be conducted with Cooperative Agreement funds will be longer-term, high-risk, basic research and will be carried out in five broad areas: (1) Solid-solid separation (2) Solid-liquid separation (3) Chemical/Biological Extraction (4) Modeling and Control, and (5) Environmental Control.

  9. Advanced alarm system design and human performance: Guidance development and current research

    Energy Technology Data Exchange (ETDEWEB)

    O` Hara, J M [Brookhaven National Lab., Upton, NY (United States)

    1997-09-01

    This paper describes a research program sponsored by the U.S. Nuclear Regulatory Commission to address the human factors engineering (HFE) aspects of nuclear power plant alarm systems. The overall objective of the program is to develop HFE review guidance for advanced alarm systems. Guidance has been developed based on a broad base of technical and research literature. As part of the development effort, aspects of alarm system design for which the technical basis was insufficient to support guidance development were identified and prioritized. Research is currently underway to address the highest priority topics: alarm processing and display characteristics. (author). 29 refs, 2 figs.

  10. Advanced alarm system design and human performance: Guidance development and current research

    International Nuclear Information System (INIS)

    O'Hara, J.M.

    1997-01-01

    This paper describes a research program sponsored by the U.S. Nuclear Regulatory Commission to address the human factors engineering (HFE) aspects of nuclear power plant alarm systems. The overall objective of the program is to develop HFE review guidance for advanced alarm systems. Guidance has been developed based on a broad base of technical and research literature. As part of the development effort, aspects of alarm system design for which the technical basis was insufficient to support guidance development were identified and prioritized. Research is currently underway to address the highest priority topics: alarm processing and display characteristics. (author). 29 refs, 2 figs

  11. Evaluation of advanced hot conditioning process for PHWRS

    International Nuclear Information System (INIS)

    Chandramohan, P.; Srinivasan, M.P.; Velmurugan, S.

    2015-01-01

    Hot-conditioning/hot functional test process is carried out to the PHT system of reactor before reactor going to critical/operational. The process is aimed in checking the component functionalities at high temperature and high pressure conditions, the process also checks/removes the suspended corrosion products in heat transport circuit. This process leads to formation of a passive or corrosion oxide film on the heat transport circuit surfaces which protects/mitigates the corrosion of the system circuits during the operation of plant. Major concerned alloy in the Primary Heat Transport (PHT) system of Indian PHWRs during the hot conditioning process and also during operation is the carbon steel due to its high corrosion. Hot-conditioning process mitigates the corrosion of carbon steel by the formation of iron oxide (Fe 3 O 4 ) as major oxide phase layer on the carbon steel surface with a typical thickness of 1.0 μm with particle size of 1μm after 336 h of process at 250 °C. But this passive oxide film thickness increase with time of operation of system with c.a. 10μm for 2.2 EFYP. The protectiveness of passive layer can be further enhanced by reducing the particle sizes in the passive film to nano meter range. The process can impact on the compactness of passive oxide layer with reduced pores in the oxide layer and properties of the nano nature oxide (transport properties) impacting the corrosion mitigation. The corrosion mitigation reduce the source term in the activated corrosion product generation. To achieve this a new process 'Advanced hot conditioning' was developed in water steam chemistry division, BARC for getting a passive oxide film with a lowered particle size in the passive film. The AHC process with 1g/L of PEG-8000 at 250 °C for 336 h showed a particle size <100 nm. The process was tested under the normal operating conditions as function of the time, the corrosion parameter like oxide film thickness, corrosion rate and metal ion

  12. Developing a professional poster: four "ps" for advanced practice nurses to consider.

    Science.gov (United States)

    Bindon, Susan L; Davenport, Joan M

    2013-01-01

    Professional posters play an important role in the dissemination of knowledge and the professional development of advanced practice nurses, graduate students, and clinical faculty. Posters should be considered an integral component in communication of professional work in practice, research, and education. The invitation to submit a poster abstract is an important opportunity for clinicians and faculty alike to consider. Though sometimes misperceived as less prestigious than a podium presentation, posters add a unique element to professional and academic events. The argument is made for posters as an equal among scholarly presentation formats. The poster serves as a tremendous opportunity for collaboration between partners and a way to communicate important findings and advertise the presenters' work. For the advanced practice nurse who is a novice in presenting best practice or evidence from research trials, the poster format may be less intimidating while allowing the invaluable sharing of results. Four critical elements of professional poster development are deciding on a clear Purpose, targeting the right People, outlining key steps in the Process, and delivering a memorable Presentation. Using the "4 Ps" as cornerstones for the work of developing, preparing, and delivering the poster to an audience, the authors aim to help organize the entire process into these essential considerations. The poster, as a means of scholarly work, is a viable and essential activity, as interdisciplinary collaboration and sharing of best practice becomes the expectation for all professional development.

  13. Handbook of Advanced Magnetic Materials

    CERN Document Server

    Liu, Yi; Shindo, Daisuke

    2006-01-01

    From high-capacity, inexpensive hard drives to mag-lev trains, recent achievements in magnetic materials research have made the dreams of a few decades ago reality. The objective of Handbook of Advanced Magnetic Materials is to provide a timely, comprehensive review of recent progress in magnetic materials research. This broad yet detailed reference consists of four volumes: 1.) Nanostructured advanced magnetic materials, 2.) Characterization and simulation of advanced magnetic materials, 3.) Processing of advanced magnetic materials, and 4.) Properties and applications of advanced magnetic materials The first volume documents and explains recent development of nanostructured magnetic materials, emphasizing size effects. The second volume provides a comprehensive review of both experimental methods and simulation techniques for the characterization of magnetic materials. The third volume comprehensively reviews recent developments in the processing and manufacturing of advanced magnetic materials. With the co...

  14. Progress in development of the advanced Thomson scattering diagnostics

    International Nuclear Information System (INIS)

    Hatae, T; Naito, O; Howard, J; Ebizuka, N; Yoshida, H; Nakatsuka, M; Fujita, H; Kajita, S; Narihara, K; Yamada, I; Funaba, H; Hirano, Y; Koguchi, H

    2010-01-01

    We have been studied the advanced Thomson scattering diagnostics from viewpoints of new concepts, laser technology and spectrum analysis. This paper summarizes results of development on technologies for advanced Thomson scattering diagnostics.

  15. Subsonic Ultra Green Aircraft Research Phase II: N+4 Advanced Concept Development

    Science.gov (United States)

    Bradley, Marty K.; Droney, Christopher K.

    2012-01-01

    This final report documents the work of the Boeing Subsonic Ultra Green Aircraft Research (SUGAR) team on Task 1 of the Phase II effort. The team consisted of Boeing Research and Technology, Boeing Commercial Airplanes, General Electric, and Georgia Tech. Using a quantitative workshop process, the following technologies, appropriate to aircraft operational in the N+4 2040 timeframe, were identified: Liquefied Natural Gas (LNG), Hydrogen, fuel cell hybrids, battery electric hybrids, Low Energy Nuclear (LENR), boundary layer ingestion propulsion (BLI), unducted fans and advanced propellers, and combinations. Technology development plans were developed.

  16. Advanced express web application development

    CERN Document Server

    Keig, Andrew

    2013-01-01

    A practical book, guiding the reader through the development of a single page application using a feature-driven approach.If you are an experienced JavaScript developer who wants to build highly scalable, real-world applications using Express, this book is ideal for you. This book is an advanced title and assumes that the reader has some experience with node, Javascript MVC web development frameworks, and has heard of Express before, or is familiar with it. You should also have a basic understanding of Redis and MongoDB. This book is not a tutorial on Node, but aims to explore some of the more

  17. Integrated Approach for a Knowledge-Based Process Layout for Simultaneous 5-Axis Milling of Advanced Materials

    Directory of Open Access Journals (Sweden)

    F. Klocke

    2011-01-01

    Full Text Available Advanced materials, like nickel-based alloys, gain importance in turbomachinery manufacturing, where creating complex surfaces constitute a major challenge. However, milling strategies that provide high material removal rates at acceptable tooling costs demand optimized tool geometry and process parameter selection. In this paper, a description of circular milling is given, focusing on resulting engagement conditions. Regarding this, a test bench was designed to investigate the chip formation process in an analogy milling process. Furthermore, the methodology for the approach in the analogy process was developed. Results of a first test run in Inconel 718 verify the presented approach.

  18. United States advanced technologies

    International Nuclear Information System (INIS)

    Longenecker, J.R.

    1985-01-01

    In the United States, the advanced technologies have been applied to uranium enrichment as a means by which it can be assured that nuclear fuel cost will remain competitive in the future. The United States is strongly committed to the development of advanced enrichment technology, and has brought both advanced gas centrifuge (AGC) and atomic vapor laser isotope separation (AVLIS) programs to a point of significant technical refinement. The ability to deploy advanced technologies is the basis for the confidence in competitive future price. Unfortunately, the development of advanced technologies is capital intensive. The year 1985 is the key year for advanced technology development in the United States, since the decision on the primary enrichment technology for the future, AGC or AVLIS, will be made shortly. The background on the technology selection process, the highlights of AGC and AVLIS programs and the way to proceed after the process selection are described. The key objective is to maximize the sales volume and minimize the operating cost. This will help the utilities in other countries supply low cost energy on a reliable, long term basis. (Kako, I.)

  19. Advancing LGBTQI2 rights in developing countries through research ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    2018-05-10

    May 10, 2018 ... Advancing LGBTQI2 rights in developing countries through research ... the role of research in advancing the rights of lesbian, gay, bisexual, transgender, ... cities were discussed at ADAPTO's second international workshop.

  20. The strategy for advanced I and C system (I3CS) development

    International Nuclear Information System (INIS)

    Kim, J.T.; Ham, C.S.; Kwon, K.C.; Lee, D.Y.

    1995-01-01

    All of the nuclear power plants in Korea are operating with analog instrumentation and control (I and C) equipment that are increasingly faced with frequent troubles, obsolescence and high maintenance expense. Electrical and computer technology has improved rapidly in recent years and has been applied to other industries. So it is strongly recommended we adopt modern digital and computer technology to improve plant safety and availability. We established the plan for the development of advanced I and C technology and equipment to solve the above problems. This plan is aimed at replacement of the I and C systems for the existing plants, and at planned as well as next generation nuclear power plants. It is divided into three major parts as follows: Plan for domestic design of I and C systems and components; plan for domestic manufacturing of I and C equipment; plan for development of future technologies. According to the above strategy, the advanced I and C system, namely, I 3 CS(Integrated Intelligent Instrumentation and Control System) will be developed for after next generation NPPs. I 3 CS Consist of three major parts, the advanced compact workstation, distributed digital control and protection system, and the computer-based alarm processing and operator support system, namely, DREAMS (Diagnosis, Response, and operator Aid Management System). The first stage for DREAMS is to develop DAS (Dynamic Alarm System) which reduces the nuisance alarm based on operating mode, direct precursor, and dynamic prioritization. (author). 6 refs, 2 figs

  1. New understanding of rhizosphere processes enabled by advances in molecular and spatially resolved techniques

    Energy Technology Data Exchange (ETDEWEB)

    Hess, Nancy J.; Paša-Tolić, Ljiljana; Bailey, Vanessa L.; Dohnalkova, Alice C.

    2017-06-01

    Understanding the role played by microorganisms within soil systems is challenged by the unique intersection of physics, chemistry, mineralogy and biology in fostering habitat for soil microbial communities. To address these challenges will require observations across multiple spatial and temporal scales to capture the dynamics and emergent behavior from complex and interdependent processes. The heterogeneity and complexity of the rhizosphere require advanced techniques that press the simultaneous frontiers of spatial resolution, analyte sensitivity and specificity, reproducibility, large dynamic range, and high throughput. Fortunately many exciting technical advancements are now available to inform and guide the development of new hypotheses. The aim of this Special issue is to provide a holistic view of the rhizosphere in the perspective of modern molecular biology methodologies that enabled a highly-focused, detailed view on the processes in the rhizosphere, including numerous, strong and complex interactions between plant roots, soil constituents and microorganisms. We discuss the current rhizosphere research challenges and knowledge gaps, as well as perspectives and approaches using newly available state-of-the-art toolboxes. These new approaches and methodologies allow the study of rhizosphere processes and properties, and rhizosphere as a central component of ecosystems and biogeochemical cycles.

  2. Space Technology Mission Directorate Game Changing Development Program FY2015 Annual Program Review: Advanced Manufacturing Technology

    Science.gov (United States)

    Vickers, John; Fikes, John

    2015-01-01

    The Advance Manufacturing Technology (AMT) Project supports multiple activities within the Administration's National Manufacturing Initiative. A key component of the Initiative is the Advanced Manufacturing National Program Office (AMNPO), which includes participation from all federal agencies involved in U.S. manufacturing. In support of the AMNPO the AMT Project supports building and Growing the National Network for Manufacturing Innovation through a public-private partnership designed to help the industrial community accelerate manufacturing innovation. Integration with other projects/programs and partnerships: STMD (Space Technology Mission Directorate), HEOMD, other Centers; Industry, Academia; OGA's (e.g., DOD, DOE, DOC, USDA, NASA, NSF); Office of Science and Technology Policy, NIST Advanced Manufacturing Program Office; Generate insight within NASA and cross-agency for technology development priorities and investments. Technology Infusion Plan: PC; Potential customer infusion (TDM, HEOMD, SMD, OGA, Industry); Leverage; Collaborate with other Agencies, Industry and Academia; NASA roadmap. Initiatives include: Advanced Near Net Shape Technology Integrally Stiffened Cylinder Process Development (launch vehicles, sounding rockets); Materials Genome; Low Cost Upper Stage-Class Propulsion; Additive Construction with Mobile Emplacement (ACME); National Center for Advanced Manufacturing.

  3. ARDENT to develop advanced dosimetric techniques

    CERN Document Server

    Antonella Del Rosso

    2012-01-01

    Earlier this week, the EU-supported Marie Curie training network ARDENT kicked off at a meeting held at CERN. The overall aim of the project is the development of advanced instrumentation for radiation dosimetry. The applications range from radiation measurements around particle accelerators, onboard commercial flights and in space, to the characterization of radioactive waste and medicine, where accurate dosimetry is of vital importance.   The ARDENT (Advanced Radiation Dosimetry European Network Training) project is both a research and a training programme, which aims at developing new dosimetric techniques while providing 15 Early-Stage Researchers (ESR) with state-of-the-art training. The project, coordinated by CERN, is funded by the European Union with a contribution of about 3.9 million euros over four years. The ARDENT initiative will focus on three main technologies: gas detectors, in particular Gas Electron Multipliers (GEM) and Tissue Equivalent Proportional Counters (TEPC); solid stat...

  4. Advanced technology development reducing CO2 emissions

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Dong Sup

    2010-09-15

    Responding to Korean government policies on green growth and global energy/ environmental challenges, SK energy has been developing new technologies to reduce CO2 emissions by 1) CO2 capture and utilization, 2) efficiency improvement, and 3) Li-ion batteries. The paper introduces three advanced technologies developed by SK energy; GreenPol, ACO, and Li-ion battery. Contributing to company vision, a more energy and less CO2, the three technologies are characterized as follows. GreenPol utilizes CO2 as a feedstock for making polymer. Advanced Catalytic Olefin (ACO) reduces CO2 emission by 20% and increase olefin production by 17%. Li-ion Batteries for automotive industries improves CO2 emission.

  5. Application of processes of advanced oxidation as phenol treatment in industrial residual waters of refinery

    International Nuclear Information System (INIS)

    Forero, Jorge Enrique; Ortiz, Olga Patricia; Rios, Fabian

    2005-01-01

    Although more efficient and economical processes for the treatment of sewage have been developed in recent years, the challenge they are facing-due to the greater knowledge of the effect that pollutants have on the environment, the greater consumption of water because of the development of human and industrial activity and the reduction of fresh water sources indicate that we are far from attaining the final solution. This affirmation specially applies to the pollutants, which are resistant to biological treatment processes, such as most of the aromatic compounds found in sewage of the petrochemical industries. In this document, the processes known as advanced oxidation will be explored. Theses have been reported as having the greatest potential in the treatment of these pollutants. Likewise the results of the application of these technologies with waters typical of the petroleum industry will be reported. These have previously been evaluated with processes of typical ozonization

  6. Development of the Advanced CANDU Reactor control centre

    International Nuclear Information System (INIS)

    Malcolm, S.; Leger, R.

    2004-01-01

    The next generation CANDU control centre is being designed for the Advanced CANDU Reactor (ACR) station. The design is based upon the recent Qinshan control room with further upgrades to meet customer needs with respect to high capacity factor with low Operation, Maintenance and Administration (OM and A) costs. This evolutionary design includes the long proven functionality at several existing CANDU control centres such as the 4-unit station at Darlington, with advanced features made possible by new control and display technology. Additionally, ACR control centres address characteristics resulting from Human Factors Engineering (HFE) analysis of control centre operations in order to further enhance personnel awareness of system and plant status. Statistics show that up to 70% of plant significant events, which have caused plant outages, have a root cause attributable to the human from such sources as complex interfaces, procedures, maintenance and management practices. Consequently, special attention is made for the application of HFE throughout the ACR design process. The design process follows a systematic analytical approach to define operations staff information and information presentation requirements. The resultant human-system interfaces (HSI) such as those for monitoring, annunciation and control information are then verified and validated against the system design requirements to provide a high confidence level that adequate and correct information is being provided in a timely manner to support the necessary operational tasks. The ACR control centre provides plant staff with an improved operability capability due to the combination of systematic design and enhanced operating features. Significant design processes (i.e. development) or design features which contribute to this improved operability, include: Design Process: Project HFE Program Plan - intent, scope, timeliness and interfacing; HFE aspects of design process - procedures and instructions

  7. Development of the advanced CANDU reactor control centre

    International Nuclear Information System (INIS)

    Malcolm, S.; Leger, R.

    2004-01-01

    The next generation CANDU control centre is being designed for the Advanced CANDU Reactor (ACR) station. The design is based upon the recent Qinshan control room with further upgrades to meet customer needs with respect to high capacity factor with low Operation, Maintenance and Administration (OM and A) costs. This evolutionary design includes the long proven functionality at several existing CANDU control centres such as the 4-unit station at Darlington, with advanced features made possible by new control and display technology. Additionally, ACR control centres address characteristics resulting from Human Factors Engineering (HFE) analysis of control centre operations in order to further enhance personnel awareness of system and plant status. Statistics show that up to 70% of plant significant events, which have caused plant outages, have a root cause attributable to the human from such sources as complex interfaces, procedures, maintenance and management practices. Consequently, special attention is made for the application of HFE throughout the ACR design process. The design process follows a systematic analytical approach to define operations staff information and information presentation requirements. The resultant human-system interfaces (HSI) such as those for monitoring, annunciation and control information are then verified and validated against the system design requirements to provide a high confidence level that adequate and correct information is being provided in a timely manner to support the necessary operational tasks. The ACR control centre provides plant staff with an improved operability capability due to the combination of systematic design and enhanced operating features. Significant design processes (i.e. development) or design features which contribute to this improved operability, include: Design Process: Project HFE Program Plan - intent, scope, timeliness and interfacing; HFE aspects of design process - procedures and instructions

  8. Advanced seismic imaging for geothermal development

    Energy Technology Data Exchange (ETDEWEB)

    Louie, John [UNR; Pullammanappallil, Satish [Optim; Honjas, Bill [Optim

    2016-08-01

    J. N. Louie, Pullammanappallil, S., and Honjas, W., 2011, Advanced seismic imaging for geothermal development: Proceedings of the New Zealand Geothermal Workshop 2011, Nov. 21-23, Auckland, paper 32, 7 pp. Preprint available at http://crack.seismo.unr.edu/geothermal/Louie-NZGW11.pdf

  9. Advanced multiresponse process optimisation an intelligent and integrated approach

    CERN Document Server

    Šibalija, Tatjana V

    2016-01-01

    This book presents an intelligent, integrated, problem-independent method for multiresponse process optimization. In contrast to traditional approaches, the idea of this method is to provide a unique model for the optimization of various processes, without imposition of assumptions relating to the type of process, the type and number of process parameters and responses, or interdependences among them. The presented method for experimental design of processes with multiple correlated responses is composed of three modules: an expert system that selects the experimental plan based on the orthogonal arrays; the factor effects approach, which performs processing of experimental data based on Taguchi’s quality loss function and multivariate statistical methods; and process modeling and optimization based on artificial neural networks and metaheuristic optimization algorithms. The implementation is demonstrated using four case studies relating to high-tech industries and advanced, non-conventional processes.

  10. Evaluation and development of advanced nuclear materials: IAEA activities

    International Nuclear Information System (INIS)

    Inozemtsev, V.; Basak, U.; Killeen, J.; Dyck, G.; Zeman, A.; )

    2011-01-01

    Economical, environmental and non-proliferation issues associated with sustainable development of nuclear power bring about a need for optimization of fuel cycles and implementation of advanced nuclear systems. While a number of physical and design concepts are available for innovative reactors, the absence of reliable materials able to sustain new challenging irradiation conditions represents the real bottle-neck for practical implementation of these promising ideas. Materials performance and integrity are key issues for the safety and competitiveness of future nuclear installations being developed for sustainable nuclear energy production incorporating fuel recycling and waste transmutation systems. These systems will feature high thermal operational efficiency, improved utilization of resources (both fissile and fertile materials) and reduced production of nuclear waste. They will require development, qualification and deployment of new and advanced fuel and structural materials with improved mechanical and chemical properties combined with high radiation and corrosion resistance. The extensive, diverse, and expensive efforts toward the development of these materials can be more effectively organized within international collaborative programmes with wide participation of research, design and engineering communities. IAEA carries out a number of international projects supporting interested Member States with the use of available IAEA program implementation tools (Coordinated Research Projects, Technical Meetings, Expert Reviews, etc). The presentation summarizes the activities targeting material developments for advanced nuclear systems, with particular emphasis on fast reactors, which are the focal topics of IAEA Coordinated Research Projects 'Accelerator Simulation and Theoretical Modelling of Radiation Effects' (on-going), 'Benchmarking of Structural Materials Pre-Selected for Advanced Nuclear Reactors', 'Examination of advanced fast reactor fuel and core

  11. Advanced Messaging Concept Development Basic Safety Message

    Data.gov (United States)

    Department of Transportation — Contains all Basic Safety Messages (BSMs) collected during the Advanced Messaging Concept Development (AMCD) field testing program. For this project, all of the Part...

  12. Advanced-safeguards systems development for chemical-processing plants. Final report for FY 1980

    International Nuclear Information System (INIS)

    Cartan, F.O.

    1981-04-01

    The program is installing a computer system to test and evaluate process monitoring as a new Safeguards function to supplement the usual physical security and accountability functions. Safeguards development sensors and instruments installed in the Idaho Chemical Processing Plant (ICPP) provide information via a data acquisition system to a Safeguards analysis computer. The monitoring function can significantly enhance current material control (accountability) and containment surveillance capabilities for domestic and international Safeguards uses. Installation of sensors and instruments in the ICPP was more than 75% complete in FY-1980. Installation work was halted at the request of ICPP operations near the end of the year to eliminate possible conflict with instrument calibrations prior to plant startup. Some improvements to the computer hardware were made during FY-1980. Sensor and instrument development during FY-1980 emphasized device testing for ICPP monitoring applications. Pressure transducers, pressure switches, a bubble flowmeter, and load cells were tested; an ultrasonic liquid-in-line sensor was developed and tested. Work on the portable, isotope-ratio mass spectrometer led to the comparison of the HP quadrupole instrument with a small magnetic instrument and to the selection of the quadrupole

  13. Development of the newly advanced alarm system for APWR plant

    International Nuclear Information System (INIS)

    Shimada, Manabu; Yamamoto, Yoshihiro; Tani, Mamoru; Kobashi, Shuichi

    1997-01-01

    We have been developing AMCB (Advanced Main Control Board) for APWR consisting of a large overview display and on operator console. We have adopted the alarm prioritizing functions, which are already in use in the existing Japanese PWR plants, for easier identification of the high priority alarms. Moreover, we have developed an alarm system with a large overview display, which presents alarms on the plant process flow diagram. This enhances the location aids and pattern recognition in the alarm identification process. This time, we made further improvement and studies for better and various functions combining a large overview display with a CRT display. We determined the alarm system specification as follows, taking account of flexible alarm recognition processes. (1) The high priority alarms can be identified upon the LOD (large overview display). On the display, the alarms are described on the plant flow diagram, and the alarm status is shown on the fixed position of process or equipment symbols. (2) Other alarms are identified on large overview display and on CRTs using a hierarchical process. (3) The alarm messages are divided into 4 different groups according to the plant systems, thus enabling to undertake the countermeasure operations, using only the CRT. Moreover, we integrated a computerized ARPs (Alarm Response Procedures) into the alarm system. (author). 4 figs, 5 tabs

  14. Development of the newly advanced alarm system for APWR plant

    Energy Technology Data Exchange (ETDEWEB)

    Shimada, Manabu; Yamamoto, Yoshihiro; Tani, Mamoru; Kobashi, Shuichi [Kansai Electric Power Co., Inc., Osaka (Japan)

    1997-09-01

    We have been developing AMCB (Advanced Main Control Board) for APWR consisting of a large overview display and on operator console. We have adopted the alarm prioritizing functions, which are already in use in the existing Japanese PWR plants, for easier identification of the high priority alarms. Moreover, we have developed an alarm system with a large overview display, which presents alarms on the plant process flow diagram. This enhances the location aids and pattern recognition in the alarm identification process. This time, we made further improvement and studies for better and various functions combining a large overview display with a CRT display. We determined the alarm system specification as follows, taking account of flexible alarm recognition processes. (1) The high priority alarms can be identified upon the LOD (large overview display). On the display, the alarms are described on the plant flow diagram, and the alarm status is shown on the fixed position of process or equipment symbols. (2) Other alarms are identified on large overview display and on CRTs using a hierarchical process. (3) The alarm messages are divided into 4 different groups according to the plant systems, thus enabling to undertake the countermeasure operations, using only the CRT. Moreover, we integrated a computerized ARPs (Alarm Response Procedures) into the alarm system. (author). 4 figs, 5 tabs.

  15. Energy, Exergy and Advanced Exergy Analysis of a Milk Processing Factory

    DEFF Research Database (Denmark)

    Bühler, Fabian; Nguyen, Tuong-Van; Jensen, Jonas Kjær

    2016-01-01

    integration, an exergy analysis pinpoints the locations, causes and magnitudes of thermodynamic losses. The advanced exergy analysis further identifies the real potential for thermodynamic improvements of the system by splitting exergy destruction into its avoidable and unavoidable parts, which are related......, cream and milk powder. The results show the optimisation potential based on 1st and 2nd law analyses. An evaluation and comparison of the applicability of exergy methods, including advanced exergy methods, to the dairy industry is made. The comparison includes typical energy mappings conducted onsite......, and discusses the benefits and challenges of applying advanced thermodynamic methods to industrial processes....

  16. Optical Multiple Access Network (OMAN) for advanced processing satellite applications

    Science.gov (United States)

    Mendez, Antonio J.; Gagliardi, Robert M.; Park, Eugene; Ivancic, William D.; Sherman, Bradley D.

    1991-01-01

    An OMAN breadboard for exploring advanced processing satellite circuit switch applications is introduced. Network architecture, hardware trade offs, and multiple user interference issues are presented. The breadboard test set up and experimental results are discussed.

  17. Development of CANDU advanced fuel bundle

    International Nuclear Information System (INIS)

    Suk, H. C.; Hwang, W.; Rhee, B. W.; Jung, S. H.; Chung, C. H.

    1992-05-01

    This research project is underway in cooperation with AECL to develop the CANDU advanced fuel bundle (so-called, CANFLEX) which can enhance reactor safety and fuel economy in comparison with the current CANDU fuel and which can be used with natural uranium, slightly enriched uranium and other advanced fuel cycle. As the final schedule, the advanced fuel will be verified by carrying out a large scale demonstration of the bundle irradiation in a commercial CANDU reactor for 1996 and 1997, and consequently will be used in the existing and future CANDU reactors in Korea. The research activities during this year include the detail design of CANFLEX fuel with natural enriched uranium (CANFLEX-NU). Based on this design, CANFLEX fuel was mocked up. Out-of-pile hydraulic scoping tests were conducted with the fuel in the CANDU Cold Test Loop to investigate the condition under which maximum pressure drop occurs and the maximum value of the bundle pressure drop. (Author)

  18. Requirements Development Issues for Advanced Life Support Systems: Solid Waste Management

    Science.gov (United States)

    Levri, Julie A.; Fisher, John W.; Alazraki, Michael P.; Hogan, John A.

    2002-01-01

    Long duration missions pose substantial new challenges for solid waste management in Advanced Life Support (ALS) systems. These possibly include storing large volumes of waste material in a safe manner, rendering wastes stable or sterilized for extended periods of time, and/or processing wastes for recovery of vital resources. This is further complicated because future missions remain ill-defined with respect to waste stream quantity, composition and generation schedule. Without definitive knowledge of this information, development of requirements is hampered. Additionally, even if waste streams were well characterized, other operational and processing needs require clarification (e.g. resource recovery requirements, planetary protection constraints). Therefore, the development of solid waste management (SWM) subsystem requirements for long duration space missions is an inherently uncertain, complex and iterative process. The intent of this paper is to address some of the difficulties in writing requirements for missions that are not completely defined. This paper discusses an approach and motivation for ALS SWM requirements development, the characteristics of effective requirements, and the presence of those characteristics in requirements that are developed for uncertain missions. Associated drivers for life support system technological capability are also presented. A general means of requirements forecasting is discussed, including successive modification of requirements and the need to consider requirements integration among subsystems.

  19. Development through rural advancement, with special reference to Kwazulu-Natal

    OpenAIRE

    2012-01-01

    M.Comm. The aim of this study was to analyse and discuss the importance of rural advancement in the development of developing regions or countries, and KwaZulu-Natal was used as a case study. The literature focused on the backwardness of the rural areas and the importance of rural advancement for the development of less developed regions or countries. Development cannot be said to have taken place unless people's lives in general have improved. Large parts of developing regions or countrie...

  20. Current advances and trends in electro-Fenton process using heterogeneous catalysts - A review.

    Science.gov (United States)

    Poza-Nogueiras, Verónica; Rosales, Emilio; Pazos, Marta; Sanromán, M Ángeles

    2018-06-01

    Over the last decades, advanced oxidation processes have often been used alone, or combined with other techniques, for remediation of ground and surface water pollutants. The application of heterogeneous catalysis to electrochemical advanced oxidation processes is especially useful due to its efficiency and environmental safety. Among those processes, electro-Fenton stands out as the one in which heterogeneous catalysis has been broadly applied. Thus, this review has introduced an up-to-date collation of the current knowledge of the heterogeneous electro-Fenton process, highlighting recent advances in the use of different catalysts such as iron minerals (pyrite, magnetite or goethite), prepared catalysts by the load of metals in inorganic and organic materials, nanoparticles, and the inclusion of catalysts on the cathode. The effects of physical-chemical parameters as well as the mechanisms involved are critically assessed. Finally, although the utilization of this process to remediation of wastewater overwhelmingly outnumber other utilities, several applications have been described in the context of regeneration of adsorbent or the remediation of soils as clear examples of the feasibility of the electro-Fenton process to solve different environmental problems. Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  2. Polymeric drugs: Advances in the development of pharmacologically active polymers

    Science.gov (United States)

    Li, Jing; Yu, Fei; Chen, Yi; Oupický, David

    2015-01-01

    Synthetic polymers play a critical role in pharmaceutical discovery and development. Current research and applications of pharmaceutical polymers are mainly focused on their functions as excipients and inert carriers of other pharmacologically active agents. This review article surveys recent advances in alternative pharmaceutical use of polymers as pharmacologically active agents known as polymeric drugs. Emphasis is placed on the benefits of polymeric drugs that are associated with their macromolecular character and their ability to explore biologically relevant multivalency processes. We discuss the main therapeutic uses of polymeric drugs as sequestrants, antimicrobials, antivirals, and anticancer and anti-inflammatory agents. PMID:26410809

  3. Development of improved processing and evaluation methods for high reliability structural ceramics for advanced heat engine applications, Phase 1. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.K.; Tracey, D.M.; Foley, M.R.; Paille, N.I.; Pelletier, P.J.; Sales, L.C.; Wilkens, C.A.; Yeckley, R.L. [Norton Co., Northboro, MA (United States)

    1993-08-01

    The program goals were to develop and demonstrate significant improvements in processing methods, process controls and non-destructive evaluation (NDE) which can be commercially implemented to produce high reliability silicon nitride components for advanced heat engine applications at temperatures to 1,370{degrees}C. The program focused on a Si{sub 3}N{sub 4}-4% Y{sub 2}O{sub 3} high temperature ceramic composition and hot-isostatic-pressing as the method of densification. Stage I had as major objectives: (1) comparing injection molding and colloidal consolidation process routes, and selecting one route for subsequent optimization, (2) comparing the performance of water milled and alcohol milled powder and selecting one on the basis of performance data, and (3) adapting several NDE methods to the needs of ceramic processing. The NDE methods considered were microfocus X-ray radiography, computed tomography, ultrasonics, NMR imaging, NMR spectroscopy, fluorescent liquid dye penetrant and X-ray diffraction residual stress analysis. The colloidal consolidation process route was selected and approved as the forming technique for the remainder of the program. The material produced by the final Stage II optimized process has been given the designation NCX 5102 silicon nitride. According to plan, a large number of specimens were produced and tested during Stage III to establish a statistically robust room temperature tensile strength database for this material. Highlights of the Stage III process demonstration and resultant database are included in the main text of the report, along with a synopsis of the NCX-5102 aqueous based colloidal process. The R and D accomplishments for Stage I are discussed in Appendices 1--4, while the tensile strength-fractography database for the Stage III NCX-5102 process demonstration is provided in Appendix 5. 4 refs., 108 figs., 23 tabs.

  4. Advances and applications of optimised algorithms in image processing

    CERN Document Server

    Oliva, Diego

    2017-01-01

    This book presents a study of the use of optimization algorithms in complex image processing problems. The problems selected explore areas ranging from the theory of image segmentation to the detection of complex objects in medical images. Furthermore, the concepts of machine learning and optimization are analyzed to provide an overview of the application of these tools in image processing. The material has been compiled from a teaching perspective. Accordingly, the book is primarily intended for undergraduate and postgraduate students of Science, Engineering, and Computational Mathematics, and can be used for courses on Artificial Intelligence, Advanced Image Processing, Computational Intelligence, etc. Likewise, the material can be useful for research from the evolutionary computation, artificial intelligence and image processing co.

  5. Phenol wastewater remediation: advanced oxidation processes coupled to a biological treatment.

    Science.gov (United States)

    Rubalcaba, A; Suárez-Ojeda, M E; Stüber, F; Fortuny, A; Bengoa, C; Metcalfe, I; Font, J; Carrera, J; Fabregat, A

    2007-01-01

    Nowadays, there are increasingly stringent regulations requiring more and more treatment of industrial effluents to generate product waters which could be easily reused or disposed of to the environment without any harmful effects. Therefore, different advanced oxidation processes were investigated as suitable precursors for the biological treatment of industrial effluents containing phenol. Wet air oxidation and Fenton process were tested batch wise, while catalytic wet air oxidation and H2O2-promoted catalytic wet air oxidation processes were studied in a trickle bed reactor, the last two using over activated carbon as catalyst. Effluent characterisation was made by means of substrate conversion (using high liquid performance chromatography), chemical oxygen demand and total organic carbon. Biodegradation parameters (i.e. maximum oxygen uptake rate and oxygen consumption) were obtained from respirometric tests using activated sludge from an urban biological wastewater treatment plant (WWTP). The main goal was to find the proper conditions in terms of biodegradability enhancement, so that these phenolic effluents could be successfully treated in an urban biological WWTP. Results show promising research ways for the development of efficient coupled processes for the treatment of wastewater containing toxic or biologically non-degradable compounds.

  6. Energy, economic, and environmental impacts of advanced industrial process innovations, 1976--1996

    International Nuclear Information System (INIS)

    Quinn, J.E.; Reed, J.E.

    1997-01-01

    The mission of the Office of Industrial Technologies (OIT), within the Office of Energy Efficiency and Renewable Energy, is to develop and deploy advanced energy efficiency, renewable energy, and pollution-prevention technologies, through partnerships with industry, government, and non-governmental organizations. OIT's objectives have evolved and broadened over nearly two decades, continually responding to a changing energy situation and shifting national priorities. Today, the key focus of the OIT programs is the Industries of the Future approach. This strategy of close collaboration with industry catalyzes and facilitates technology development and transfer efforts in seven manufacturing industries that together account for over 80% of the energy used and over 80% of the wastes produced by the manufacturing sector. In this approach senior level industry groups develop a future vision of their industry and a technology roadmap to attain the vision. DOE helps facilitate this process and partners with industry to identify and pursue an advanced technology R and D portfolio. The seven industries are aluminum, chemicals, forest products, glass, metalcasting, petroleum refining, and steel. In managing all its activities, OIT draws upon program support provided primarily by national Laboratories, universities, and private-sector research organizations throughout the country that have the diverse and specialized expertise needed to develop advanced industrial technologies. Approximately 78 industrial technologies developed with Office of Industrial Technology (OIT) support have successfully entered commercial markets. These technologies have saved a cumulative total of almost 900 trillion Btu, representing a new production cost savings of over $1.8 billion. These dollar savings represent the net total value of all energy saved by technologies developed with OIT support minus the net cost to industry of using the technologies (including capital costs, operating and

  7. Fieldcrest Cannon, Inc. Advanced Technical Preparation. Statistical Process Control (SPC). PRE-SPC 11: SPC & Graphs. Instructor Book.

    Science.gov (United States)

    Averitt, Sallie D.

    This instructor guide, which was developed for use in a manufacturing firm's advanced technical preparation program, contains the materials required to present a learning module that is designed to prepare trainees for the program's statistical process control module by improving their basic math skills in working with line graphs and teaching…

  8. Alstom's development of advanced CFB based technologies for CO{sub 2} mitigation

    Energy Technology Data Exchange (ETDEWEB)

    Nsakala ya Nsakala; David G. Turek; Gregory N. Liljedahl; Herbert E. Andrus; John H. Chiu; Jean-Xavier Morin [Alstom Power Inc., Windsor, CT (United States)

    2005-07-01

    ALSTOM Power Inc. (ALSTOM) is actively working to develop advanced circulating fluidized bed (CFB) based technologies for the purpose of CO{sub 2} mitigation. Two of the more promising ideas currently being investigated at ALSTOM are the oxygen-fired CFB and chemical looping technologies. The oxygen-fired CFB is a near-term CO{sub 2} capture technology, which uses pure oxygen tempered with recirculated flue gas to combust the fuel. The oxygen for combustion may be supplied by a cryogenic air separation unit, or in the future by more efficient processes such as oxygen transport membrane. This produces a flue gas stream comprising mostly CO{sub 2} and water vapor. Simple condensation of most of the water vapor leaves a CO{sub 2}-rich product stream which can be simply compressed for sequestration or purified for use in enhanced oil recovery or enhanced coal bed methane. Chemical looping is a longer-term development path towards CO{sub 2} mitigation. In ALSTOM's processes, a regenerable solid carrier extracts oxygen from air and transports it for combustion or gasification of the fuel. The chemical looping combustion process produces a high CO{sub 2} flue gas stream (similar to the O{sub 2} fired CFB flue gas stream) and steam for a Rankine cycle. The chemical looping gasification process captures CO{sub 2} in a separate chemical loop and produces hydrogen-rich synthesis gas for use in IGCCs, fuel cells, or for other industrial uses. This paper discusses ALSTOM's latest test work in these areas and the technical, economic and environmental implications of these advanced CFB-based systems. These advanced power generation units can be built from proven fluid bed design features and systems. 6 refs., 15 figs., 6 tabs.

  9. ISS and Shuttle Payload Research Development and Processing

    Science.gov (United States)

    Calhoun, Kyle A.

    2010-01-01

    NASA's ISS and Spacecraft Processing Directorate (UB) is charged with the performance of payload development for research originating through NASA, ISS international partners, and the National Laboratory. The Payload Development sector of the Directorate takes biological research approved for on orbit experimentation from its infancy stage and finds a way to integrate and implement that research into a payload on either a Shuttle sortie or Space Station increment. From solicitation and selection, to definition, to verification, to integration and finally to operations and analysis, Payload Development is there every step of the way. My specific work as an intern this summer has consisted of investigating data received by separate flight and ground control Advanced Biological Research Systems (ABRS) units for Advanced Plant Experiments (APEX) and Cambium research. By correlation and analysis of this data and specific logbook information I have been working to explain changes in environmental conditions on both the flight and ground control unit. I have then, compiled all of that information into a form that can be presentable to the Principal Investigator (PI). This compilation allows that PI scientist to support their findings and add merit to their research. It also allows us, as the Payload Developers, to further inspect the ABRS unit and its performance

  10. Nuclear techniques in the development of advanced ceramic technologies

    International Nuclear Information System (INIS)

    Axe, J.D.; Hewat, A.W.; Maier, J.; Margaca, F.M.A.; Rauch, H.

    1999-01-01

    The importance of research, development and application of advanced materials is well understood by all developed and most developing countries. Amongst advanced materials, ceramics play a prominent role due to their specific chemical and physical properties. According to performance and importance, advanced ceramics can be classified as structural ceramics (mechanical function) and the so-called functional ceramics. In the latter class of materials, special electrical, chemical, thermal, magnetic and optical properties are of interest. The most valuable materials are multifunctional, for example, when structural ceramics combine beneficial mechanical properties with thermal and chemical sensitivity. Multifunctionality is characteristic of many composite materials (organic/inorganic composite). Additionally, properties of material can be changed by reducing its dimension (thin films, nanocrystalline ceramics). Nuclear techniques, found important applications in research and development of advanced ceramics. The use of neutron techniques has increased dramatically in recent years due to the development of advanced neutron sources, instrumentation and improved data analysis. Typical neutron techniques are neutron diffraction, neutron radiography, small angle neutron scattering and very small angle neutron scattering. Neutrons can penetrate deeply into most materials thus sampling their bulk properties. In determination of the crystal structure of HTSC, YBa 2 Cu 2 O 7 , XRD located the heavy metal atoms, but failed in finding many of the oxygen atoms, while the neutron diffraction located all atoms equally well in the crystal structure. Neutron diffraction is also unique for the determination of the magnetic structure of materials since the neutrons themselves have a magnetic moment. Application of small angle neutron scattering for the determination of the size of hydrocarbon aggregates within the zeolite channels is illustrated. (author)

  11. Glass and Process Development for the Next Generation of Optical Fibers: A Review

    Directory of Open Access Journals (Sweden)

    John Ballato

    2017-03-01

    Full Text Available Applications involving optical fibers have grown considerably in recent years with intense levels of research having been focused on the development of not only new generations of optical fiber materials and designs, but also on new processes for their preparation. In this paper, we review the latest developments in advanced materials for optical fibers ranging from silica, to semi-conductors, to particle-containing glasses, to chalcogenides and also in process-related innovations.

  12. Advanced Pediatric Brain Imaging Research and Training Program

    Science.gov (United States)

    2012-10-01

    contrast, diffusion-weighted techniques, and MR spectroscopy (single voxel, multivoxel, PEPSI ). Mechanisms of recovery include application of the...key methods to build academic portfolios, understand the APT process and market their academic advancement Faculty Development: 103...APT process and market their academic advancement Faculty Development: 104 Leadership Faculty Development: Enhancing Team Effectiveness

  13. Development of guidelines to review advanced human-system interfaces

    International Nuclear Information System (INIS)

    O'Hara, J.M.

    1993-01-01

    Advanced control rooms (ACRs) will utilize advanced human-system interface (HSI) technologies that may have significant implications for plant safety in that they will affect the operator's overall role in the system, the method of information presentation, and the ways in which operators interact with the system. The US Nuclear Regulatory Commission (NRC) reviews the HSI aspects of control rooms to ensure that they are designed to good human factors engineering principles and that operator performance and reliability are appropriately supported to protect public health and safety. The principal guidance available to the NRC, however, was developed more than 10 yr ago, considerably prior to these technological changes. Accordingly, the human factors guidance needs to be updated to serve as the basis for NRC review of these advanced designs. The purpose of this paper is to discuss the development, evaluation, and current status of the Advanced HSI Design Review Guideline

  14. Advanced remote handling developments for high radiation applications

    International Nuclear Information System (INIS)

    Herndon, J.N.; Kring, C.T.; Feldman, M.J.; Kuban, D.P.; Martin, H.L.; Rowe, J.C.; Hamel, W.R.

    1985-01-01

    The Remote Control Engineering Task of the Consolidated Fuel Reprocessing Program at Oak Ridge National Laboratory has been developing advanced techniques for remote maintenance of future US fuel reprocessing plants. These efforts are based on the application of teleoperated, force-reflecting servomanipulators for dexterous remote handling with television viewing for large-volume hazardous applications. These developments fully address the nonrepetitive nature of remote maintenance in the unstructured environments encountered in fuel reprocessing. This paper covers the primary emphasis in the present program; the design, fabrication, and installation of a prototype remote handling system for reprocessing applications, the Advanced Integrated Maintenance System

  15. Advanced methods for processing ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-05-01

    Combustion chemical vapor deposition (CCVD) is a flame assisted, open air chemical vapor deposition (CVD) process. The process is capable of producing textured, epitaxial coatings on single crystal substrates using low cost reagents. Combustion chemical vapor deposition is a relatively inexpensive, alternative thin film deposition process with potential to replace conventional coating technologies for certain applications. The goals of this project are to develop the CCVD process to the point that potential industrial applications can be identified and reliably assessed.

  16. Advanced modelling, monitoring, and process control of bioconversion systems

    Science.gov (United States)

    Schmitt, Elliott C.

    Production of fuels and chemicals from lignocellulosic biomass is an increasingly important area of research and industrialization throughout the world. In order to be competitive with fossil-based fuels and chemicals, maintaining cost-effectiveness is critical. Advanced process control (APC) and optimization methods could significantly reduce operating costs in the biorefining industry. Two reasons APC has previously proven challenging to implement for bioprocesses include: lack of suitable online sensor technology of key system components, and strongly nonlinear first principal models required to predict bioconversion behavior. To overcome these challenges batch fermentations with the acetogen Moorella thermoacetica were monitored with Raman spectroscopy for the conversion of real lignocellulosic hydrolysates and a kinetic model for the conversion of synthetic sugars was developed. Raman spectroscopy was shown to be effective in monitoring the fermentation of sugarcane bagasse and sugarcane straw hydrolysate, where univariate models predicted acetate concentrations with a root mean square error of prediction (RMSEP) of 1.9 and 1.0 g L-1 for bagasse and straw, respectively. Multivariate partial least squares (PLS) models were employed to predict acetate, xylose, glucose, and total sugar concentrations for both hydrolysate fermentations. The PLS models were more robust than univariate models, and yielded a percent error of approximately 5% for both sugarcane bagasse and sugarcane straw. In addition, a screening technique was discussed for improving Raman spectra of hydrolysate samples prior to collecting fermentation data. Furthermore, a mechanistic model was developed to predict batch fermentation of synthetic glucose, xylose, and a mixture of the two sugars to acetate. The models accurately described the bioconversion process with an RMSEP of approximately 1 g L-1 for each model and provided insights into how kinetic parameters changed during dual substrate

  17. Smelting Associated with the Advanced Spent Fuel Conditioning Process

    International Nuclear Information System (INIS)

    Hur, J-M.; Jeong, M-S.; Lee, W-K.; Cho, S-H.; Seo, C-S.; Park, S-W.

    2004-01-01

    The smelting process associated with the advanced spent fuel conditioning process (ACP) of Korea Atomic Energy Research Institute was studied by using surrogate materials. Considering the vaporization behaviors of input materials, the operation procedure of smelting was set up as (1) removal of residual salts, (2) melting of metal powder, and (3) removal of dross from a metal ingot. The behaviors of porous MgO crucible during smelting were tested and the chemical stability of MgO in the salt-being atmosphere was confirmed

  18. Process development

    Energy Technology Data Exchange (ETDEWEB)

    Schuegerl, K

    1984-01-01

    The item 'process development' comprises the production of acetonic/butonal with C. acetobylicum and the yeasting of potato waste. The target is to increase productivity by taking the following measures - optimation of media, on-line process analysis, analysis of reaction, mathematic modelling and identification of parameters, process simulation, development of a state estimator with the help of the on-line process analysis and the model, optimization and adaptive control.

  19. Advanced Image Processing Package for FPGA-Based Re-Programmable Miniature Electronics

    National Research Council Canada - National Science Library

    Ovod, Vladimir I; Baxter, Christopher R; Massie, Mark A; McCarley, Paul L

    2005-01-01

    .... An advanced image-processing package has been designed at Nova Sensors to re-configure the FPGA-based co-processor board for numerous applications including motion detection, optical background...

  20. Development of Head-end Pyrochemical Reduction Process for Advanced Oxide Fuels

    International Nuclear Information System (INIS)

    Park, B. H.; Seo, C. S.; Hur, J. M.; Jeong, S. M.; Hong, S. S.; Choi, I. K.; Choung, W. M.; Kwon, K. C.; Lee, I. W.

    2008-12-01

    The development of an electrolytic reduction technology for spent fuels in the form of oxide is of essence to introduce LWR SFs to a pyroprocessing. In this research, the technology was investigated to scale a reactor up, the electrochemical behaviors of FPs were studied to understand the process and a reaction rate data by using U 3 O 8 was obtained with a bench scale reactor. In a scale of 20 kgHM/batch reactor, U 3 O 8 and Simfuel were successfully reduced into metals. Electrochemical characteristics of LiBr, LiI and Li 2 Se were measured in a bench scale reactor and an electrolytic reduction cell was modeled by a computational tool

  1. Feasibility Study for Monitoring Actinide Elements in Process Materials Using FO-LIBS at Advanced spent fuel Conditioning Process Facility

    Energy Technology Data Exchange (ETDEWEB)

    Han, Bo-Young; Choi, Daewoong; Park, Se Hwan; Kim, Ho-Dong [Nonproliferation System Research Division, Korea Atomic Energy Research Institute, Daejeon, 305-353 (Korea, Republic of); Dae, Dongsun [Department of Chemistry, Mokpo National University, Jeonnam 534-729 (Korea, Republic of); Whitehouse, Andrew I. [Applied Photonics Ltd., Unit 8 Carleton Business Park, Skipton, North Yorkshire BD23 2DE (United Kingdom)

    2015-07-01

    Korea Atomic Energy Research Institute (KAERI) have been developing the design and deployment methodology of Laser- Induced Breakdown Spectroscopy (LIBS) instrument for safeguards application within the argon hot cell environment at Advanced spent fuel Conditioning Process Facility (ACPF), where ACPF is a facility being refurbished for the laboratory-scaled demonstration of advanced spent fuel conditioning process. LIBS is an analysis technology used to measure the emission spectra of excited elements in the local plasma of a target material induced by a laser. The spectra measured by LIBS are analyzed to verify the quality and quantity of the specific element in the target matrix. Recently LIBS has been recognized as a promising technology for safeguards purposes in terms of several advantages including a simple sample preparation and in-situ analysis capability. In particular, a feasibility study of LIBS to remotely monitor the nuclear material in a high radiation environment has been carried out for supporting the IAEA safeguards implementation. Fiber-Optic LIBS (FO-LIBS) deployment was proposed by Applied Photonics Ltd because the use of fiber optics had benefited applications of LIBS by delivering the laser energy to the target and by collecting the plasma light. The design of FO-LIBS instrument for the measurement of actinides in the spent fuel and high temperature molten salt at ACPF had been developed in cooperation with Applied Photonics Ltd. FO-LIBS has some advantages as followings: the detectable plasma light wavelength range is not limited by the optical properties of the thick lead-glass shield window and the potential risk of laser damage to the lead-glass shield window is not considered. The remote LIBS instrument had been installed at ACPF and then the feasibility study for monitoring actinide elements such as uranium, plutonium, and curium in process materials has been carried out. (authors)

  2. Feasibility Study for Monitoring Actinide Elements in Process Materials Using FO-LIBS at Advanced spent fuel Conditioning Process Facility

    International Nuclear Information System (INIS)

    Han, Bo-Young; Choi, Daewoong; Park, Se Hwan; Kim, Ho-Dong; Dae, Dongsun; Whitehouse, Andrew I.

    2015-01-01

    Korea Atomic Energy Research Institute (KAERI) have been developing the design and deployment methodology of Laser- Induced Breakdown Spectroscopy (LIBS) instrument for safeguards application within the argon hot cell environment at Advanced spent fuel Conditioning Process Facility (ACPF), where ACPF is a facility being refurbished for the laboratory-scaled demonstration of advanced spent fuel conditioning process. LIBS is an analysis technology used to measure the emission spectra of excited elements in the local plasma of a target material induced by a laser. The spectra measured by LIBS are analyzed to verify the quality and quantity of the specific element in the target matrix. Recently LIBS has been recognized as a promising technology for safeguards purposes in terms of several advantages including a simple sample preparation and in-situ analysis capability. In particular, a feasibility study of LIBS to remotely monitor the nuclear material in a high radiation environment has been carried out for supporting the IAEA safeguards implementation. Fiber-Optic LIBS (FO-LIBS) deployment was proposed by Applied Photonics Ltd because the use of fiber optics had benefited applications of LIBS by delivering the laser energy to the target and by collecting the plasma light. The design of FO-LIBS instrument for the measurement of actinides in the spent fuel and high temperature molten salt at ACPF had been developed in cooperation with Applied Photonics Ltd. FO-LIBS has some advantages as followings: the detectable plasma light wavelength range is not limited by the optical properties of the thick lead-glass shield window and the potential risk of laser damage to the lead-glass shield window is not considered. The remote LIBS instrument had been installed at ACPF and then the feasibility study for monitoring actinide elements such as uranium, plutonium, and curium in process materials has been carried out. (authors)

  3. Advanced Emissions Control Development Program

    Energy Technology Data Exchange (ETDEWEB)

    A.P.Evans; K.E. Redinger; M.J. Holmes

    1998-04-01

    The objective of the Advanced Emissions Control Development Program (AECDP) is to develop practical, cost-effective strategies for reducing the emissions of air toxics from coal-fired boilers. Ideally, the project aim is to effectively control air toxic emissions through the use of conventional flue gas cleanup equipment such as electrostatic precipitators (ESPS), fabric filters (baghouse), and wet flue gas desulfurization. Development work to date has concentrated on the capture of mercury, other trace metals, fine particulate and hydrogen chloride. Following the construction and evaluation of a representative air toxics test facility in Phase I, Phase II focused on the evaluation of mercury and several other air toxics emissions. The AECDP is jointly funded by the United States Department of Energy's Federal Energy Technology Center (DOE), the Ohio Coal Development Office within the Ohio Department of Development (oCDO), and Babcock& Wilcox-a McDermott company (B&W).

  4. Improving safety through an integrated approach for advanced control room development

    International Nuclear Information System (INIS)

    Haugset, K.; Berg, O.; Bologna, S.; Foerdestroemmen, N.T.; Kvalem, J.; Nelson, W.R.; Yamane, N.

    1992-01-01

    With the fast development of computer technology, the potential exists for improving operational safety of nuclear plants by using advanced operator tools in the control room. Specific systems are being introduced, such as systems for alarm handling, failure detection, disturbance diagnosis, procedural advice and others, often based on process modeling techniques or expert system technology. To ensure a maximum benefit from the new technology, a careful integration of the various systems must, however, take place, resulting in a well coordinated interface between the operator and the process. The OECD Halden Reactor Project has started the development of an Integrated Surveillance And Control System (ISACS). The basis for the activity is the experience at Halden in developing specific Computerized Operator Support Systems (COSSs), and the activity around the experimental control room HAMMLAB where detailed validations of operator tools have been performed for a number of years. The first goal in the ISACS project is to have a first, limited prototype in operation at the end of 1990. Validation experiments will follow. (orig.)

  5. Improving safety through an integrated approach for advanced control room development

    International Nuclear Information System (INIS)

    Haugset, K.; Berg, O.; Foerdestroemmen, N.T.; Kvalem, J.; Nelson, W.R.

    1990-01-01

    With the fast development of computer technology, the potential exists for improving operational safety of nuclear plants by using advanced operator tools in the control room. Specific systems are being introduced, such as systems for alarm handling, failure detection, disturbance diagnosis, procedural advice and others, often based on process modeling techniques or expert system technology. To ensure a maximum benefit from the new technology, a careful integration of the various systems must, however, take place, resulting in a well coordinated interface between the operator and the process. The OECD Halden Reactor Project has started the development of an Integrated Surveillance And Control System (ISACS). The basis for the activity is the experience at Halden in developing specific Computerized Operator Support Systems (COSSs), and the activity around the experimental control room HAMMLAB where detailed validations of operator tools have been performed for a number of years. The first goal in the ISACS project is to have a first, limited prototype in operation at the end of 1990. Validation experiments will follow

  6. Performance of a Water Recirculation Loop Maintenance Device and Process for the Advanced Spacesuit Water Membrane Evaporator

    Science.gov (United States)

    Rector, Tony; Steele, John W.; Bue, Grant C.; Campbell, Colin; Makinen, Janice

    2012-01-01

    A water loop maintenance device and process to maintain the water quality of the Advanced Spacesuit Water Membrane Evaporation (SWME) water recirculation loop has been undergoing a performance evaluation. The SWME is a heat rejection device under development at the NASA Johnson Space Center to perform thermal control for advanced spacesuits. One advantage to this technology is the potential for a significantly greater degree of tolerance to contamination when compared to the existing Sublimator technology. The driver for the water recirculation maintenance device and process is to further enhance this advantage through the leveraging of fluid loop management lessons-learned from the International Space Station (ISS). A bed design that was developed for a Hamilton Sundstrand military application, and considered for a potential ISS application with the Urine Processor Assembly, provides a low pressure drop means for water maintenance in a recirculation loop. The bed design is coupled with high capacity ion exchange resins, organic adsorbents, and a cyclic methodology developed for the Extravehicular Mobility Unit (EMU) Transport Water loop. The maintenance process further leverages a sorbent developed for ISS that introduces a biocide in a microgravity-compatible manner for the Internal Active Thermal Control System (IATCS). The leveraging of these water maintenance technologies to the SWME recirculation loop is a unique demonstration of applying the valuable lessons learned on the ISS to the next generation of manned spaceflight Environmental Control and Life Support System (ECLSS) hardware. This

  7. Proceedings of the national symposium on materials and processing: functional glass/glass-ceramics, advanced ceramics and high temperature materials

    International Nuclear Information System (INIS)

    Ghosh, A.; Sahu, A.K.; Viswanadham, C.S.; Ramanathan, S.; Hubli, R.C.; Kothiyal, G.P.

    2012-10-01

    With the development of materials science it is becoming increasingly important to process some novel materials in the area of glass, advanced ceramics and high temperature metals/alloys, which play an important role in the realization of many new technologies. Such applications demand materials with tailored specifications. Glasses and glass-ceramics find exotic applications in areas like radioactive waste storage, optical communication, zero thermal expansion coefficient telescopic mirrors, human safety gadgets (radiation resistance windows, bullet proof apparels, heat resistance components etc), biomedical (implants, hyperthermia treatment, bone cement, bone grafting etc). Advanced ceramic materials have been beneficial in biomedical applications due to their strength, biocompatibility and wear resistance. Non-oxide ceramics such as carbides, borides, silicides, their composites, refractory metals and alloys are useful as structural and control rod components in high temperature fission/ fusion reactors. Over the years a number of novel processing techniques like selective laser melting, microwave heating, nano-ceramic processing etc have emerged. A detailed understanding of the various aspects of synthesis, processing and characterization of these materials provides the base for development of novel technologies for different applications. Keeping this in mind and realizing the need for taking stock of such developments a National Symposium on Materials and Processing -2012 (MAP-2012) was planned. The topics covered in the symposium are ceramics, glass/glass-ceramics and metals and materials. Papers relevant to INIS are indexed separately

  8. Development of an advanced continuous mild gasification process for the production of coproducts

    Energy Technology Data Exchange (ETDEWEB)

    Jha, M.C.; McCormick, R.L.; Hogsett, R.F.; Rowe, R.M.; Anast, K.R.

    1991-12-01

    This document describes the results of Task 4 under which a 50 pound/hour char-to-carbon (CTC) process research unit (PRU) was designed in the second half of 1989, with construction completed in June 1990. The CTC PRU at Golden was operated for nearly one year during which 35 runs were completed for a total of nearly 800 hours of operation. Char methanation and carbon production reactor development activities are detailed in this report, as well as the results of integrated runs of the CTC process. Evaluation of the process and the carbon product produced is also included. It was concluded that carbon could be produced from mild gasification char utilizing the CTC process. Char methanation and membrane separation steps performed reasonably well and can scaled up with confidence. However, the novel directly heated reactor system for methane cracking did not work satisfactorily due to materials of construction and heat transfer problems, which adversely affected the quantity and quality of the carbon product. Alternative reactor designs are recommended.

  9. Development of advanced MCR task analysis methods

    International Nuclear Information System (INIS)

    Na, J. C.; Park, J. H.; Lee, S. K.; Kim, J. K.; Kim, E. S.; Cho, S. B.; Kang, J. S.

    2008-07-01

    This report describes task analysis methodology for advanced HSI designs. Task analyses was performed by using procedure-based hierarchical task analysis and task decomposition methods. The results from the task analysis were recorded in a database. Using the TA results, we developed static prototype of advanced HSI and human factors engineering verification and validation methods for an evaluation of the prototype. In addition to the procedure-based task analysis methods, workload estimation based on the analysis of task performance time and analyses for the design of information structure and interaction structures will be necessary

  10. Quantitative imaging biomarkers: the application of advanced image processing and analysis to clinical and preclinical decision making.

    Science.gov (United States)

    Prescott, Jeffrey William

    2013-02-01

    The importance of medical imaging for clinical decision making has been steadily increasing over the last four decades. Recently, there has also been an emphasis on medical imaging for preclinical decision making, i.e., for use in pharamaceutical and medical device development. There is also a drive towards quantification of imaging findings by using quantitative imaging biomarkers, which can improve sensitivity, specificity, accuracy and reproducibility of imaged characteristics used for diagnostic and therapeutic decisions. An important component of the discovery, characterization, validation and application of quantitative imaging biomarkers is the extraction of information and meaning from images through image processing and subsequent analysis. However, many advanced image processing and analysis methods are not applied directly to questions of clinical interest, i.e., for diagnostic and therapeutic decision making, which is a consideration that should be closely linked to the development of such algorithms. This article is meant to address these concerns. First, quantitative imaging biomarkers are introduced by providing definitions and concepts. Then, potential applications of advanced image processing and analysis to areas of quantitative imaging biomarker research are described; specifically, research into osteoarthritis (OA), Alzheimer's disease (AD) and cancer is presented. Then, challenges in quantitative imaging biomarker research are discussed. Finally, a conceptual framework for integrating clinical and preclinical considerations into the development of quantitative imaging biomarkers and their computer-assisted methods of extraction is presented.

  11. ADVANCED SULFUR CONTROL CONCEPTS

    Energy Technology Data Exchange (ETDEWEB)

    Apostolos A. Nikolopoulos; Santosh K. Gangwal; William J. McMichael; Jeffrey W. Portzer

    2003-01-01

    Conventional sulfur removal in integrated gasification combined cycle (IGCC) power plants involves numerous steps: COS (carbonyl sulfide) hydrolysis, amine scrubbing/regeneration, Claus process, and tail-gas treatment. Advanced sulfur removal in IGCC systems involves typically the use of zinc oxide-based sorbents. The sulfides sorbent is regenerated using dilute air to produce a dilute SO{sub 2} (sulfur dioxide) tail gas. Under previous contracts the highly effective first generation Direct Sulfur Recovery Process (DSRP) for catalytic reduction of this SO{sub 2} tail gas to elemental sulfur was developed. This process is currently undergoing field-testing. In this project, advanced concepts were evaluated to reduce the number of unit operations in sulfur removal and recovery. Substantial effort was directed towards developing sorbents that could be directly regenerated to elemental sulfur in an Advanced Hot Gas Process (AHGP). Development of this process has been described in detail in Appendices A-F. RTI began the development of the Single-step Sulfur Recovery Process (SSRP) to eliminate the use of sorbents and multiple reactors in sulfur removal and recovery. This process showed promising preliminary results and thus further process development of AHGP was abandoned in favor of SSRP. The SSRP is a direct Claus process that consists of injecting SO{sub 2} directly into the quenched coal gas from a coal gasifier, and reacting the H{sub 2}S-SO{sub 2} mixture over a selective catalyst to both remove and recover sulfur in a single step. The process is conducted at gasifier pressure and 125 to 160 C. The proposed commercial embodiment of the SSRP involves a liquid phase of molten sulfur with dispersed catalyst in a slurry bubble-column reactor (SBCR).

  12. Development of advanced retrofit FGD designs

    International Nuclear Information System (INIS)

    Dene, C.E.; Boward, W.L.; Noblett, J.G.; Keeth, R.J.

    1992-01-01

    The 1990 Clean Air Act Amendment is a dramatic departure from previous legislation in that it affords the electric utility industry the flexibility to achieve their portion of the sulfur dioxide reduction in a myriad of ways. Each utility must look at its system overall. One strategy which may prove beneficial is to remove as much SO 2 as possible at facilities where there is an existing flue gas desulfurization (FGD) system or where one is planned. In response to this need EPRI is developing a family of advanced retrofit FGD designs that incorporate recent advances in FGD technology. A range of design options are being investigated to determine both the SO 2 collection capability and the relative cost impacts of each option. Some of the design options considered include the use of trays, packing, additional liquid flow rate, and additives to boost the removal efficiency. These options are being investigated for limestone, and magnesium-enhanced lime systems. The sensitivity of these designs to changes in coal sulfur content, chloride content, unit size, gas velocity, and other factors are being investigated to determine how the performance of a designs is changed and the ability to meet compliance. This paper illustrates the type of analysis used to develop the advanced designs and presents the sensitivity of a Countercurrent spray tower design using limestone and forced oxidation to changes in specific design input parameters such as boiler load, tower height, and gas velocity

  13. Development of guidelines to review advanced human-system interfaces

    International Nuclear Information System (INIS)

    O'Hara, J.M.

    1993-01-01

    Advanced control rooms (ACRS) will utilize advanced human-system interface (HSI) technologies that may have significant implications for plant safety in that they will affect the operators overall role in the system, the method of information presentation, and the ways in which operators interact with the system. The US Nuclear Regulatory Commission (NRC) reviews the HSI aspects of control rooms to ensure that they are designed to good human factors engineering principles and that operator performance and reliability are appropriately supported in order to protect public health and safety. The principal guidance available to the NRC, however, was developed more than ten years ago, well prior to these technological changes. Accordingly, the human factors guidance needs to be updated to serve as the basis for NRC review of these advanced designs. The purpose of this paper is to discuss the development, evaluation, and current status of the Advanced HSI Design Review Guideline, hereafter referred to as the ''Guideline.''

  14. Development of Advanced Multizone Facilities for Microgravity Processing

    Science.gov (United States)

    1998-01-01

    NASA has been interested in experimental ground based study to investigate the fundamental processes involved in phase transformation processes during growth of metallic, nonmetallic and electronic materials. Solidification, vapor growth and solution growth techniques of growing crystals are of special interest because of the inherent importance of convection in the nutrient solution. Convection enhances the mass transport through the nutrient and results in faster growth rates. Availability of low gravity environment of space has provided scientists a new variable to control the extent of convection and thus isolate the diffusive phenomena for their better understanding. The thermal gradient at the liquid-solid interface is determined by the alloy characteristics, the hot zone temperature, cold zone temperature and the width of the insulating zone. The thermal profiles get established by the existing material and geometrical constraints of the experimental set up. The major effort under this research was devoted to designing a programmable furnace which can be used to obtain thermal profiles along the length of the sample as per the demands of the scientists. The furnace did not have active cooling of the zones. Only active heating and passive cooling were utilized.

  15. Development of Computational Approaches for Simulation and Advanced Controls for Hybrid Combustion-Gasification Chemical Looping

    Energy Technology Data Exchange (ETDEWEB)

    Joshi, Abhinaya; Lou, Xinsheng; Neuschaefer, Carl; Chaudry, Majid; Quinn, Joseph

    2012-07-31

    This document provides the results of the project through September 2009. The Phase I project has recently been extended from September 2009 to March 2011. The project extension will begin work on Chemical Looping (CL) Prototype modeling and advanced control design exploration in preparation for a scale-up phase. The results to date include: successful development of dual loop chemical looping process models and dynamic simulation software tools, development and test of several advanced control concepts and applications for Chemical Looping transport control and investigation of several sensor concepts and establishment of two feasible sensor candidates recommended for further prototype development and controls integration. There are three sections in this summary and conclusions. Section 1 presents the project scope and objectives. Section 2 highlights the detailed accomplishments by project task area. Section 3 provides conclusions to date and recommendations for future work.

  16. The preparation of UO2 ceramic microspheres with an advanced process (TGU)

    International Nuclear Information System (INIS)

    Xu Zhichang; Tang Yaping; Zhang Fuhong

    1994-04-01

    The UO 2 ceramic microspheres are the most important materials in the spherical fuel elements for high temperature reactor (HTR). An advanced process for preparation of UO 2 ceramic microspheres has been developed at Institute of Nuclear Energy Technology, Tsinghua University. This process known as total gelation process of uranium (TGU), is based on the traditional sol-gel process, external gelation process and internal gelation process of uranium (EGU and IGU), and has been selected as the production process. The result of batch test is described. Accordance with the requirements of quality control (QC) and quality assurance (QA), the stabilization of operating parameters and product quality is tested., The results on batch test have shown that as well as all of the operated parameters are fixed, then the product quality can be stable as well as the product specification can be met. When the colloidal flow rate and the vibration frequency of nozzle are fixed, the kernel's size is also fixed. When the sintering temperature and time are fixed, the product density is also fixed. When the hydrogen atmosphere is used, the O/U ratio is very near to stoichiometry. The performance and structure of UO 2 ceramic microspheres are also given

  17. Combination of Advanced Oxidation Processes and biological treatments for wastewater decontamination-A review

    International Nuclear Information System (INIS)

    Oller, I.; Malato, S.; Sanchez-Perez, J.A.

    2011-01-01

    Nowadays there is a continuously increasing worldwide concern for development of alternative water reuse technologies, mainly focused on agriculture and industry. In this context, Advanced Oxidation Processes (AOPs) are considered a highly competitive water treatment technology for the removal of those organic pollutants not treatable by conventional techniques due to their high chemical stability and/or low biodegradability. Although chemical oxidation for complete mineralization is usually expensive, its combination with a biological treatment is widely reported to reduce operating costs. This paper reviews recent research combining AOPs (as a pre-treatment or post-treatment stage) and bioremediation technologies for the decontamination of a wide range of synthetic and real industrial wastewater. Special emphasis is also placed on recent studies and large-scale combination schemes developed in Mediterranean countries for non-biodegradable wastewater treatment and reuse. The main conclusions arrived at from the overall assessment of the literature are that more work needs to be done on degradation kinetics and reactor modeling of the combined process, and also dynamics of the initial attack on primary contaminants and intermediate species generation. Furthermore, better economic models must be developed to estimate how the cost of this combined process varies with specific industrial wastewater characteristics, the overall decontamination efficiency and the relative cost of the AOP versus biological treatment.

  18. Strategies in development of advanced fuels for LMFBR

    International Nuclear Information System (INIS)

    Handa, Muneo

    1976-12-01

    Overseas strategies in development of advanced fuels for LMFBR are reviewed. Recent irradiation experiment and out-of-pile test data of the fuels are given in detail. The present status of development of oxide fueled LMFBR is also treated. (auth.)

  19. Verification tests for CANDU advanced fuel -Development of the advanced CANDU technology-

    International Nuclear Information System (INIS)

    Chung, Jang Hwan; Suk, Ho Cheon; Jeong, Moon Ki; Park, Joo Hwan; Jeong, Heung Joon; Jeon, Ji Soo; Kim, Bok Deuk

    1994-07-01

    This project is underway in cooperation with AECL to develop the CANDU advanced fuel bundle (so-called, CANFLEX) which can enhance reactor safety and fuel economy in comparison with the current CANDU fuel and which can be used with natural uranium, slightly enriched uranium and other advanced fuel cycle. As the final schedule, the advanced fuel will be verified by carrying out a large scale demonstration of the bundle irradiation in a commercial CANDU reactor, and consequently will be used in the existing and future CANDU reactors in Korea. The research activities during this year Out-of-pile hydraulic tests for the prototype of CANFLEX bundle was conducted in the CANDU-hot test loop at KAERI. Thermalhydraulic analysis with the assumption of CANFLEX-NU fuel loaded in Wolsong-1 was performed by using thermalhydraulic code, and the thermal margin and T/H compatibility of CANFLEX bundle with existing fuel for CANDU-6 reactor have been evaluated. (Author)

  20. Development of Head-end Pyrochemical Reduction Process for Advanced Oxide Fuels

    Energy Technology Data Exchange (ETDEWEB)

    Park, B. H.; Seo, C. S.; Hur, J. M.; Jeong, S. M.; Hong, S. S.; Choi, I. K.; Choung, W. M.; Kwon, K. C.; Lee, I. W. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2008-12-15

    The development of an electrolytic reduction technology for spent fuels in the form of oxide is of essence to introduce LWR SFs to a pyroprocessing. In this research, the technology was investigated to scale a reactor up, the electrochemical behaviors of FPs were studied to understand the process and a reaction rate data by using U{sub 3}O{sub 8} was obtained with a bench scale reactor. In a scale of 20 kgHM/batch reactor, U{sub 3}O{sub 8} and Simfuel were successfully reduced into metals. Electrochemical characteristics of LiBr, LiI and Li{sub 2}Se were measured in a bench scale reactor and an electrolytic reduction cell was modeled by a computational tool.

  1. Advances in dual-tone development for pitch frequency doubling

    Science.gov (United States)

    Fonseca, Carlos; Somervell, Mark; Scheer, Steven; Kuwahara, Yuhei; Nafus, Kathleen; Gronheid, Roel; Tarutani, Shinji; Enomoto, Yuuichiro

    2010-04-01

    Dual-tone development (DTD) has been previously proposed as a potential cost-effective double patterning technique1. DTD was reported as early as in the late 1990's2. The basic principle of dual-tone imaging involves processing exposed resist latent images in both positive tone (aqueous base) and negative tone (organic solvent) developers. Conceptually, DTD has attractive cost benefits since it enables pitch doubling without the need for multiple etch steps of patterned resist layers. While the concept for DTD technique is simple to understand, there are many challenges that must be overcome and understood in order to make it a manufacturing solution. Previous work by the authors demonstrated feasibility of DTD imaging for 50nm half-pitch features at 0.80NA (k1 = 0.21) and discussed challenges lying ahead for printing sub-40nm half-pitch features with DTD. While previous experimental results suggested that clever processing on the wafer track can be used to enable DTD beyond 50nm halfpitch, it also suggest that identifying suitable resist materials or chemistries is essential for achieving successful imaging results with novel resist processing methods on the wafer track. In this work, we present recent advances in the search for resist materials that work in conjunction with novel resist processing methods on the wafer track to enable DTD. Recent experimental results with new resist chemistries, specifically designed for DTD, are presented in this work. We also present simulation studies that help and support identifying resist properties that could enable DTD imaging, which ultimately lead to producing viable DTD resist materials.

  2. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  3. Advanced systems: Status and development prospects

    International Nuclear Information System (INIS)

    Morozov, I.G.

    1983-01-01

    World reserves of coal, uranium, thorium and thermonuclear fuel (deuterium and lithium) are sufficient to provide mankind with energy for many centuries. The rate of increase in demand is unlikely to be a limiting factor, and it would seem that any ''limits to growth'' will be dictated by other, in particular ecological, factors. In the last two decades, world power production has developed a structure in which a predominant place is occupied by oil and gas; this will have to change as a result of the marked depletion of oil resources and the enhanced role played in the fuel balance by power from coal and nuclear fission, on which, it would seem, the long-term growth of world energy production will be based. The contribution of nuclear fission power towards meeting world energy needs will depend on a number of factors, the most important of which from a long-term point of view is the time and rate of introduction of advanced nuclear power systems and fuel cycles with high nuclear fuel surpluses (breeding ratios). The results of almost 30 years of development of nuclear power with thermal-neutron reactors may serve as a basis for the analysis, evaluation and forecasting of the development of advanced systems. (author)

  4. Development of an advanced intelligent robot navigation system

    International Nuclear Information System (INIS)

    Hai Quan Dai; Dalton, G.R.; Tulenko, J.; Crane, C.C. III

    1992-01-01

    As part of the US Department of Energy's Robotics for Advanced Reactors Project, the authors are in the process of assembling an advanced intelligent robotic navigation and control system based on previous work performed on this project in the areas of computer control, database access, graphical interfaces, shared data and computations, computer vision for positions determination, and sonar-based computer navigation systems. The system will feature three levels of goals: (1) high-level system for management of lower level functions to achieve specific functional goals; (2) intermediate level of goals such as position determination, obstacle avoidance, and discovering unexpected objects; and (3) other supplementary low-level functions such as reading and recording sonar or video camera data. In its current phase, the Cybermotion K2A mobile robot is not equipped with an onboard computer system, which will be included in the final phase. By that time, the onboard system will play important roles in vision processing and in robotic control communication

  5. Advanced diesel engine component development program, tasks 4-14

    Science.gov (United States)

    Kaushal, Tony S.; Weber, Karen E.

    1994-01-01

    This report summarizes the Advanced Diesel Engine Component Development (ADECD) Program to develop and demonstrate critical technology needed to advance the heavy-duty low heat rejection engine concept. Major development activities reported are the design, analysis, and fabrication of monolithic ceramic components; vapor phase and solid film lubrication; electrohydraulic valve actuation; and high pressure common rail injection. An advanced single cylinder test bed was fabricated as a laboratory tool in studying these advanced technologies. This test bed simulates the reciprocator for a system having no cooling system, turbo compounding, Rankine bottoming cycle, common rail injection, and variable valve actuation to achieve fuel consumption of 160 g/kW-hr (.26 lb/hp-hr). The advanced concepts were successfully integrated into the test engine. All ceramic components met their functional and reliability requirements. The firedeck, cast-in-place ports, valves, valve guides, piston cap, and piston ring were made from silicon nitride. Breakthroughs required to implement a 'ceramic' engine included the fabrication of air-gap cylinder heads, elimination of compression gaskets, machining of ceramic valve seats within the ceramic firedeck, fabrication of cast-in-place ceramic port liners, implementation of vapor phase lubrication, and elimination of the engine coolant system. Silicon nitride valves were successfully developed to meet several production abuse test requirements and incorporated into the test bed with a ceramic valve guide and solid film lubrication. The ADECD cylinder head features ceramic port shields to increase insulation and exhaust energy recovery. The combustion chamber includes a ceramic firedeck and piston cap. The tribological challenge posed by top ring reversal temperatures of 550 C was met through the development of vapor phase lubrication using tricresyl phosphate at the ring-liner interface. A solenoid-controlled, variable valve actuation system

  6. Advanced diesel engine component development program, tasks 4-14

    Science.gov (United States)

    Kaushal, Tony S.; Weber, Karen E.

    1994-11-01

    This report summarizes the Advanced Diesel Engine Component Development (ADECD) Program to develop and demonstrate critical technology needed to advance the heavy-duty low heat rejection engine concept. Major development activities reported are the design, analysis, and fabrication of monolithic ceramic components; vapor phase and solid film lubrication; electrohydraulic valve actuation; and high pressure common rail injection. An advanced single cylinder test bed was fabricated as a laboratory tool in studying these advanced technologies. This test bed simulates the reciprocator for a system having no cooling system, turbo compounding, Rankine bottoming cycle, common rail injection, and variable valve actuation to achieve fuel consumption of 160 g/kW-hr (.26 lb/hp-hr). The advanced concepts were successfully integrated into the test engine. All ceramic components met their functional and reliability requirements. The firedeck, cast-in-place ports, valves, valve guides, piston cap, and piston ring were made from silicon nitride. Breakthroughs required to implement a 'ceramic' engine included the fabrication of air-gap cylinder heads, elimination of compression gaskets, machining of ceramic valve seats within the ceramic firedeck, fabrication of cast-in-place ceramic port liners, implementation of vapor phase lubrication, and elimination of the engine coolant system. Silicon nitride valves were successfully developed to meet several production abuse test requirements and incorporated into the test bed with a ceramic valve guide and solid film lubrication. The ADECD cylinder head features ceramic port shields to increase insulation and exhaust energy recovery. The combustion chamber includes a ceramic firedeck and piston cap. The tribological challenge posed by top ring reversal temperatures of 550 C was met through the development of vapor phase lubrication using tricresyl phosphate at the ring-liner interface. A solenoid-controlled, variable valve actuation system

  7. Bim Automation: Advanced Modeling Generative Process for Complex Structures

    Science.gov (United States)

    Banfi, F.; Fai, S.; Brumana, R.

    2017-08-01

    The new paradigm of the complexity of modern and historic structures, which are characterised by complex forms, morphological and typological variables, is one of the greatest challenges for building information modelling (BIM). Generation of complex parametric models needs new scientific knowledge concerning new digital technologies. These elements are helpful to store a vast quantity of information during the life cycle of buildings (LCB). The latest developments of parametric applications do not provide advanced tools, resulting in time-consuming work for the generation of models. This paper presents a method capable of processing and creating complex parametric Building Information Models (BIM) with Non-Uniform to NURBS) with multiple levels of details (Mixed and ReverseLoD) based on accurate 3D photogrammetric and laser scanning surveys. Complex 3D elements are converted into parametric BIM software and finite element applications (BIM to FEA) using specific exchange formats and new modelling tools. The proposed approach has been applied to different case studies: the BIM of modern structure for the courtyard of West Block on Parliament Hill in Ottawa (Ontario) and the BIM of Masegra Castel in Sondrio (Italy), encouraging the dissemination and interaction of scientific results without losing information during the generative process.

  8. Membrane/distillation hybrid process research and development. Final report, phase II

    Energy Technology Data Exchange (ETDEWEB)

    Mazanec, T.J.

    1997-07-01

    This report covers work conducted under the grant awarded to BP by DOE in late 1991 entitled {open_quotes}Membrane/Distillation Hybrid Process Research and Development.{close_quotes} The program was directed towards development and commercialization of the BP process for separation of vapor phase olefins from non-olefins via facilitated transport using an aqueous facilitator. The program has come to a very successful conclusion, with formation of a partnership between BP and Stone and Webster Engineering Corporation (SWEC) to market and commercialize the technology. The focus of this report is the final portion of the program, during which engineering re-design, facilitator optimization, economic analysis, and marketing have been the primary activities. At the end of Phase II BP was looking to partner with an engineering firm to advance the selective olefin recovery (SOR) technology from the lab/demo stage to full commercialization. In August 1995 BP and SWEC reached an agreement to advance the technology by completing additional Phase III work with DOE and beginning marketing activities.

  9. MetaboLab - advanced NMR data processing and analysis for metabolomics

    Directory of Open Access Journals (Sweden)

    Günther Ulrich L

    2011-09-01

    Full Text Available Abstract Background Despite wide-spread use of Nuclear Magnetic Resonance (NMR in metabolomics for the analysis of biological samples there is a lack of graphically driven, publicly available software to process large one and two-dimensional NMR data sets for statistical analysis. Results Here we present MetaboLab, a MATLAB based software package that facilitates NMR data processing by providing automated algorithms for processing series of spectra in a reproducible fashion. A graphical user interface provides easy access to all steps of data processing via a script builder to generate MATLAB scripts, providing an option to alter code manually. The analysis of two-dimensional spectra (1H,13C-HSQC spectra is facilitated by the use of a spectral library derived from publicly available databases which can be extended readily. The software allows to display specific metabolites in small regions of interest where signals can be picked. To facilitate the analysis of series of two-dimensional spectra, different spectra can be overlaid and assignments can be transferred between spectra. The software includes mechanisms to account for overlapping signals by highlighting neighboring and ambiguous assignments. Conclusions The MetaboLab software is an integrated software package for NMR data processing and analysis, closely linked to the previously developed NMRLab software. It includes tools for batch processing and gives access to a wealth of algorithms available in the MATLAB framework. Algorithms within MetaboLab help to optimize the flow of metabolomics data preparation for statistical analysis. The combination of an intuitive graphical user interface along with advanced data processing algorithms facilitates the use of MetaboLab in a broader metabolomics context.

  10. Development of 'low activation superconducting wire' for an advanced fusion reactor

    International Nuclear Information System (INIS)

    Hishinuma, Y.; Yamada, S.; Sagara, A.; Kikuchi, A.; Takeuchi, T.; Matsuda, K.; Taniguchi, H.

    2011-01-01

    In the D-T burning plasma reactor beyond ITER such as DEMO and fusion power plants assuming the steady-state and long time operation, it will be necessary to consider carefully induced radioactivity and neutron irradiation properties on the all components for fusion reactors. The decay time of the induced radioactivity can control the schedule and scenarios of the maintenance and shutdown on the fusion reactor. V 3 Ga and MgB 2 compound have shorter decay time within 1 years and they will be desirable as a candidate material to realize 'low activation and high magnetic field superconducting magnet' for advanced fusion reactor. However, it is well known that J c -B properties of V 3 Ga and MgB 2 wires are lower than that of the Nb-based A15 compound wires, so the J c -B enhancements on the V 3 Ga and MgB 2 wires are required in order to apply for an advanced fusion reactor. We approached and succeeded to developing the new process in order to improve J c properties of V 3 Ga and MgB 2 wires. In this paper, the recent activities for the J c improvements and detailed new process in V 3 Ga and MgB 2 wires are investigated. (author)

  11. Advanced Small Modular Reactor Economics Model Development

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, Thomas J [ORNL

    2014-10-01

    The US Department of Energy Office of Nuclear Energy’s Advanced Small Modular Reactor (SMR) research and development activities focus on four key areas: Developing assessment methods for evaluating advanced SMR technologies and characteristics; and Developing and testing of materials, fuels and fabrication techniques; and Resolving key regulatory issues identified by US Nuclear Regulatory Commission and industry; and Developing advanced instrumentation and controls and human-machine interfaces. This report focuses on development of assessment methods to evaluate advanced SMR technologies and characteristics. Specifically, this report describes the expansion and application of the economic modeling effort at Oak Ridge National Laboratory. Analysis of the current modeling methods shows that one of the primary concerns for the modeling effort is the handling of uncertainty in cost estimates. Monte Carlo–based methods are commonly used to handle uncertainty, especially when implemented by a stand-alone script within a program such as Python or MATLAB. However, a script-based model requires each potential user to have access to a compiler and an executable capable of handling the script. Making the model accessible to multiple independent analysts is best accomplished by implementing the model in a common computing tool such as Microsoft Excel. Excel is readily available and accessible to most system analysts, but it is not designed for straightforward implementation of a Monte Carlo–based method. Using a Monte Carlo algorithm requires in-spreadsheet scripting and statistical analyses or the use of add-ons such as Crystal Ball. An alternative method uses propagation of error calculations in the existing Excel-based system to estimate system cost uncertainty. This method has the advantage of using Microsoft Excel as is, but it requires the use of simplifying assumptions. These assumptions do not necessarily bring into question the analytical results. In fact, the

  12. Advanced Energy Industries, Inc. SEGIS developments.

    Energy Technology Data Exchange (ETDEWEB)

    Scharf, Mesa P. (Advanced Energy Industries, Inc., Bend, OR); Bower, Ward Isaac; Mills-Price, Michael A. (Advanced Energy Industries, Inc., Bend, OR); Sena-Henderson, Lisa; David, Carolyn; Akhil, Abbas Ali; Kuszmaul, Scott S.; Gonzalez, Sigifredo

    2012-03-01

    The Solar Energy Grid Integration Systems (SEGIS) initiative is a three-year, three-stage project that includes conceptual design and market analysis (Stage 1), prototype development/testing (Stage 2), and commercialization (Stage 3). Projects focus on system development of solar technologies, expansion of intelligent renewable energy applications, and connecting large-scale photovoltaic (PV) installations into the electric grid. As documented in this report, Advanced Energy Industries, Inc. (AE), its partners, and Sandia National Laboratories (SNL) successfully collaborated to complete the final stage of the SEGIS initiative, which has guided new technology development and development of methodologies for unification of PV and smart-grid technologies. The combined team met all deliverables throughout the three-year program and commercialized a broad set of the developed technologies.

  13. Development for advanced materials and testing techniques

    Energy Technology Data Exchange (ETDEWEB)

    Hishinuma, Akimichi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-03-01

    Recent studies using a JMTR and research reactors of JRR-2 and JRR-3 are briefly summarized. Small specimen testing techniques (SSTT) required for an effective use of irradiation volume and also irradiated specimens have been developed focussing on tensile test, fatigue test, Charpy test and small punch test. By using the small specimens of 0.1 - several mm in size, similar values of tensile and fatigue properties to those by standard size specimens can be taken, although the ductile-brittle transition temperature (DBTT) depends strongly on Charpy specimen size. As for advanced material development, R and D about low activation ferritic steels have been done to investigate irradiation response. The low activation ferritic steel, so-called F82H jointly-developed by JAERI and NKK for fusion, has been confirmed to have good irradiation resistance within a limited dose and now selected as a standard material in the fusion material community. It is also found that TiAi intermetallic compounds, which never been considered for nuclear application in the past, have an excellent irradiation resistance under an irradiation condition. Such knowledge can bring about a large expectation for developing advanced nuclear materials. (author)

  14. FY 1999 Report on research and development results of photon-applied instrumentation/processing technologies. Research and development of advanced measuring/processing technologies for oil production systems; 1999 nendo foton keisoku kako gijutsu seika hokokusho. Sekiyu seisan system kodo keisoku kako gijutsu kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Described herein are the FY 1999 results of the research and development of photon (laser) beam utilization as part of the R and D project of the advanced measuring/processing technologies for oil production systems. For the high-reliability laser welding technology, the tests are conducted for welding 15 mm thick steel plates and 5 mm thick aluminum alloy plates by synthesized iodine/YAG laser beams, producing high-quality welding results. For the microscopic processing technology, attempts have been made for development of quantum functional optoelectronic devices which have nanometer-sized ultrafine dots. For the non-destructive composition measuring technology, the internal transmission measurement program produces the target light quantity by increasing brightness of the short-wavelength light source. The three-dimensional digital tomography (DT) images with a space resolution of several micrometers are obtained. For the tightly-focusing all-solid-state laser technology, a fiber-structured fiber laser is developed, on a trial basis, to attain a power of 15 W. A high-power, high-brightness laser diode, required for exciting the fiber laser is developed, and a power of 30 W or more is obtained by an InGa(As)P device. The comprehensive investigation results are also presented. (NEDO)

  15. Journal of Development and Communication Studies: Advanced ...

    African Journals Online (AJOL)

    PROMOTING ACCESS TO AFRICAN RESEARCH ... Journal of Development and Communication Studies: Advanced Search ... of characters; e.g., soci* morality would match documents containing "sociological" or "societal" .... Journal of Business and Administrative Studies, Journal of Business Research, Journal of Child ...

  16. ADVANCED TURBINE SYSTEM CONCEPTUAL DESIGN AND PRODUCT DEVELOPMENT; FINAL

    International Nuclear Information System (INIS)

    Albrecht H. Mayer

    2000-01-01

    Asea Brown Boveri (ABB) has completed its technology based program. The results developed under Work Breakdown Structure (WBS) 8, concentrated on technology development and demonstration have been partially implemented in newer turbine designs. A significant improvement in heat rate and power output has been demonstrated. ABB will use the knowledge gained to further improve the efficiency of its Advanced Cycle System, which has been developed and introduced into the marked out side ABB's Advanced Turbine System (ATS) activities. The technology will lead to a power plant design that meets the ATS performance goals of over 60% plant efficiency, decreased electricity costs to consumers and lowest emissions

  17. Research, development, demonstration, and early deployment policies for advanced-coal technology in China

    International Nuclear Information System (INIS)

    Zhao Lifeng; Gallagher, Kelly Sims

    2007-01-01

    Advanced-coal technologies will increasingly play a significant role in addressing China's multiple energy challenges. This paper introduces the current status of energy in China, evaluates the research, development, and demonstration policies for advanced-coal technologies during the Tenth Five-Year Plan, and gives policy prospects for advanced-coal technologies in the Eleventh Five-Year Plan. Early deployment policies for advanced-coal technologies are discussed and some recommendations are put forward. China has made great progress in the development of advanced-coal technologies. In terms of research, development, and demonstration of advanced-coal technologies, China has achieved breakthroughs in developing and demonstrating advanced-coal gasification, direct and indirect coal liquefaction, and key technologies of Integrated Gasification Combined Cycle (IGCC) and co-production systems. Progress on actual deployment of advanced-coal technologies has been more limited, in part due to insufficient supporting policies. Recently, industry chose Ultra Super Critical (USC) Pulverized Coal (PC) and Super Critical (SC) PC for new capacity coupled with pollution-control technology, and 300 MW Circulating Fluidized Bed (CFB) as a supplement

  18. Development of technical information processing system(VI)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jee Hoh; Kim, Tae Hwan; Choi, Kwang; Chung, Hyun Sook; Keum, Jong Yong [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-12-01

    This project is to establish high-quality information circulation system by developing serials-control system to improve serials management from ordering to distributing and availability on R and D and to advance in quality of information service needed in R and D by fast retrieval and providing of research information with CD-Net. The results of the project are as follows. 1. Serials management process which covers from ordering to distributing have higher efficiency by development of subscription information system. 2. Systematic control on each issue of serials is achieved by development of serials checking system. 3. It is possible to provide vol. and no. information of issue received currently to researchers promptly by improvement of serials holding information system. 4. Retrieval of research information contained in various CD-ROM DB throughout KAERI-NET is possible by research on construction methods of CD-Net. 2 figs, 25 refs. (Author).

  19. Development of technical information processing system(VI)

    International Nuclear Information System (INIS)

    Lee, Jee Hoh; Kim, Tae Hwan; Choi, Kwang; Chung, Hyun Sook; Keum, Jong Yong

    1994-12-01

    This project is to establish high-quality information circulation system by developing serials-control system to improve serials management from ordering to distributing and availability on R and D and to advance in quality of information service needed in R and D by fast retrieval and providing of research information with CD-Net. The results of the project are as follows. 1. Serials management process which covers from ordering to distributing have higher efficiency by development of subscription information system. 2. Systematic control on each issue of serials is achieved by development of serials checking system. 3. It is possible to provide vol. and no. information of issue received currently to researchers promptly by improvement of serials holding information system. 4. Retrieval of research information contained in various CD-ROM DB throughout KAERI-NET is possible by research on construction methods of CD-Net. 2 figs, 25 refs. (Author)

  20. FaCT phase-I evaluation on the advanced aqueous reprocessing process (2). Development of mechanical disassembly and short stroke shearing systems for FBR fuel reprocessing

    International Nuclear Information System (INIS)

    Takeuchi, Masayuki; Kitagaki, Toru; Higuchi, Hidetoshi; Fukushima, Mineo; Washiya, Tadahiro; Kobayashi, Tsuguyuki

    2011-01-01

    JAEA promotes a development of an advanced head-end process in FaCT project. We selected mechanical cutting method for disassembly process and short stroke method for shearing process. In the FaCT phase-I, the criteria was set for decision about the innovative technology and some fundamental performances of the innovative technology such as precision, speed, durability, operation performance and system concept were discussed by the engineering test and design work. We designed and fabricated an engineering-scale test device for mechanical disassembly and short stroke shearing and have carried out the engineering tests using simulated fuel assemblies. As a part of the engineering test results, the effects of cutting conditions on the durability of cutting tool and cutting stability were discussed. Also, the reduction of magazine width is effective to improve the precision of sheared pin length, and the bundle of simulated fuel pins were successfully sheared to 10 ± 5mm, which is a target for the sheared pin length. The criteria for the mechanical disassembly technology and the short stroke shearing technology were satisfied, so we judged that the development of innovative technologies has worth going on for the next phase in the FaCT project. (author)

  1. Advanced Signal Processing for High Temperatures Health Monitoring of Condensed Water Height in Steam Pipes

    Science.gov (United States)

    Lih, Shyh-Shiuh; Bar-Cohen, Yoseph; Lee, Hyeong Jae; Takano, Nobuyuki; Bao, Xiaoqi

    2013-01-01

    An advanced signal processing methodology is being developed to monitor the height of condensed water thru the wall of a steel pipe while operating at temperatures as high as 250deg. Using existing techniques, previous study indicated that, when the water height is low or there is disturbance in the environment, the predicted water height may not be accurate. In recent years, the use of the autocorrelation and envelope techniques in the signal processing has been demonstrated to be a very useful tool for practical applications. In this paper, various signal processing techniques including the auto correlation, Hilbert transform, and the Shannon Energy Envelope methods were studied and implemented to determine the water height in the steam pipe. The results have shown that the developed method provides a good capability for monitoring the height in the regular conditions. An alternative solution for shallow water or no water conditions based on a developed hybrid method based on Hilbert transform (HT) with a high pass filter and using the optimized windowing technique is suggested. Further development of the reported methods would provide a powerful tool for the identification of the disturbances of water height inside the pipe.

  2. Advanced gas cooled nuclear reactor materials evaluation and development program

    International Nuclear Information System (INIS)

    1977-01-01

    Results of work performed from January 1, 1977 through March 31, 1977 on the Advanced Gas Cooled Nuclear Reactor Materials Evaluation and Development Program are presented. The objectives of this program are to evaluate candidate alloys for Very High Temperature Reactor (VHTR) Process Heat and Direct Cycle Helium Turbine (DCHT) applications, in terms of the effect of simulated reactor primary coolant (impure Helium), high temperatures, and long time exposures, on the mechanical properties and structural and surface stability of selected candidate alloys. A second objective is to select and recommend materials for future test facilities and more extensive qualification programs. Work covered in this report includes progress to date on alloy selection for VHTR Nuclear Process Heat (NPH) applications and for DCHT applications. The present status on the simulated reactor helium loop design and on designs for the testing and analysis facilities and equipment is discussed

  3. Advanced LWR Nuclear Fuel Cladding System Development Trade-Off Study

    Energy Technology Data Exchange (ETDEWEB)

    Kristine Barrett; Shannon Bragg-Sitton

    2012-09-01

    The Advanced Light Water Reactor (LWR) Nuclear Fuel Development Research and Development (R&D) Pathway encompasses strategic research focused on improving reactor core economics and safety margins through the development of an advanced fuel cladding system. To achieve significant operating improvements while remaining within safety boundaries, significant steps beyond incremental improvements in the current generation of nuclear fuel are required. Fundamental improvements are required in the areas of nuclear fuel composition, cladding integrity, and the fuel/cladding interaction to allow power uprates and increased fuel burn-up allowance while potentially improving safety margin through the adoption of an “accident tolerant” fuel system that would offer improved coping time under accident scenarios. With a development time of about 20 – 25 years, advanced fuel designs must be started today and proven in current reactors if future reactor designs are to be able to use them with confidence.

  4. Pilot-Scale Evaluation of an Advanced Carbon Sorbent-Based Process for Post-Combustion Carbon Capture

    Energy Technology Data Exchange (ETDEWEB)

    Hornbostel, Marc [SRI International, Menlo Park, CA (United States)

    2016-09-01

    The overall objective of this project is to achieve the DOE’s goal to develop advanced CO2 capture and separation technologies that can realize at least 90% CO2 removal from flue gas steams produced at a pulverized coal (PC) power plant at a cost of less than $40/tonne of CO2 captured. The principal objective is to test a CO2 capture process that will reduce the parasitic plant load by using a CO2 capture sorbent that will require a reduced amount of steam. The process is based on advanced carbon sorbents having a low heat of adsorption, high CO2 adsorption capacity, and excellent selectivity. While the intent of this project was to produce design and performance data by testing the sorbent using a slipstream of coal-derived flue gas at the National Carbon Capture Center (NCCC) under realistic conditions and continuous long-term operation, the project was terminated following completion of the detailing pilot plant design/engineering work on June 30, 2016.

  5. Requirements for a Dynamic Solvent Extraction Module to Support Development of Advanced Technologies for the Recycle of Used Nuclear Fuel

    International Nuclear Information System (INIS)

    Law, Jack; Rutledge, Veronica; Pereira, Candido; Copple, Jackie; Frey, Kurt; Krebs, John; Maggos, Laura; Nichols, Kevin; Wardle, Kent; Sadasivan, Pratap; DeAlmieda, Valmor; Depaoli, David

    2011-01-01

    The Department of Energy's Nuclear Energy Advanced Modeling and Simulation (NEAMS) Program has been established to create and deploy next generation, verified and validated nuclear energy modeling and simulation capabilities for the design, implementation, and operation of future nuclear energy systems to improve the U.S. energy security. As part of the NEAMS program, Integrated Performance and Safety Codes (IPSC's) are being produced to significantly advance the status of modeling and simulation of energy systems beyond what is currently available to the extent that the new codes be readily functional in the short term and extensible in the longer term. The four IPSC areas include Safeguards and Separations, Reactors, Fuels, and Waste Forms. As part of the Safeguards and Separations (SafeSeps) IPSC effort, interoperable process models are being developed that enable dynamic simulation of an advanced separations plant. A SafeSepss IPSC 'toolkit' is in development to enable the integration of separation process modules and safeguards tools into the design process by providing an environment to compose, verify and validate a simulation application to be used for analysis of various plant configurations and operating conditions. The modules of this toolkit will be implemented on a modern, expandable architecture with the flexibility to explore and evaluate a wide range of process options while preserving their stand-alone usability. Modules implemented at the plant-level will initially incorporate relatively simple representations for each process through a reduced modeling approach. Final versions will incorporate the capability to bridge to subscale models to provide required fidelity in chemical and physical processes. A dynamic solvent extraction model and its module implementation are needed to support the development of this integrated plant model. As a stand-alone application, it will also support solvent development of extraction flowsheets and integrated

  6. Advanced Manufacturing Technologies

    Science.gov (United States)

    Fikes, John

    2016-01-01

    Advanced Manufacturing Technologies (AMT) is developing and maturing innovative and advanced manufacturing technologies that will enable more capable and lower-cost spacecraft, launch vehicles and infrastructure to enable exploration missions. The technologies will utilize cutting edge materials and emerging capabilities including metallic processes, additive manufacturing, composites, and digital manufacturing. The AMT project supports the National Manufacturing Initiative involving collaboration with other government agencies.

  7. Objectives for the development of advanced nuclear plants

    International Nuclear Information System (INIS)

    1993-01-01

    The scope of this report is to reiterate the broad objectives for the development of advanced nuclear plants, to set forth some related subobjectives and to propose some universal goals for the development programmes. The majority of these can be categorized under the headings of enhancing safety, improving reliability and gaining better economics. These categories are used in the report followed by additional categories considered to be important within the global framework intended. Additional broad objectives appear unlikely but more subobjectives may become evident as time progresses and the need arises to express them in the intended more global framework. The goals also may change. The scope is therefore a set of objectives for development of advanced nuclear plants. The objectives are believed to be universally acceptable; they have been reviewed on that basis. 13 refs

  8. Physics of thin films advances in research and development

    CERN Document Server

    Hass, Georg; Vossen, John L

    2013-01-01

    Physics of Thin Films: Advances in Research and Development, Volume 12 reviews advances that have been made in research and development concerning the physics of thin films. This volume covers a wide range of preparative approaches, physics phenomena, and applications related to thin films. This book is comprised of four chapters and begins with a discussion on metal coatings and protective layers for front surface mirrors used at various angles of incidence from the ultraviolet to the far infrared. Thin-film materials and deposition conditions suitable for minimizing reflectance changes with

  9. Guidelines for the Development, Validation and Routine Control of Industrial Radiation Processes

    DEFF Research Database (Denmark)

    Safrany, A.; Miller, Arne; Kovacs, A.

    Radiation processing has become a well accepted technology on the global market, with uses ranging from the sterilization of medical devices to polymer cross-linking and curing to the irradiation of selected food items. Besides these well established uses, new radiation technology applications...... are emerging for environmental remediation and the synthesis of advanced materials and products. Quality assurance is vital for the success of these technologies and requires the development of standardized procedures as well as the harmonization of process validation and process control. It is recognized...

  10. Advanced Optical Signal Processing using Time Lens based Optical Fourier Transformation

    DEFF Research Database (Denmark)

    Guan, Pengyu; Røge, Kasper Meldgaard; Lillieholm, Mads

    2016-01-01

    An overview of recent progress on time lens based advanced optical signal processing is presented, with a special focus on all-optical ultrafast 640 Gbit/s all-channel serial-to-parallel conversion, and scalable WDM regeneration....

  11. Advances in wastewater nitrogen removal by biological processes: state of the art review

    Directory of Open Access Journals (Sweden)

    Andrea G. Capodaglio

    2016-04-01

    Full Text Available The paper summarizes the state-of-the-art of the most recent advances in biological nitrogen removal, including process design criteria and technological innovations. With reference to the Modified Ludzck Ettinger (MLE process (pre-denitrification and nitrification in the activated sludge process, the most common nitrogen removal process used nowadays, a new design equation for the denitrification reactor based on specific denitrification rate (SDNR has been proposed. In addition, factors influencing SDNR (DO in the anoxic reactor; hydrodynamic behavior are analyzed, and technological solutions are proposed. Concerning technological advances, the paper presents a summary of various “deammonification” processes, better known by their patent names like ANAMMOX®, DEMON®, CANON®, ANITA® and others. These processes have already found applications in the treatment of high-strength wastewater such as digested sludge liquor and landfill leachate. Among other emerging denitrification technologies, consideration is given to the Membrane Biofilm Reactors (MBfRs that can be operated both in oxidation and reduction mode.

  12. Advanced model-based control strategies for the intensification of upstream and downstream processing in mAb production.

    Science.gov (United States)

    Papathanasiou, Maria M; Quiroga-Campano, Ana L; Steinebach, Fabian; Elviro, Montaña; Mantalaris, Athanasios; Pistikopoulos, Efstratios N

    2017-07-01

    Current industrial trends encourage the development of sustainable, environmentally friendly processes with minimal energy and material consumption. In particular, the increasing market demand in biopharmaceutical industry and the tight regulations in product quality necessitate efficient operating procedures that guarantee products of high purity. In this direction, process intensification via continuous operation paves the way for the development of novel, eco-friendly processes, characterized by higher productivity and lower production costs. This work focuses on the development of advanced control strategies for (i) a cell culture system in a bioreactor and (ii) a semicontinuous purification process. More specifically, we consider a fed-batch culture of GS-NS0 cells and the semicontinuous Multicolumn Countercurrent Solvent Gradient Purification (MCSGP) for the purification process. The controllers are designed following the PAROC framework/software platform and their capabilities are assessed in silico, against the process models. It is demonstrated that the proposed controllers efficiently manage to increase the system productivity, returning strategies that can lead to continuous, stable process operation. © 2017 American Institute of Chemical Engineers Biotechnol. Prog., 33:966-988, 2017. © 2017 American Institute of Chemical Engineers.

  13. Advances in software development for intelligent interfaces for alarm and emergency management consoles

    International Nuclear Information System (INIS)

    Moseley, M.R.; Olson, C.E.

    1986-01-01

    Recent advances in technology allow features like voice synthesis, voice and speech recognition, image understanding, and intelligent data base management to be incorporated in computer driven alarm and emergency management information systems. New software development environments make it possible to do rapid prototyping of custom applications. Three examples using these technologies are discussed. 1) Maximum use is made of high-speed graphics and voice synthesis to implement a state-of-the-art alarm processing and display system with features that make the operator-machine interface efficient and accurate. 2) An application generator which has the capability of ''building'' a specific alarm processing and display application in a matter of a few hours, using the site definition developed in the security planning phase to produce the custom application. 3) A software tool, is described which permits rapid prototyping of human-machine interfaces for a variety of applications including emergency management, alarm display and process information display

  14. U.S. Department of Energy & Nuclear Regulatory Commission Advanced Fuel Cycle Research & Development Seminar Series FY 2007 & 2008

    Energy Technology Data Exchange (ETDEWEB)

    Grandy, Christopher [Argonne National Lab. (ANL), Argonne, IL (United States)

    2008-08-01

    In fiscal year 2007, the Advanced Burner Reactor project initiated an educational seminar series for the Department of Energy (DOE) and Nuclear Regulatory Commission (NRC) personnel on various aspects of fast reactor fuel cycle closure technologies. This important work was initiated to inform DOE and NRC personnel on initial details of sodium-cooled fast reactor, separations, waste form, and safeguard technologies being considered for the Advanced Fuel Cycle Research and Development program, and to learn the important lesson from the licensing process for the Clinch River Breeder Reactor Plant that educating the NRC staff early in the regulatory process is very important and critical to a project success.

  15. Advanced Analog Signal Processing for Fuzing Final Report CRADA No. TC-1306-96

    Energy Technology Data Exchange (ETDEWEB)

    Fu, C. Y. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Spencer, D. [Raymond Engineering, Middletown, CT (United States)

    2018-01-24

    The purpose of this CRADA between LLNL and Kaman Aerospace/Raymond Engineering Operations (Raymond) was to demonstrate the feasibility of using Analog/Digital Neural Network (ANN) Technology for advanced signal processing, fuzing, and other applications. This cooperation sought to Ieverage the expertise and capabilities of both parties--Raymond to develop the signature recognition hardware system, using Raymond’s extensive experience in the area of system development plus Raymond’s knowledge of military applications, and LLNL to apply ANN and related technologies to an area of significant interest to the United States government. This CRADA effort was anticipated to be a three-year project consisting of three phases: Phase I, Proof-of-Principle Demonstration; Phase II, Proof-of-Design, involving the development of a form-factored integrated sensor and ANN technology processo~ and Phase III, Final Design and Release of the integrated sensor and ANN fabrication process: Under Phase I, to be conducted during calendar year 1996, Raymond was to deliver to LLNL an architecture (design) for an ANN chip. LLNL was to translate the design into a stepper mask and to produce and test a prototype chip from the Raymond design.

  16. Sensitivity Studies of Advanced Reactors Coupled to High Temperature Electrolysis (HTE) Hydrogen Production Processes

    International Nuclear Information System (INIS)

    Edwin A. Harvego; Michael G. McKellar; James E. O'Brien; J. Stephen Herring

    2007-01-01

    High Temperature Electrolysis (HTE), when coupled to an advanced nuclear reactor capable of operating at reactor outlet temperatures of 800 C to 950 C, has the potential to efficiently produce the large quantities of hydrogen needed to meet future energy and transportation needs. To evaluate the potential benefits of nuclear-driven hydrogen production, the UniSim process analysis software was used to evaluate different reactor concepts coupled to a reference HTE process design concept. The reference HTE concept included an Intermediate Heat Exchanger and intermediate helium loop to separate the reactor primary system from the HTE process loops and additional heat exchangers to transfer reactor heat from the intermediate loop to the HTE process loops. The two process loops consisted of the water/steam loop feeding the cathode side of a HTE electrolysis stack, and the steam or air sweep loop used to remove oxygen from the anode side. The UniSim model of the process loops included pumps to circulate the working fluids and heat exchangers to recover heat from the oxygen and hydrogen product streams to improve the overall hydrogen production efficiencies. The reference HTE process loop model was coupled to separate UniSim models developed for three different advanced reactor concepts (a high-temperature helium cooled reactor concept and two different supercritical CO2 reactor concepts). Sensitivity studies were then performed to evaluate the affect of reactor outlet temperature on the power cycle efficiency and overall hydrogen production efficiency for each of the reactor power cycles. The results of these sensitivity studies showed that overall power cycle and hydrogen production efficiencies increased with reactor outlet temperature, but the power cycle producing the highest efficiencies varied depending on the temperature range considered

  17. Robust Low Cost Liquid Rocket Combustion Chamber by Advanced Vacuum Plasma Process

    Science.gov (United States)

    Holmes, Richard; Elam, Sandra; Ellis, David L.; McKechnie, Timothy; Hickman, Robert; Rose, M. Franklin (Technical Monitor)

    2001-01-01

    Next-generation, regeneratively cooled rocket engines will require materials that can withstand high temperatures while retaining high thermal conductivity. Fabrication techniques must be cost efficient so that engine components can be manufactured within the constraints of shrinking budgets. Three technologies have been combined to produce an advanced liquid rocket engine combustion chamber at NASA-Marshall Space Flight Center (MSFC) using relatively low-cost, vacuum-plasma-spray (VPS) techniques. Copper alloy NARloy-Z was replaced with a new high performance Cu-8Cr-4Nb alloy developed by NASA-Glenn Research Center (GRC), which possesses excellent high-temperature strength, creep resistance, and low cycle fatigue behavior combined with exceptional thermal stability. Functional gradient technology, developed building composite cartridges for space furnaces was incorporated to add oxidation resistant and thermal barrier coatings as an integral part of the hot wall of the liner during the VPS process. NiCrAlY, utilized to produce durable protective coating for the space shuttle high pressure fuel turbopump (BPFTP) turbine blades, was used as the functional gradient material coating (FGM). The FGM not only serves as a protection from oxidation or blanching, the main cause of engine failure, but also serves as a thermal barrier because of its lower thermal conductivity, reducing the temperature of the combustion liner 200 F, from 1000 F to 800 F producing longer life. The objective of this program was to develop and demonstrate the technology to fabricate high-performance, robust, inexpensive combustion chambers for advanced propulsion systems (such as Lockheed-Martin's VentureStar and NASA's Reusable Launch Vehicle, RLV) using the low-cost VPS process. VPS formed combustion chamber test articles have been formed with the FGM hot wall built in and hot fire tested, demonstrating for the first time a coating that will remain intact through the hot firing test, and with

  18. Interprofessional development and implementation of a pharmacist professional advancement and recognition program.

    Science.gov (United States)

    Hager, David; Chmielewski, Eric; Porter, Andrea L; Brzozowski, Sarah; Rough, Steve S; Trapskin, Philip J

    2017-11-15

    The interprofessional development, implementation, and outcomes of a pharmacist professional advancement and recognition program (PARP) at an academic medical center are described. Limitations of the legacy advancement program, in combination with low rates of employee engagement in peer recognition and professional development, at the UW Health department of pharmacy led to the creation of a task force comprising pharmacists from all practice areas to develop a new pharmacist PARP. Senior leadership within the organization expanded the scope of the project to include an interprofessional work group tasked to develop guidelines and core principles that other professional staff could use to reduce variation across advancement and recognition programs. Key program design elements included a triennial review of performance against advancement standards and the use of peer review to supplement advancement decisions. The primary objective was to meaningfully improve pharmacists' engagement as measured through employee engagement surveys. Secondary outcomes of interest included the results of pharmacist and management satisfaction surveys and the program's impact on the volume and mix of pharmacist professional development activities. Of the 126 eligible pharmacists, 93 participated in the new program. The majority of pharmacists was satisfied with the program. For pharmacists who were advanced as part of the program, meaningful increases in employee engagement scores were observed, and a mean of 95 hours of professional development and quality-improvement activities was documented. Implementation of a PARP helped increase pharmacist engagement through participation in quality-improvement and professional development activities. The program also led to the creation of organizationwide interprofessional guidelines for advancement programs within various healthcare disciplines. Copyright © 2017 by the American Society of Health-System Pharmacists, Inc. All rights reserved.

  19. Investigations on the performance of ultrasonic drilling process with special reference to precision machining of advanced ceramics

    International Nuclear Information System (INIS)

    Adithan, M.; Laroiya, S.C.

    1997-01-01

    Advanced ceramics are assuming an important role in modern industrial technology. The applications and advantages of using advanced ceramics are many. There are several reasons why we should go in for machining of advanced ceramics after their compacting and sintering. These are discussed in this paper. However, precision machining of advanced ceramics must be economical. Critical technological issues to be addressed in cost effective machining of ceramics include design of machine tools, tooling arrangements, improved yield and precision, relationship of part dimensions and finish specifications to functional performance, and on-line inspection. Considering the above ultrasonic drilling is an important process used for the precision machining of advanced ceramics. Extensive studies on tool wear occurring in the ultrasonic machining of advanced ceramics have been carried out. In addition, production accuracy of holes drilled, surface finish obtained and surface integrity aspects in the machining of advanced ceramics have also been investigated. Some specific findings with reference to surface integrity are: a) there were no cracks or micro-cracks developed during or after ultrasonic machining of advanced ceramics, b) while machining Hexoloy alpha silicon carbide a recast layer is formed as a result of ultrasonic machining. This is attributed to the viscous heating resulting from high energy impacts during ultrasonic machining. While machining all other types of ceramics no such formation of recast layer was observed, and , c) there is no change in the microstructure of the advanced ceramics as a result of ultrasonic machining

  20. Developing a career advancement program.

    Science.gov (United States)

    Pinette, Shirley L

    2003-01-01

    managers in the department of diagnostic imaging at Yale-New Haven Hospital in New Haven, Conn., to develop a Career Ladder for all diagnostic imaging staff members, called the Career Advancement Program (CAP). The process has been time consuming and has required intense discussion and debate. The draft plan is for one CAP with multiple tracks to be used by all staff, both technical and support. A copy of the draft plan is included in this article.

  1. Adding Structure to the Transition Process to Advanced Mathematical Activity

    Science.gov (United States)

    Engelbrecht, Johann

    2010-01-01

    The transition process to advanced mathematical thinking is experienced as traumatic by many students. Experiences that students had of school mathematics differ greatly to what is expected from them at university. Success in school mathematics meant application of different methods to get an answer. Students are not familiar with logical…

  2. Trend and current practices of palm oil mill effluent polishing: Application of advanced oxidation processes and their future perspectives.

    Science.gov (United States)

    Bello, Mustapha Mohammed; Abdul Raman, Abdul Aziz

    2017-08-01

    Palm oil processing is a multi-stage operation which generates large amount of effluent. On average, palm oil mill effluent (POME) may contain up to 51, 000 mg/L COD, 25,000 mg/L BOD, 40,000 TS and 6000 mg/L oil and grease. Due to its potential to cause environmental pollution, palm oil mills are required to treat the effluent prior to discharge. Biological treatments using open ponding system are widely used for POME treatment. Although these processes are capable of reducing the pollutant concentrations, they require long hydraulic retention time and large space, with the effluent frequently failing to satisfy the discharge regulation. Due to more stringent environmental regulations, research interest has recently shifted to the development of polishing technologies for the biologically-treated POME. Various technologies such as advanced oxidation processes, membrane technology, adsorption and coagulation have been investigated. Among these, advanced oxidation processes have shown potentials as polishing technologies for POME. This paper offers an overview on the POME polishing technologies, with particularly emphasis on advanced oxidation processes and their prospects for large scale applications. Although there are some challenges in large scale applications of these technologies, this review offers some perspectives that could help in overcoming these challenges. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Development of Experimental Facilities for Advanced Spent Fuel Management Technology

    Energy Technology Data Exchange (ETDEWEB)

    You, G. S.; Jung, W. M.; Ku, J. H. [and others

    2004-07-01

    The advanced spent fuel management process(ACP), proposed to reduce the overall volume of the PWR spent fuel and improve safety and economy of the long-term storage of spent fuel, is under research and development. This technology convert spent fuels into pure metal-base uranium with removing the highly heat generating materials(Cs, Sr) efficiently and reducing of the decay heat, volume, and radioactivity from spent fuel by 1/4. In the next phase(2004{approx}2006), the demonstration of this technology will be carried out for verification of the ACP in a laboratory scale. For this demonstration, the hot cell facilities of {alpha}-{gamma} type and auxiliary facilities are required essentially for safe handling of high radioactive materials. As the hot cell facilities for demonstration of the ACP, a existing hot cell of {beta}-{gamma} type will be refurbished to minimize construction expenditures of hot cell facility. In this study, the design requirements are established, and the process detail work flow was analysed for the optimum arrangement to ensure effective process operation in hot cell. And also, the basic and detail design of hot cell facility and process, and safety analysis was performed to secure conservative safety of hot cell facility and process.

  4. Energy and environmental consciousness. Differences between advanced and developing countries

    International Nuclear Information System (INIS)

    Takeshita, Takashi

    1999-01-01

    The purpose of the present study is to understand how much differences there are between advanced countries and developing countries in terms of environmental and energy consciousness. We are experiencing now a big dilemma of the human desire to continue to exist and, at the same time, to develop the economy against the worsening of the Earth's environmental conditions. Understanding international differences of environmental and energy consciousness is a short way to solve this dilemma. The results of the present study were that peoples from advanced countries feel that science and technology are sometimes unreliable, while those from developing countries, are willing to rely upon them. However regardless of the country, people share the same consciousness about Earth's environment. In both, advanced and developing countries, people are reluctant to give up living comforts, unless this leads to a higher standard of living. Based on this result, the author would like to conduct another survey concerning the consciousness of future lifestyle. (author)

  5. Advanced metering policy development and influence structures: The case of Norway

    International Nuclear Information System (INIS)

    Inderberg, Tor Håkon

    2015-01-01

    Responding to a global trend of installing smart meters Norway has taken a route of full governmental and regulatory coordination. The article maps and analyses the main influences on the developments of Norwegian Advanced Metering policy. Based on 12 interviews and extensive document mapping the Norwegian policy developments are traced from about 1990 to 2014, divided into three phases: Before 2000, between 2000 and 2007, and after 2007. It finds that the main influence and push came from an increasingly united industry sector, fronted by the grid utilities with respective interest organizations. Policy change has been boosted by years of constrained supply, creating incentives for political action. Also developments at the EU level have been important for creating attention for smart meters, while consumer groups have been less influential. The national regulator NVE has adapted its policy process to include external expertise, in particular from the grid companies. The findings confirm that influence into policy processes is a matter of financial and organizational resources and expert knowledge. Of particular policy relevance is the weak organization of private consumer interests into these policy streams, which may be important for further policy development for distributed generation and regulation of private generation activities. -- Highlights: •Roll-out of smart meters is in Norway coordinated by national regulation. •Grid companies and related interest organizations has been most influential. •EU has provided informal pressure on smart meter policy. •Consumer interests have been less influential in the policy process

  6. Induction skull melting facility: an advanced system for electromagnetic processing of metals and alloys

    International Nuclear Information System (INIS)

    Sugilal, G.; Agarwal, K.

    2017-01-01

    Induction Skull Melting (ISM) is an advanced technology for processing highly refractory and extremely reactive metals and their alloys to produce ultra-high purity products. In ISM, the metallic charge is melted in a water-cooled, copper crucible. The crucible is segmented so that the magnetic field can penetrate into the metallic charge to be melted. By virtue of the strong electromagnetic stirring, the ISM technology can also be used to homogenize alloys of metals, which are difficult to be combined uniformly in composition due to large difference in specific gravity. In view of various important applications in frontier areas of material research, development and production, Bhabha Atomic Research Centre developed the ISM technology indigenously

  7. The Fox Project: Advanced Development of Systems Software

    National Research Council Canada - National Science Library

    1999-01-01

    The long-term objectives of the Carnegie Mellon Fox Project are to improve the design and construction of systems software and to further the development of advanced programming language technology...

  8. The Fox Project: Advanced Development of Systems Software

    National Research Council Canada - National Science Library

    2000-01-01

    The long-term objectives of the Carnegie Mellon Fox Project are to improve the design and construction of systems software and to further the development of advanced programming language technology...

  9. The application of advanced remote systems technology to future waste handling facilities: Waste Systems Data and Development Program

    International Nuclear Information System (INIS)

    Kring, C.T.; Herndon, J.N.; Meacham, S.A.

    1987-01-01

    The Consolidated Fuel Reprocessing Program (CFRP) at the Oak Ridge National Laboratory (ORNL) has been advancing the technology in remote handling and remote maintenance of in-cell systems planned for future US nuclear fuel reprocessing plants. Much of the experience and technology developed over the past decade in this endeavor are directly applicable to the in-cell systems being considered for the facilities of the Federal Waste Management System (FWMS). The ORNL developments are based on the application of teleoperated force-reflecting servomanipulators controlled by an operator completely removed from the hazardous environment. These developments address the nonrepetitive nature of remote maintenance in the unstructured environments encountered in a waste handling facility. Employing technological advancements in dexterous manipulators, as well as basic design guidelines that have been developed for remotely maintained equipment and processes, can increase operation and maintenance system capabilities, thereby allowing the attainment of two FWMS major objectives: decreasing plant personnel radiation exposure and increasing plant availability by decreasing the mean-time-to-repair in-cell maintenance and process equipment. 5 refs., 7 figs

  10. Advanced safeguards research and development plan with an emphasis on its impact on nuclear power-plant design

    International Nuclear Information System (INIS)

    Tobin, S.J.; Demuth, S.F.; Miller, M.C.; Swinhoe, M.T.; Thomas, K.E.

    2007-01-01

    One tool for reducing the concern of nuclear proliferation is enhanced safeguards. Present safeguards have evolved over the past 40 years, and future safeguards will grow from this strong base to implement new technologies for improving our ability to quantify nuclear material. This paper will give an overview of the advanced technology research and development plan for safeguarding. One of the research facilities planned by the Department of Energy is the Advanced Fuel Cycle Facility (AFCF), to develop a novel nuclear fuel recycling program. Since the Advanced Fuel Cycle Facility will receive and reprocess spent fuel and will fabricate fast-reactor fuel, a wide breadth of safeguards technologies is involved. A fundamental concept in safeguards is material control and accounting (MCA). 4 topics concerning MCA and requiring further research have been identified: 1) measuring spent fuel, 2) measuring the plutonium content in the electro-refiner with pyro-processing, 3) measuring plutonium in the presence of other actinides, and 4) measuring neptunium and americium in the presence of other actinides. As for the long-term research and development plan for the AFCF, it will include improving MCA techniques as well as introducing new techniques that are not related to MCA, for example, enhanced containment and surveillance, or enhanced process monitoring. The top priority will stay quantifying the plutonium as accurately as possible and to reach this purpose 4 relevant technologies have been identified: 1) the microcalorimeter, 2) the passive neutron-albedo reactivity, 3) list-mode data acquisition, and 4) a liquid-scintillator multiplicity counter. Incorporating safeguards into the initial design of AFCF (safeguards by design) is a central concept. As the technology research and development plan for the Advanced Fuel Cycle Facility is examined, particular attention will be given to safeguards technologies that may affect the physical design of nuclear power plants

  11. Evaluation of the effect of advanced coagulation process to optimize ...

    African Journals Online (AJOL)

    Evaluation of the effect of advanced coagulation process to optimize the removal of natural organic matter in water (Case study: drinking water of Mashhad's ... and in addition to giving taste, color and odor to the water, they can intervene in the oxidization and removal of heavy metals such as arsenic, iron and manganese.

  12. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  13. A review of advanced small-scale parallel bioreactor technology for accelerated process development: current state and future need.

    Science.gov (United States)

    Bareither, Rachel; Pollard, David

    2011-01-01

    The pharmaceutical and biotech industries face continued pressure to reduce development costs and accelerate process development. This challenge occurs alongside the need for increased upstream experimentation to support quality by design initiatives and the pursuit of predictive models from systems biology. A small scale system enabling multiple reactions in parallel (n ≥ 20), with automated sampling and integrated to purification, would provide significant improvement (four to fivefold) to development timelines. State of the art attempts to pursue high throughput process development include shake flasks, microfluidic reactors, microtiter plates and small-scale stirred reactors. The limitations of these systems are compared to desired criteria to mimic large scale commercial processes. The comparison shows that significant technological improvement is still required to provide automated solutions that can speed upstream process development. Copyright © 2010 American Institute of Chemical Engineers (AIChE).

  14. Kinetics and mechanism of azo dye destruction in advanced oxidation processes

    International Nuclear Information System (INIS)

    Wojnarovits, L.; Palfi, T.; Takacs, E.

    2007-01-01

    The kinetics and mechanism of dye destruction in advanced oxidation processes is discussed on the example of Apollofix Red (Ar-28) radiolysis in aqueous solution. When the reactive intermediate reacts with the color bearing part of the molecule causing with nearly 100% efficiency destruction of the conjugation, the dose dependence, or time dependence of color disappearance is linear. In this case, spectrophotometry can be used to follow-up dye decomposition. Linear dependence was observed when hydrated electrons or hydrogen atoms reacted with the dye. In hydroxyl radical reactions some colored products form with spectra similar to those of the starting dye molecules. For that reason, spectrophotometry gives false result about the intact dye molecule concentration. Analysis by the HPLC reveals logarithmic time dependence in agreement with a theoretical model developed

  15. Simultaneous nitrate reduction and acetaminophen oxidation using the continuous-flow chemical-less VUV process as an integrated advanced oxidation and reduction process

    Energy Technology Data Exchange (ETDEWEB)

    Moussavi, Gholamreza, E-mail: moussavi@modares.ac.ir; Shekoohiyan, Sakine

    2016-11-15

    Highlights: • Simultaneous advanced oxidation and reduction processes were explored in VUV system. • Complete reduction of nitrate to N{sub 2} was achieved at the presence of acetaminophen. • Complete degradation of acetaminophen was achieved at the presence of nitrate. • Over 95% of acetaminophen was mineralized in the VUV photoreactor. • VUV is a chemical-less advanced process for treating water emerging contaminants. - Abstract: This work was aimed at investigating the performance of the continuous-flow VUV photoreactor as a novel chemical-less advanced process for simultaneously oxidizing acetaminophen (ACT) as a model of pharmaceuticals and reducing nitrate in a single reactor. Solution pH was an important parameter affecting the performance of VUV; the highest ACT oxidation and nitrate reduction attained at solution pH between 6 and 8. The ACT was oxidized mainly by HO· while the aqueous electrons were the main working agents in the reduction of nitrate. The performance of VUV photoreactor improved with the increase of hydraulic retention time (HRT); the complete degradation of ACT and ∼99% reduction of nitrate with 100% N{sub 2} selectivity achieved at HRT of 80 min. The VUV effluent concentrations of nitrite and ammonium at HRT of 80 min were below the drinking water standards. The real water sample contaminated with the ACT and nitrate was efficiently treated in the VUV photoreactor. Therefore, the VUV photoreactor is a chemical-less advanced process in which both advanced oxidation and advanced reduction reactions are accomplished. This unique feature possesses VUV photoreactor as a promising method of treating water contaminated with both pharmaceutical and nitrate.

  16. Simultaneous nitrate reduction and acetaminophen oxidation using the continuous-flow chemical-less VUV process as an integrated advanced oxidation and reduction process

    International Nuclear Information System (INIS)

    Moussavi, Gholamreza; Shekoohiyan, Sakine

    2016-01-01

    Highlights: • Simultaneous advanced oxidation and reduction processes were explored in VUV system. • Complete reduction of nitrate to N_2 was achieved at the presence of acetaminophen. • Complete degradation of acetaminophen was achieved at the presence of nitrate. • Over 95% of acetaminophen was mineralized in the VUV photoreactor. • VUV is a chemical-less advanced process for treating water emerging contaminants. - Abstract: This work was aimed at investigating the performance of the continuous-flow VUV photoreactor as a novel chemical-less advanced process for simultaneously oxidizing acetaminophen (ACT) as a model of pharmaceuticals and reducing nitrate in a single reactor. Solution pH was an important parameter affecting the performance of VUV; the highest ACT oxidation and nitrate reduction attained at solution pH between 6 and 8. The ACT was oxidized mainly by HO· while the aqueous electrons were the main working agents in the reduction of nitrate. The performance of VUV photoreactor improved with the increase of hydraulic retention time (HRT); the complete degradation of ACT and ∼99% reduction of nitrate with 100% N_2 selectivity achieved at HRT of 80 min. The VUV effluent concentrations of nitrite and ammonium at HRT of 80 min were below the drinking water standards. The real water sample contaminated with the ACT and nitrate was efficiently treated in the VUV photoreactor. Therefore, the VUV photoreactor is a chemical-less advanced process in which both advanced oxidation and advanced reduction reactions are accomplished. This unique feature possesses VUV photoreactor as a promising method of treating water contaminated with both pharmaceutical and nitrate.

  17. Raw materials for advanced ceramics: rare earths separation processes

    International Nuclear Information System (INIS)

    Ricci, D.R.; Nobre, J.S.M.; Paschoal, J.O.A.

    1990-01-01

    The importance of obtaining purified rare earths oxidesis related, mainly to the increasing use of these compounds as raw materials for advanced ceramics. Processes of rare earths separation and purification are almost always based on the solvent extraction, fractional precipitation and ion exchange chromatography techniques, whose association depends on the initial concentrate and on the desired purity. This paper describes some steps of fractionation of didymium carbonate by using the solvent extraction and fractional precipitation techniques. The experimental conditions presented here have enable the production of lantanium, neodimium - praseodimium, samarium - gadolinium and ytrium concentrates, which constitute the intermediate fractions of the overall process to obtain high purity rare earths. (author) [pt

  18. The Airborne Process{sup TM} : advancement in multi-pollutant emissions control technology by product utilization and the commercialization process

    Energy Technology Data Exchange (ETDEWEB)

    Mortson, M. [Airborne Clean Energy LLC, Terrace Park, OH (United States)

    2006-07-01

    This paper described research and development programs conducted to develop a pollution abatement technology called the Airborne process. The Airborne process is comprised of 3 stages: (1) sodium flue gas purification; (2) sodium bicarbonate regeneration; and (3) a patented granulation process in which ammonia byproducts are transformed into fertilizer. A research program conducted by CANMET developed a new technique to remove heavy metals from sodium sulfate scrubbing solutions, and tested the scrubbing of mixed flue gas in a bench-scale reaction chamber. Pilot tests were conducted to scrub the flue gas in a 0.3 MW coal-fired combustor. The removal of heavy metals and particulates prior to fertilizer production resulted in fertilizer purity that exceeds all global standards. A total of 11 combustion trials were conducted with 11 different types of coal. Results of vertical combustor tests showed that both sulphur oxides (SO{sub x}) and nitrogen oxides (NO{sub x}) decreased rapidly after injection started. The scrubber was effective in removing oxidized states of NO as well as 75 per cent of mercury (Hg) capture. The technology was adopted by the Kentucky Utilities Generating Station 6 MW regeneration plant, which developed an automated operation of a scaled down version of the CANMET system. Sulphur dioxide (SO{sub 2}) and sulfite (SO{sub 3}) reduction at the plant was almost 100 per cent. NO{sub x} reduction to date was approximately 92 per cent, while Hg reduction was 70 per cent. An advanced mercury and NO{sub x} program was developed to examine the chemical effects of oxidants as well as to examine methods of total mercury and NO{sub x} removal. A simplified process schematic of the system was provided. The 3 proven technologies were then integrated to form the Airborne system. It was concluded that full-scale systems are now in operation in power plants across North America. A case study of the Airborne process used at the Mustang generating station in New

  19. Development in laser peening of advanced ceramics

    Science.gov (United States)

    Shukla, Pratik; Smith, Graham C.; Waugh, David G.; Lawrence, Jonathan

    2015-07-01

    Laser peening is a well-known process applicable to surface treat metals and alloys in various industrial sectors. Research in the area of laser peening of ceramics is still scarce and a complete laser-ceramic interaction is still unreported. This paper focuses on laser peening of SiC ceramics employed for cutting tools, armor plating, dental and biomedical implants, with a view to elucidate the unreported work. A detailed investigation was conducted with 1064nm Nd:YAG ns pulse laser to first understand the surface effects, namely: the topography, hardness, KIc and the microstructure of SiC advanced ceramics. The results showed changes in surface roughness and microstructural modification after laser peening. An increase in surface hardness was found by almost 2 folds, as the diamond footprints and its flaws sizes were considerably reduced, thus, enhancing the resistance of SiC to better withstand mechanical impact. This inherently led to an enhancement in the KIc by about 42%. This is attributed to an induction of compressive residual stress and phase transformation. This work is a first-step towards the development of a 3-dimensional laser peening technique to surface treat many advanced ceramic components. This work has shown that upon tailoring the laser peening parameters may directly control ceramic topography, microstructure, hardness and the KIc. This is useful for increasing the performance of ceramics used for demanding applications particularly where it matters such as in military. Upon successful peening of bullet proof vests could result to higher ballistic strength and resistance against higher sonic velocity, which would not only prevent serious injuries, but could also help to save lives of soldiers on the battle fields.

  20. Development of a curriculum for advanced nurse practitioners working with older people with frailty in the acute hospital through a modified Delphi process.

    Science.gov (United States)

    Goldberg, Sarah Elizabeth; Cooper, Jo; Blundell, Adrian; Gordon, Adam Lee; Masud, Tahir; Moorchilot, Ravisankar

    2016-01-01

    advanced nurse practitioners (ANPs) are experienced nurses who undertake some activities traditionally performed by medical staff. There are four pillars of advanced practice: advanced clinical skills, leadership, education and research. ANPs are starting to specialise in the management of older adults with frailty in the acute hospital. However, the role and competencies required for this have not been well defined. This study aimed to establish an expert consensus on the role description and essential competencies for ANPs working with older people with frailty to develop a curriculum. a literature review and workshops including multi-professional and lay representatives generated a role description and a list of 69 competencies. A modified Delphi process was then conducted with three rounds involving a panel of 31 experts including representatives from the RCN, BGS Education and Training Committee, BGS Senior Nurses and Practitioners Group, Chartered Society of Physiotherapy Older People Network, College of Occupational Therapists Older People Specialist Section and lay representatives. Consensus on the statements was established by 70% panel agreement. the role description reached 100% agreement within three rounds. Twenty-five essential competencies were agreed after Round 1, increasing to 43 after Round 2 and 49 after Round 3. this Delphi study has allowed, for the first time, a national panel of clinical experts and lay representatives to refine and agree a set of competencies for ANPs working with older people with frailty. It is the first step towards ensuring consistency in the training of ANPs in geriatric medicine. © The Author 2016. Published by Oxford University Press on behalf of the British Geriatrics Society. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  1. Development of quantitative analytical methods for the control of actinides in a pyrochemical partitioning process

    International Nuclear Information System (INIS)

    Abousahl, S.; Belle, P. van; Eberle, H.; Ottmar, H.; Lynch, B.; Vallet, P.; Mayer, K.; Ougier, M.

    2005-01-01

    Advanced nuclear fuel cycles are being developed in order to reduce the long-term radiotoxicity of highly radioactive waste. Pyrochemical partitioning techniques appear particularly attractive for advanced fuel cycles in which the minor actinides are recycled. The electrochemical processes of practical importance are the electrorefining process and the liquid-liquid extraction of transuranic (TRU) elements from fission products using either non-miscible molten metal or molten salt-metal phases. Analytical methods for the accurate assay of actinide elements in these matrices needed to be developed. A quantitative assay is required in order to establish a material balance for process development and - at a later stage - for accountancy and control purposes. To this end radiometric techniques such as energy-dispersive X-ray fluorescence analysis (XRF), neutron coincidence counting (NCC) and high-resolution gamma spectrometry (HRGS) were extensively employed for the quantitative determination of actinides (U, Np, Pu, Am, Cm) in process samples. Comparative analyses were performed using inductively coupled plasma mass spectrometry (ICP-MS). The respective samples were available in small quantities (≅ 100 mg) either in the form of eutectic salt or in metallic form with Cd, Zr or Bi as major metallic matrix constituents. (orig.)

  2. Evaluation report on research and development of an ultra-advanced processing system. Summary edition; Chosentan kako system no kenkyu kaihatsu ni kansuru hyoka hokokusho. Gaiyohen

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-08-01

    Research, development and evaluation were performed with an objective of establishing the basic processing technology and ultra-precision machining device technology utilizing large output excimer laser and high density ion beams. With regard to the large output excimer laser technology, the short wavelength excimer laser life extension technology has demonstrated ong-life operation of 1.02 times 10{sup 9} shots exceeding the final target at the initial laser output of 105 mJ/pulse. With respect to the high-density ion beam technology, the gas phase converged ion beam technology has achieved an ionic current density of 2.5 {mu}A/sr. and a beam current of 25 pA. Regarding the ultra-precision machining device technology, a large ultra-precision grinding machine of five shaft control type was developed as a final target demonstrating machine, which exhibited the shape accuracy of 0.7 {mu}m and surface roughness of 3.45nm. The surface roughness satisfied the final target. Other activities include studies on the ultra-advanced processing technology, measurement and evaluation technology, comprehensive tests, and practical application of the technologies, having derived respective achievements. (NEDO)

  3. Development of an advanced robot manipulator system

    International Nuclear Information System (INIS)

    Oomichi, Takeo; Higuchi, Masaru; Shimizu, Yujiro; Ohnishi, Ken

    1991-01-01

    A sophisticated manipulator system for an advanced robot was developed under the 'Advanced Robot Technology Development' Program promoted and supported by the Agency of Industrial Science and Technology of MITI. The authors have participated in the development of a fingered manipulator with force and tactile sensors applicable to a masterslave robot system. Our slave manipulator is equipped with four fingers. Though the finger needs many degrees of freedom so as to be suitable for skilful handing of an object, our fingers are designed to have minimum degree of freedom in order to reduce weight. Each finger tip was designed to be similar to a human finger which has flexibility, softness and contact feeling. The shape of the master finger manipulator was so designed that the movement of the fingers is smoother and that the constraint feeling of the operator is smaller. We were adopted to a pneumatic pressure system for transmitting the tactile feeling of the slave fingers to the master fingers. A multiple sensory bilateral control system which gives an operator a feeling of force and tactile reduces his feeling of constraint in carrying out work with a robot system. (author)

  4. Advanced Electron Microscopy and Micro analytical technique development and application for Irradiated TRISO Coated Particles from the AGR-1 Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Van Rooyen, Isabella Johanna [Idaho National Lab. (INL), Idaho Falls, ID (United States); Lillo, Thomas Martin [Idaho National Lab. (INL), Idaho Falls, ID (United States); Wen, Haiming [Idaho National Lab. (INL), Idaho Falls, ID (United States); Wright, Karen Elizabeth [Idaho National Lab. (INL), Idaho Falls, ID (United States); Madden, James Wayne [Idaho National Lab. (INL), Idaho Falls, ID (United States); Aguiar, Jeffery Andrew [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2017-01-01

    A series of up to seven irradiation experiments are planned for the Advanced Gas Reactor (AGR) Fuel Development and Quantification Program, with irradiation completed at the Advanced Test Reactor (ATR) at Idaho National Laboratory (INL) for the first experiment (i.e., AGR-1) in November 2009 for an effective 620 full power days. The objective of the AGR-1 experiment was primarily to provide lessons learned on the multi-capsule test train design and to provide early data on fuel performance for use in fuel fabrication process development and post-irradiation safety testing data at high temperatures. This report describes the advanced microscopy and micro-analysis results on selected AGR-1 coated particles.

  5. Development of advanced diagnostic technologies for motor-operated valves

    International Nuclear Information System (INIS)

    Hegi, Kotaro; Shimizu, Shunichi; Higuma, Koji; Nishino, Koji; Osaki, Kenji; Watanabe, Kazumi; Hamano, Frank

    2010-01-01

    As use of condition-based maintenance is allowed in the new regulatory inspection system employed in Japan's nuclear power plants in 2009, development of advanced diagnostic technologies for motor-operated valves (MOVs) is now required. This report discusses advanced technologies in valve-setup verification, valve performance evaluation, monitoring of valve/actuator conditions by performance diagnostic system and moreover detection of stem crack by ultrasonic diagnostic system. (author)

  6. Renewable Energy Laboratory Development for Biofuels Advanced Combustion Studies

    Energy Technology Data Exchange (ETDEWEB)

    Soloiu, Valentin A. [Georgia Southern Univ., Statesboro, GA (United States)

    2012-03-31

    The research advanced fundamental science and applied engineering for increasing the efficiency of internal combustion engines and meeting emissions regulations with biofuels. The project developed a laboratory with new experiments and allowed investigation of new fuels and their combustion and emissions. This project supports a sustainable domestic biofuels and automotive industry creating economic opportunities across the nation, reducing the dependence on foreign oil, and enhancing U.S. energy security. The one year period of research developed fundamental knowledge and applied technology in advanced combustion, emissions and biofuels formulation to increase vehicle's efficiency. Biofuels combustion was investigated in a Compression Ignition Direct Injection (DI) to develop idling strategies with biofuels and an Indirect Diesel Injection (IDI) intended for auxiliary power unit.

  7. Development in Diagnostics Application to Control Advanced Tokamak Plasma

    International Nuclear Information System (INIS)

    Koide, Y.

    2008-01-01

    For continuous operation expected in DEMO, all the plasma current must be non-inductively driven, with self-generated neoclassical bootstrap current being maximized. The control of such steady state high performance tokamak plasma (so-called 'Advanced Tokamak Plasma') is a challenge because of the strong coupling between the current density, the pressure profile and MHD stability. In considering diagnostic needs for the advanced tokamak research, diagnostics for MHD are the most fundamental, since discharges which violate the MHD stability criteria either disrupt or have significantly reduced confinement. This report deals with the development in diagnostic application to control advanced tokamak plasma, with emphasized on recent progress in active feedback control of the current profile and the pressure profile under DEMO-relevant high bootstrap-current fraction. In addition, issues in application of the present-day actuators and diagnostics for the advanced control to DEMO will be briefly addressed, where port space for the advanced control may be limited so as to keep sufficient tritium breeding ratio (TBR)

  8. Advanced flip chip packaging

    CERN Document Server

    Lai, Yi-Shao; Wong, CP

    2013-01-01

    Advanced Flip Chip Packaging presents past, present and future advances and trends in areas such as substrate technology, material development, and assembly processes. Flip chip packaging is now in widespread use in computing, communications, consumer and automotive electronics, and the demand for flip chip technology is continuing to grow in order to meet the need for products that offer better performance, are smaller, and are environmentally sustainable. This book also: Offers broad-ranging chapters with a focus on IC-package-system integration Provides viewpoints from leading industry executives and experts Details state-of-the-art achievements in process technologies and scientific research Presents a clear development history and touches on trends in the industry while also discussing up-to-date technology information Advanced Flip Chip Packaging is an ideal book for engineers, researchers, and graduate students interested in the field of flip chip packaging.

  9. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  10. Accelerated development of Zr-containing new generation ferritic steels for advanced nuclear reactors

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Lizhen [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Yang, Ying [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Sridharan, K. [Univ. of Wisconsin, Madison, WI (United States)

    2015-12-01

    The mission of the Nuclear Energy Enabling Technologies (NEET) program is to develop crosscutting technologies for nuclear energy applications. Advanced structural materials with superior performance at elevated temperatures are always desired for nuclear reactors, which can improve reactor economics, safety margins, and design flexibility. They benefit not only new reactors, including advanced light water reactors (LWRs) and fast reactors such as the sodium-cooled fast reactor (SFR) that is primarily designed for management of high-level wastes, but also life extension of the existing fleet when component exchange is needed. Developing and utilizing the modern materials science tools (experimental, theoretical, and computational tools) is an important path to more efficient alloy development and process optimization. The ultimate goal of this project is, with the aid of computational modeling tools, to accelerate the development of Zr-bearing ferritic alloys that can be fabricated using conventional steelmaking methods. The new alloys are expected to have superior high-temperature creep performance and excellent radiation resistance as compared to Grade 91. The designed alloys were fabricated using arc-melting and drop-casting, followed by hot rolling and conventional heat treatments. Comprehensive experimental studies have been conducted on the developed alloys to evaluate their hardness, tensile properties, creep resistance, Charpy impact toughness, and aging resistance, as well as resistance to proton and heavy ion (Fe2+) irradiation.

  11. Application of advanced oxidation processes for removing salicylic acid from synthetic wastewaters

    Institute of Scientific and Technical Information of China (English)

    Djalma; Ribeiro; da; Silva; Carlos; A.Martinez-Huítle

    2010-01-01

    In this study,advanced oxidation processes(AOPs) such as anodic oxidation(AO),UV/H_2O_2 and Fenton processes(FP) were investigated for the degradation of salicylic acid(SA) in lab-scale experiments.Boron-doped diamond(BDD) film electrodes using Ta as substrates were employed for AO of SA.In the case of FP and UV/H_2O_2,most favorable experimental conditions were determined for each process and these were used for comparing with AO process.The study showed that the FP was the most effective process under ...

  12. FY 2000 report on the results of the research and development project for the photon-aided instrumentation and processing technologies. Research and development of the advanced instrumentation and processing technologies for oil production systems; 2000 nendo photon keisoku kako gijutsu seika hokokusho. Sekiyu seisan system kodo keisoku kako gijutsu kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of the photon-aided instrumentation and processing technologies, as part of development of the advanced instrumentation and processing technologies for oil production systems. The program for the microscopic processing technology combines iodine and YAG laser beams as the high-power laser beams of wavelength of the order of 1{mu}m, in order to realize laser-aided welding of high-quality thick plates, the welding demonstration tests producing good results; improves an in-process monitoring sensor, to confirm its high reliability; and improves size controllability for ultrafine semiconductor particles for development of quantum functional optoelectronic devices, and extends the electric conductivity for production of the transparent conducting films. The program for the non-destructive composition measuring technology develops a light source which produces short wavelength ray of sufficient brightness; produces three-dimensional CT images with a resolution of 2{mu}m, and is developing superconducting X-ray detectors. The program for the high-power all-solid-state laser technology starts development of a rod-type oscillation-controlling laser which can provide mixed operations of CW, pulsed and Q-switched oscillation modes at an average power of 1kW level. The program for the tightly-focusing all-solid-state laser technology develops the prototype of disk type fiber laser using silica fibers of rectangular cross-section, which produces a power of 103W, and starts development of a laser diode. (NEDO)

  13. Recent Advances in Marine Enzymes for Biotechnological Processes.

    Science.gov (United States)

    Lima, R N; Porto, A L M

    In the last decade, new trends in the food and pharmaceutical industries have increased concern for the quality and safety of products. The use of biocatalytic processes using marine enzymes has become an important and useful natural product for biotechnological applications. Bioprocesses using biocatalysts like marine enzymes (fungi, bacteria, plants, animals, algae, etc.) offer hyperthermostability, salt tolerance, barophilicity, cold adaptability, chemoselectivity, regioselectivity, and stereoselectivity. Currently, enzymatic methods are used to produce a large variety of products that humans consume, and the specific nature of the enzymes including processing under mild pH and temperature conditions result in fewer unwanted side-effects and by-products. This offers high selectivity in industrial processes. The marine habitat has been become increasingly studied because it represents a huge source potential biocatalysts. Enzymes include oxidoreductases, hydrolases, transferases, isomerases, ligases, and lyases that can be used in food and pharmaceutical applications. Finally, recent advances in biotechnological processes using enzymes of marine organisms (bacterial, fungi, algal, and sponges) are described and also our work on marine organisms from South America, especially marine-derived fungi and bacteria involved in biotransformations and biodegradation of organic compounds. © 2016 Elsevier Inc. All rights reserved.

  14. Development of advanced fabrication technology for high-temperature gas-cooled reactor fuel. Reduction of coating failure fraction

    International Nuclear Information System (INIS)

    Minato, Kazuo; Kikuchi, Hironobu; Fukuda, Kousaku; Tobita, Tsutomu; Yoshimuta, Sigeharu; Suzuki, Nobuyuki; Tomimoto, Hiroshi; Nishimura, Kazuhisa; Oda, Takafumi

    1998-11-01

    The advanced fabrication technology for high-temperature gas-cooled reactor fuel has been developed to reduce the coating failure fraction of the fuel particles, which leads to an improvement of the reactor safety. The present report reviews the results of the relevant work. The mechanisms of the coating failure of the fuel particles during coating and compaction processes of the fuel fabrication were studied to determine a way to reduce the coating failure fraction of the fuel. The coating process was improved by optimizing the mode of the particle fluidization and by developing the process without unloading and loading of the particles at intermediate coating process. The compaction process was improved by optimizing the combination of the pressing temperature and the pressing speed of the overcoated particles. Through these modifications of the fabrication process, the quality of the fuel was improved outstandingly. (author)

  15. Advanced CANDU reactor development: a customer-driven program

    International Nuclear Information System (INIS)

    Hopwood, J.M.

    2005-01-01

    The Advanced CANDU Reactor (ACR) product development program is well under way. The development approach for the ACR is to ensure that all activities supporting readiness for the first ACR project are carded out in parallel, as parts of an integrated whole. In this way design engineering, licensing, development and testing, supply chain planning, construct ability and module strategy, and planning for commissioning and operations, all work in synergy with one another. Careful schedule management :ensures that program focus stays on critical path priorities.'This paper provides an overview of the program, with an emphasis on integration to ensure maximum project readiness, This program management approach is important now that AECL is participating as the reactor vendor in Dominion Energy's DOE-sponsored Combined Construction/Operating License (COL) program. Dominion Energy selected the ACR-700 as their reference reactor technology for purposes of demonstrating the COL process. AECL's development of the ACR is unique in that pre-licensing activities are being carded out parallel in the USA and Canada, via independent, but well-communicated programs. In the short term, these programs are major drivers of ACR development. The ACR design approach has been to optimize to achieve major design objectives: capital cost reduction, robust design with ample margins, proveness by using evolutionary change from existing :reference plants, design for ease :of operability. The ACR development program maintains these design objectives for each of the program elements: Design: .Carefully selected design innovations based on the SEU fuel/light water coolant:/heavy water moderator approach. Emphasis on lessons-learned review from operating experience and customer feedback Licensing: .Safety case based on strengths of existing CANDU plus benefits of optimised design Development and Test: Choice of materials, conditions to enable incremental testing building on existing CANDU and LWR

  16. Production of advanced biofuels: co-processing of upgraded pyrolysis oil in standard refinery units

    NARCIS (Netherlands)

    De Miguel Mercader, F.; de Miguel Mercader, F.; Groeneveld, M.J.; Hogendoorn, Kees; Kersten, Sascha R.A.; Way, N.W.J.; Schaverien, C.J.

    2010-01-01

    One of the possible process options for the production of advanced biofuels is the co-processing of upgraded pyrolysis oil in standard refineries. The applicability of hydrodeoxygenation (HDO) was studied as a pyrolysis oil upgrading step to allow FCC co-processing. Different HDO reaction end

  17. Requirements for a Dynamic Solvent Extraction Module to Support Development of Advanced Technologies for the Recycle of Used Nuclear Fuel

    Energy Technology Data Exchange (ETDEWEB)

    Jack Law; Veronica Rutledge; Candido Pereira; Jackie Copple; Kurt Frey; John Krebs; Laura Maggos; Kevin Nichols; Kent Wardle; Pratap Sadasivan; Valmor DeAlmieda; David Depaoli

    2011-06-01

    The Department of Energy's Nuclear Energy Advanced Modeling and Simulation (NEAMS) Program has been established to create and deploy next generation, verified and validated nuclear energy modeling and simulation capabilities for the design, implementation, and operation of future nuclear energy systems to improve the U.S. energy security. As part of the NEAMS program, Integrated Performance and Safety Codes (IPSC's) are being produced to significantly advance the status of modeling and simulation of energy systems beyond what is currently available to the extent that the new codes be readily functional in the short term and extensible in the longer term. The four IPSC areas include Safeguards and Separations, Reactors, Fuels, and Waste Forms. As part of the Safeguards and Separations (SafeSeps) IPSC effort, interoperable process models are being developed that enable dynamic simulation of an advanced separations plant. A SafeSepss IPSC 'toolkit' is in development to enable the integration of separation process modules and safeguards tools into the design process by providing an environment to compose, verify and validate a simulation application to be used for analysis of various plant configurations and operating conditions. The modules of this toolkit will be implemented on a modern, expandable architecture with the flexibility to explore and evaluate a wide range of process options while preserving their stand-alone usability. Modules implemented at the plant-level will initially incorporate relatively simple representations for each process through a reduced modeling approach. Final versions will incorporate the capability to bridge to subscale models to provide required fidelity in chemical and physical processes. A dynamic solvent extraction model and its module implementation are needed to support the development of this integrated plant model. As a stand-alone application, it will also support solvent development of extraction flowsheets

  18. Advances in Artificial Neural Networks – Methodological Development and Application

    Directory of Open Access Journals (Sweden)

    Yanbo Huang

    2009-08-01

    Full Text Available Artificial neural networks as a major soft-computing technology have been extensively studied and applied during the last three decades. Research on backpropagation training algorithms for multilayer perceptron networks has spurred development of other neural network training algorithms for other networks such as radial basis function, recurrent network, feedback network, and unsupervised Kohonen self-organizing network. These networks, especially the multilayer perceptron network with a backpropagation training algorithm, have gained recognition in research and applications in various scientific and engineering areas. In order to accelerate the training process and overcome data over-fitting, research has been conducted to improve the backpropagation algorithm. Further, artificial neural networks have been integrated with other advanced methods such as fuzzy logic and wavelet analysis, to enhance the ability of data interpretation and modeling and to avoid subjectivity in the operation of the training algorithm. In recent years, support vector machines have emerged as a set of high-performance supervised generalized linear classifiers in parallel with artificial neural networks. A review on development history of artificial neural networks is presented and the standard architectures and algorithms of artificial neural networks are described. Furthermore, advanced artificial neural networks will be introduced with support vector machines, and limitations of ANNs will be identified. The future of artificial neural network development in tandem with support vector machines will be discussed in conjunction with further applications to food science and engineering, soil and water relationship for crop management, and decision support for precision agriculture. Along with the network structures and training algorithms, the applications of artificial neural networks will be reviewed as well, especially in the fields of agricultural and biological

  19. Advanced reach tool (ART) : Development of the mechanistic model

    NARCIS (Netherlands)

    Fransman, W.; Tongeren, M. van; Cherrie, J.W.; Tischer, M.; Schneider, T.; Schinkel, J.; Kromhout, H.; Warren, N.; Goede, H.; Tielemans, E.

    2011-01-01

    This paper describes the development of the mechanistic model within a collaborative project, referred to as the Advanced REACH Tool (ART) project, to develop a tool to model inhalation exposure for workers sharing similar operational conditions across different industries and locations in Europe.

  20. Near-field performance of the advanced cold process canister

    International Nuclear Information System (INIS)

    Werme, L.

    1990-09-01

    A near-field performance evaluation of an Advanced Cold Process Canister for spent fuel disposal has been performed jointly by TVO, Finland and SKB, Sweden. The canister consists of a steel canister as a load bearing element, with an outer corrosion shield of copper. The canister design was originally proposed by TVO. In the analysis, as well internal (ie corrosion processes from the inside of the canister) as external processes (mechanical and chemical) have been considered both prior to and after canister breach. Throughout the analysis, present day underground conditions has been assumed to persist during the service life of the canister. The major conclusions for the evaluation are: Internal processes cannot cause the canister breach under foreseen conditions, ie localized corrosion for the steel or copper canisters can be dismissed as a failure mechanism. The evaluation of the effects of processes outside the canister indicate that there is no rapid mechanism to endanger the integrity of the canister. Consequently the service life of the canister will be several million years. This factor will ensure the safety of the concept. (orig.)

  1. Advanced Space Radiation Detector Technology Development

    Science.gov (United States)

    Wrbanek, John D.; Wrbanek, Susan Y.; Fralick, Gustave C.

    2013-01-01

    The advanced space radiation detector development team at the NASA Glenn Research Center (GRC) has the goal of developing unique, more compact radiation detectors that provide improved real-time data on space radiation. The team has performed studies of different detector designs using a variety of combinations of solid-state detectors, which allow higher sensitivity to radiation in a smaller package and operate at lower voltage than traditional detectors. Integration of multiple solid-state detectors will result in an improved detector system in comparison to existing state-of-the-art instruments for the detection and monitoring of the space radiation field for deep space and aerospace applications.

  2. Plan for advanced microelectronics processing technology application

    Energy Technology Data Exchange (ETDEWEB)

    Goland, A.N.

    1990-10-01

    The ultimate objective of the tasks described in the research agreement was to identify resources primarily, but not exclusively, within New York State that are available for the development of a Center for Advanced Microelectronics Processing (CAMP). Identification of those resources would enable Brookhaven National Laboratory to prepare a program plan for the CAMP. In order to achieve the stated goal, the principal investigators undertook to meet the key personnel in relevant NYS industrial and academic organizations to discuss the potential for economic development that could accompany such a Center and to gauge the extent of participation that could be expected from each interested party. Integrated of these discussions was to be achieved through a workshop convened in the summer of 1990. The culmination of this workshop was to be a report (the final report) outlining a plan for implementing a Center in the state. As events unfolded, it became possible to identify the elements of a major center for x-ray lithography on Lone Island at Brookhaven National Laboratory. The principal investigators were than advised to substitute a working document based upon that concept in place of a report based upon the more general CAMP workshop originally envisioned. Following that suggestion from the New York State Science and Technology Foundation, the principals established a working group consisting of representatives of the Grumman Corporation, Columbia University, the State University of New York at Stony Brook, and Brookhaven National Laboratory. Regular meetings and additional communications between these collaborators have produced a preproposal that constitutes the main body of the final report required by the contract. Other components of this final report include the interim report and a brief description of the activities which followed the establishment of the X-ray Lithography Center working group.

  3. Conceptual framework for using 'Best Estimate plus Uncertainty' as a basis for licensing activities for fuels developed for an advanced reactor

    International Nuclear Information System (INIS)

    McClure, P.; Unal, C.; Boyack, B.

    2010-01-01

    Closing the fuel cycle is one of the major technical challenges to expanding the use of nuclear energy to meet the world's need for benign, environmentally safe electrical power. 'Closing the fuel cycle ' means getting the maximum amount of energy possible out of uranium fuel while minimizing the amount of high-level waste that must be stored. The U.S. Dept. of Energy's Fuel Cycle Research and Development (FCRD) program is investigating the recycling of transuranic isotopes contained in spent nuclear fuel. Recycling minimizes the amount of high-level waste that would require storage in repositories. Developing new fuels and the advanced reactors that burn them is a long process typically spanning two decades from concept to final licensing. A unique challenge to meeting the FCRD objectives in this area is the fact that the experimental database is incomplete. Thus, using a traditional, heavily empirical approach to develop and qualify fuels for an advanced reactor plant will be very challenging. To address this concern, FCRD has launched an advanced modeling and simulation (M and S) approach to revolutionize fuel development and advanced reactor design. This new approach depends on transferring recent advances in the computational sciences and computer technologies into the development of these program elements. The licensing process that historically has been used by the U.S. Nuclear Regulatory Commission (NRC) for fuels qualification is based on using a large body of experimental work to qualify and license a new fuel. If an M and S approach with more directed experimentation is to be considered as an alternative approach for licensing, a framework needs to be developed early in the process. Using M and S with limited experiments as a basis for demonstrating that a design can meet NRC requirements is not new and has precedence in the NRC. The method is generically referred to as a 'Best Estimate plus Uncertainty' (BE+U) approach because the goal of the

  4. Hollow Fiber Spacesuit Water Membrane Evaporator Development and Testing for Advanced Spacesuits

    Science.gov (United States)

    Bue, Grant C.; Trevino, Luis A.; Tsioulos, Gus; Settles, Joseph; Colunga, Aaron; Vogel, Matthew; Vonau, Walt

    2010-01-01

    The spacesuit water membrane evaporator (SWME) is being developed to perform the thermal control function for advanced spacesuits to take advantage of recent advances in micropore membrane technology in providing a robust heat-rejection device that is potentially less sensitive to contamination than is the sublimator. Principles of a sheet membrane SWME design were demonstrated using a prototypic test article that was tested in a vacuum chamber at JSC in July 1999. The Membrana Celgard X50-215 microporous hollow fiber (HoFi) membrane was selected after recent contamination tests as the most suitable candidate among commercial alternatives for HoFi SWME prototype development. A design that grouped the fiber layers into stacks, which were separated by small spaces and packaged into a cylindrical shape, was developed into a full-scale prototype consisting 14,300 tube bundled into 30 stacks, each of which are formed into a chevron shape and separated by spacers and organized into three sectors of ten nested stacks. Vacuum chamber testing has been performed characterize heat rejection as a function of inlet water temperature and water vapor backpressure and to show contamination resistance to the constituents expected to be found in potable water produced by the distillation processes. Other tests showed the tolerance to freezing and suitability to reject heat in a Mars pressure environment.

  5. Cathodic processes in high-temperature molten salts for the development of new materials processing methods

    International Nuclear Information System (INIS)

    Schwandt, Carsten

    2017-01-01

    Molten salts play an important role in the processing of a range of commodity materials. This includes the large-scale production of iron, aluminium, magnesium and alkali metals as well as the refining of nuclear fuel materials. This presentation focuses on two more recent concepts in which the cathodic reactions in molten salt electrolytic cells are used to prepare high-value-added materials. Both were developed and advanced at the Department of Materials Science and Metallurgy at the University of Cambridge and are still actively being pursued. One concept is now generally known as the FFC-Cambridge process. The presentation will highlight the optimisation of the process towards high selectivities for tubes or particles depict a modification of the method to synthesize tin-filled carbon nanomaterial, and illustrate the implementation of a novel type of process control to enable the preparation of gramme quantities of material within a few hours with simple laboratory equipment. Also discussed will be the testing of these materials in lithium ion batteries

  6. ADVANCED TURBINE SYSTEM CONCEPTUAL DESIGN AND PRODUCT DEVELOPMENT - Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Albrecht H. Mayer

    2000-07-15

    Asea Brown Boveri (ABB) has completed its technology based program. The results developed under Work Breakdown Structure (WBS) 8, concentrated on technology development and demonstration have been partially implemented in newer turbine designs. A significant improvement in heat rate and power output has been demonstrated. ABB will use the knowledge gained to further improve the efficiency of its Advanced Cycle System, which has been developed and introduced into the marked out side ABB's Advanced Turbine System (ATS) activities. The technology will lead to a power plant design that meets the ATS performance goals of over 60% plant efficiency, decreased electricity costs to consumers and lowest emissions.

  7. Regional characteristics relevant to advanced technology cogeneration development. [industrial energy

    Science.gov (United States)

    Manvi, R.

    1981-01-01

    To assist DOE in establishing research and development funding priorities in the area of advanced energy conversion technoloy, researchers at the Jet Propulsion Laboratory studied those specific factors within various regions of the country that may influence cogeneration with advanced energy conversion systems. Regional characteristics of advanced technology cogeneration possibilities are discussed, with primary emphasis given to coal derived fuels. Factors considered for the study were regional industry concentration, purchased fuel and electricity prices, environmental constraints, and other data of interest to industrial cogeneration.

  8. Design concepts and advanced manipulator development for nuclear fuel cycle facilities

    International Nuclear Information System (INIS)

    Feldman, M.J.

    1985-01-01

    In the Fuel Recycle Division, Consolidated Fuel Reprocessing Program at the Oak Ridge National Laboratory, a comprehensive remote systems development program has existed for the past seven years. The new remote technology under development is expected to significantly improve remote operations by extending the range of tasks accomplished by remote means and increasing the efficiency of remote work undertaken. The application of advanced manipulation is viewed as an essential part of a series of design directions whose sum describes a somewhat unique blend of old and new technology. A design direction based upon the Teletec concept is explained and recent progress in the development of an advanced servomanipulator-based maintenance concept is summarized to show that a new generation of remote systems is feasible through advanced technology. 14 refs., 14 figs

  9. Review on Fuel Loading Process and Performance for Advanced Fuel Handling Equipment

    International Nuclear Information System (INIS)

    Chang, Sang-Gyoon; Lee, Dae-Hee; Kim, Young-Baik; Lee, Deuck-Soo

    2007-01-01

    The fuel loading process and the performance of the advanced fuel handling equipment for OPR 1000 (Optimized Power Plant) are analyzed and evaluated. The fuel handling equipment, which acts critical processes in the refueling outage, has been improved to reduce fuel handling time. The analysis of the fuel loading process can be a useful tool to improve the performance of the fuel handling equipment effectively. Some recommendations for further improvement are provided based on this study

  10. Virtual Welded-Joint Design Integrating Advanced Materials and Processing Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Z.; Dong, P.; Liu, S.; Babu, S.; Olson, G.; DebRoy, T.

    2005-04-15

    The primary goal of this project is to increase the fatigue life of a welded-joint by 10 times and to reduce energy use by 25% through product performance and productivity improvements using an integrated modeling approach. The fatigue strength of a welded-joint is currently the bottleneck to design high performance and lightweight welded structures using advanced materials such as high strength steels. In order to achieve high fatigue strength in a welded-joint it is necessary to manage the weld bead shape for lower stress concentration, produce preferable residual stress distribution, and obtain the desired microstructure for improved material toughness and strength. This is a systems challenge that requires the optimization of the welding process, the welding consumable, the base material, as well as the structure design. The concept of virtual welded-joint design has been proposed and established in this project. The goal of virtual welded-joint design is to develop a thorough procedure to predict the relationship of welding process, microstructure, property, residual stress, and the ultimate weld fatigue strength by a systematic modeling approach. The systematic approach combines five sub-models: weld thermal-fluid model, weld microstructure model, weld material property model, weld residual stress model, and weld fatigue model. The systematic approach is thus based on interdisciplinary applied sciences including heat transfer, computational fluid dynamics, materials science, engineering mechanics, and material fracture mechanics. The sub-models are based on existing models with further development. The results from modeling have been validated with critical experiments. The systematic modeling approach has been used to design high fatigue resistant welds considering the combined effects of weld bead geometry, residual stress, microstructure, and material property. In particular, a special welding wire has been developed in this project to introduce

  11. Advanced coking process control at Rautaruukki Steel

    Energy Technology Data Exchange (ETDEWEB)

    Ritamaki, O.; Luhtaniemi, H. [Rautaruukki Engineering (Finland)

    1999-12-01

    The paper presents the latest development of the Coking Process Management System (CPMS) at Raahe Steel. The latest third generation system is based on the previous system with the addition of fuzzy logic controllers. (The previous second generation system was based simultaneous feed forward and feedback control.) The system development has resulted in balanced coke oven battery heating, decreased variation in process regulation between shifts and increase of process information for operators. The economic results are very satisfactory. 7 figs.

  12. Status of NASA's Advanced Radioisotope Power Conversion Technology Research and Development

    Science.gov (United States)

    Wong, Wayne A.; Anderson, David J.; Tuttle, Karen L.; Tew, Roy C.

    2006-01-01

    NASA s Advanced Radioisotope Power Systems (RPS) development program is funding the advancement of next generation power conversion technologies that will enable future missions that have requirements that can not be met by either the ubiquitous photovoltaic systems or by current Radioisotope Power Systems (RPS). Requirements of advanced radioisotope power systems include high efficiency and high specific power (watts/kilogram) in order to meet mission requirements with less radioisotope fuel and lower mass. Other Advanced RPS development goals include long-life, reliability, and scalability so that these systems can meet requirements for a variety of future space applications including continual operation surface missions, outer-planetary missions, and solar probe. This paper provides an update on the Radioisotope Power Conversion Technology Project which awarded ten Phase I contracts for research and development of a variety of power conversion technologies consisting of Brayton, Stirling, thermoelectrics, and thermophotovoltaics. Three of the contracts continue during the current Phase II in the areas of thermoelectric and Stirling power conversion. The accomplishments to date of the contractors, project plans, and status will be summarized.

  13. Mainstreaming gender equality in the sustainable development process: 1992-1996.

    Science.gov (United States)

    1997-01-01

    One of the major contributions of Economic Commission for Africa (ECA) in the mainstreaming of gender equality into a sustainable development process was organizing the 5th African Regional Conference on Women in November 1994, in which a comprehensive policy document was formulated and adopted by all African governments. The policy contained in a document entitled the African Platform for Action (APA), which promotes the advancement of women through sustainable development. Its recommendations are being implemented together with the Global Platform for Action (GPA). To ensure the implementation of APA and GPA, ECA has set up various monitoring and implementation-coordinating groups such as the African Regional Coordinating Committee and the African Women's Communications and Development Network. In addition, ECA also facilitated the creation of the African Women Committee for Peace in November 1996, which will ensure the participation of women in the peace process at the highest level. All work programs of the ECA are expected to mainstream gender in their plans and activities.

  14. Development of a conceptual policy framework for advanced practice nursing: an ethnographic study.

    Science.gov (United States)

    Schober, Madrean M; Gerrish, Kate; McDonnell, Ann

    2016-06-01

    To report on a study examining policy development for advanced practice nursing from intent of policy to realization in practice. Inclusion of advanced practice nursing roles in the healthcare workforce is a worldwide trend. Optimal advanced nursing practice requires supportive policies. Little is known about how policy is developed and implemented. Ethnography using an instrumental case study approach was selected to give an in-depth understanding of the experiences of one country (Singapore) to contribute to insight into development elsewhere. The four-phase study was conducted from 2008-2012 and included document analysis (n = 47), interviews with key policy decision makers (n = 12), interviews with nursing managers and medical directors (n = 11), interviews and participant observation with advanced practice nurses (n = 15). Key policymakers in positions of authority were able to promote policy development. However, this was characterized by lack of strategic planning for implementation. A vague understanding by nursing managers and medical directors of policies, the role and its position in the healthcare workforce led to indecision and uncertainty in execution. Advanced practice nurses developed their role based on theory acquired in their academic programme but were unsure what role to assume in practice. Lack of clear guidelines led to unanticipated difficulties for institutions and healthcare systems. Strategic planning could facilitate integration of advanced practice nurses into the healthcare workforce. A Conceptual Policy Framework is proposed as a guide for a coordinated approach to policy development and implementation for advanced practice nursing. © 2016 John Wiley & Sons Ltd.

  15. NATO Advanced Study Institute on Recent Advances in the Modeling of Hydrologic Systems

    CERN Document Server

    O’Connell, P

    1991-01-01

    Modeling of the rainfall-runoff process is of both scientific and practical significance. Many of the currently used mathematical models of hydrologic systems were developed a genera­ tion ago. Much of the effort since then has focused on refining these models rather than on developing new models based on improved scientific understanding. In the past few years, however, a renewed effort has been made to improve both our fundamental understanding of hydrologic processes and to exploit technological advances in computing and remote sensing. It is against this background that the NATO Advanced Study Institute on Recent Advances in the Modeling of Hydrologic Systems was organized. The idea for holding a NATO ASI on this topic grew out of an informal discussion between one of the co-directors and Professor Francisco Nunes-Correia at a previous NATO ASI held at Tucson, Arizona in 1985. The Special Program Panel on Global Transport Mechanisms in the Geo-Sciences of the NATO Scientific Affairs Division agreed to sp...

  16. Pretreatment of whole blood using hydrogen peroxide and UV irradiation. Design of the advanced oxidation process.

    Science.gov (United States)

    Bragg, Stefanie A; Armstrong, Kristie C; Xue, Zi-Ling

    2012-08-15

    A new process to pretreat blood samples has been developed. This process combines the Advanced Oxidation Process (AOP) treatment (using H(2)O(2) and UV irradiation) with acid deactivation of the enzyme catalase in blood. A four-cell reactor has been designed and built in house. The effect of pH on the AOP process has been investigated. The kinetics of the pretreatment process shows that at high C(H(2)O(2),t=0), the reaction is zeroth order with respect to C(H(2)O(2)) and first order with respect to C(blood). The rate limiting process is photon flux from the UV lamp. Degradation of whole blood has been compared with that of pure hemoglobin samples. The AOP pretreatment of the blood samples has led to the subsequent determination of chromium and zinc concentrations in the samples using electrochemical methods. Copyright © 2012 Elsevier B.V. All rights reserved.

  17. Effect of channel aspect ratio on chemical recuperation process in advanced aeroengines

    International Nuclear Information System (INIS)

    Zhang, Silong; Cui, Naigang; Xiong, Yuefei; Feng, Yu; Qin, Jiang; Bao, Wen

    2017-01-01

    The working process of an advanced aeroengine such as scramjet with endothermic hydrocarbon fuel cooling is a chemical recuperative cycle. The design of cooling channel in terms of engine real working conditions is very important for the chemical recuperation process. To study the effects of channel aspect ratio (AR) on chemical recuperation process of advanced aeroengines, three dimensional model of pyrolysis coolant flow inside asymmetrical rectangular cooling channels with fins is introduced and validated through experiments. Cases when AR varies from 1 to 8 are carried out. In the pyrolysis zone of the cooling channel, decreasing the channel aspect ratio can reduce the temperature difference and non-uniformity of fuel conversion in the channel cross section, and it can also increase the final conversion and corresponding chemical heat absorption. A small channel aspect ratio is beneficial for the chemical recuperation process and can guarantee the engine cooling performance in the pyrolysis zone of the cooling channel. - Highlights: • Large non-uniformity of conversion is bad for the chemical recuperation. • Small channel aspect ratio is beneficial for improving the chemical recuperation effectiveness. • Small channel aspect ratio is also beneficial for reducing the engine wall temperature.

  18. Development of Personalized Cancer Therapy for Men with Advanced Prostate Cancer

    Science.gov (United States)

    2017-10-01

    AWARD NUMBERS: W81XWH-14-1-0554 TITLE: Development of Personalized Cancer Therapy for Men with Advanced Prostate Cancer PRINCIPAL INVESTIGATOR...Dr. Nora M. Navone CONTRACTING ORGANIZATION: The University of Texas MD Anderson Cancer Center 1515 Holcombe Blvd. Houston, TX 77030-4009...COVERED 09/22/2016-09/21/2017 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER N/A Development of Personalized Cancer Therapy for Men with Advanced

  19. Solar photoassisted advanced oxidation process of azo dyes.

    Science.gov (United States)

    Prato-Garcia, D; Buitrón, G

    2009-01-01

    Advanced oxidation processes assisted with natural solar radiation in CPC type reactors (parabolic collector compound), was applied for the degradation of three azo dyes: acid orange (AO7), acid red 151 (AR151) and acid blue 113 (AB113). Fenton, Fenton like and ferrioxalate-type complexes showed to be effective for degrade the azo linkage and moieties in different extensions. Initially, the best dose of reagents (Fe(3 + )-H(2)O(2)) was determined through a factorial experimental design, next, using response surface methodologies, the reagent consumption was reduced up to 40%, maintaining in all cases high decolourisation percentages (>98%) after 60 min. of phototreatment. In this work, it was also studied the effect of concentration changes of the influent between 100-300 mg/L and the operation of the photocatalytic process near neutral conditions (pH 6.0-6.5) by using ferrioxalate type complex (FeOx).

  20. Feasibility study on the development of advanced LWR fuel technology

    International Nuclear Information System (INIS)

    Jung, Youn Ho; Sohn, D. S.; Jeong, Y. H.; Song, K. W.; Song, K. N.; Chun, T. H.; Bang, J. G.; Bae, K. K.; Kim, D. H. and others.

    1997-07-01

    Worldwide R and D trends related to core technology of LWR fuels and status of patents have been surveyed for the feasibility study. In addition, various fuel cycle schemes have been studied to establish the target performance parameters. For the development of cladding material, establishment of long-term research plan for alloy development and optimization of melting process and manufacturing technology were conducted. A work which could characterize the effect of sintering additives on the microstructure of UO 2 pellet has been experimentally undertaken, and major sintering variables and their ranges have been found in the sintering process of UO 2 -Gd 2 O 3 burnable absorber pellet. The analysis of state of the art technology related to flow mixing device for spacer grid and debris filtering device for bottom nozzle and the investigation of the physical phenomena related to CHF enhancement and the establishment of the data base for thermal-hydraulic performance tests has been done in this study. In addition, survey on the documents of the up-to-date PWR fuel assemblies developed by foreign vendors have been carried out to understand their R and D trends and establish the direction of R and D for these structural components. And, to set the performance target of the new fuel, to be developed, fuel burnup and economy under the extended fuel cycle length scheme were estimated. A preliminary study on the failure mechanism of CANDU fuel, key technology and advanced coating has been performed. (author). 190 refs., 31 tabs., 129 figs