WorldWideScience

Sample records for access memory ram

  1. Quantum random access memory

    OpenAIRE

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2007-01-01

    A random access memory (RAM) uses n bits to randomly address N=2^n distinct memory cells. A quantum random access memory (qRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(log N) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust qRAM algorithm, as it in general requires entanglement among exponentially l...

  2. Architectures for a quantum random access memory

    OpenAIRE

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-01-01

    A random access memory, or RAM, is a device that, when interrogated, returns the content of a memory location in a memory array. A quantum RAM, or qRAM, allows one to access superpositions of memory sites, which may contain either quantum or classical information. RAMs and qRAMs with n-bit addresses can access 2^n memory sites. Any design for a RAM or qRAM then requires O(2^n) two-bit logic gates. At first sight this requirement might seem to make large scale quantum versions of such devices ...

  3. Architectures for a quantum random access memory

    Science.gov (United States)

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-11-01

    A random access memory, or RAM, is a device that, when interrogated, returns the content of a memory location in a memory array. A quantum RAM, or qRAM, allows one to access superpositions of memory sites, which may contain either quantum or classical information. RAMs and qRAMs with n -bit addresses can access 2n memory sites. Any design for a RAM or qRAM then requires O(2n) two-bit logic gates. At first sight this requirement might seem to make large scale quantum versions of such devices impractical, due to the difficulty of constructing and operating coherent devices with large numbers of quantum logic gates. Here we analyze two different RAM architectures (the conventional fanout and the “bucket brigade”) and propose some proof-of-principle implementations, which show that, in principle, only O(n) two-qubit physical interactions need take place during each qRAM call. That is, although a qRAM needs O(2n) quantum logic gates, only O(n) need to be activated during a memory call. The resulting decrease in resources could give rise to the construction of large qRAMs that could operate without the need for extensive quantum error correction.

  4. Integrated Optical Content Addressable Memories (CAM and Optical Random Access Memories (RAM for Ultra-Fast Address Look-Up Operations

    Directory of Open Access Journals (Sweden)

    Christos Vagionas

    2017-07-01

    Full Text Available Electronic Content Addressable Memories (CAM implement Address Look-Up (AL table functionalities of network routers; however, they typically operate in the MHz regime, turning AL into a critical network bottleneck. In this communication, we demonstrate the first steps towards developing optical CAM alternatives to enable a re-engineering of AL memories. Firstly, we report on the photonic integration of Semiconductor Optical Amplifier-Mach Zehnder Interferometer (SOA-MZI-based optical Flip-Flop and Random Access Memories on a monolithic InP platform, capable of storing the binary prefix-address data-bits and the outgoing port information for next hop routing, respectively. Subsequently the first optical Binary CAM cell (B-CAM is experimentally demonstrated, comprising an InP Flip-Flop and a SOA-MZI Exclusive OR (XOR gate for fast search operations through an XOR-based bit comparison, yielding an error-free 10 Gb/s operation. This is later extended via physical layer simulations in an optical Ternary-CAM (T-CAM cell and a 4-bit Matchline (ML configuration, supporting a third state of the “logical X” value towards wildcard bits of network subnet masks. The proposed functional CAM and Random Access Memories (RAM sub-circuits may facilitate light-based Address Look-Up tables supporting search operations at 10 Gb/s and beyond, paving the way towards minimizing the disparity with the frantic optical transmission linerates, and fast re-configurability through multiple simultaneous Wavelength Division Multiplexed (WDM memory access requests.

  5. Optical RAM row access using WDM-enabled all-passive row/column decoders

    Science.gov (United States)

    Papaioannou, Sotirios; Alexoudi, Theoni; Kanellos, George T.; Miliou, Amalia; Pleros, Nikos

    2014-03-01

    Towards achieving a functional RAM organization that reaps the advantages offered by optical technology, a complete set of optical peripheral modules, namely the Row (RD) and Column Decoder (CD) units, is required. In this perspective, we demonstrate an all-passive 2×4 optical RAM RD with row access operation and subsequent all-passive column decoding to control the access of WDM-formatted words in optical RAM rows. The 2×4 RD exploits a WDM-formatted 2-bit-long memory WordLine address along with its complementary value, all of them encoded on four different wavelengths and broadcasted to all RAM rows. The RD relies on an all-passive wavelength-selective filtering matrix (λ-matrix) that ensures a logical `0' output only at the selected RAM row. Subsequently, the RD output of each row drives the respective SOA-MZI-based Row Access Gate (AG) to grant/block the entry of the incoming data words to the whole memory row. In case of a selected row, the data word exits the row AG and enters the respective CD that relies on an allpassive wavelength-selective Arrayed Waveguide Grating (AWG) for decoding the word bits into their individual columns. Both RD and CD procedures are carried out without requiring any active devices, assuming that the memory address and data word bits as well as their inverted values will be available in their optical form by the CPU interface. Proof-of-concept experimental verification exploiting cascaded pairs of AWGs as the λ-matrix is demonstrated at 10Gb/s, providing error-free operation with a peak power penalty lower than 0.2dB for all optical word channels.

  6. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    Science.gov (United States)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  7. Paging memory from random access memory to backing storage in a parallel computer

    Science.gov (United States)

    Archer, Charles J; Blocksome, Michael A; Inglett, Todd A; Ratterman, Joseph D; Smith, Brian E

    2013-05-21

    Paging memory from random access memory (`RAM`) to backing storage in a parallel computer that includes a plurality of compute nodes, including: executing a data processing application on a virtual machine operating system in a virtual machine on a first compute node; providing, by a second compute node, backing storage for the contents of RAM on the first compute node; and swapping, by the virtual machine operating system in the virtual machine on the first compute node, a page of memory from RAM on the first compute node to the backing storage on the second compute node.

  8. Nano-Ionic Solid State Resistive Memories (Re-RAM): A Review.

    Science.gov (United States)

    Sahoo, Satyajeet; Prabaharan, S R S

    2017-01-01

    Nano-ionic devices based on modest to fast ion conductors as active materials intrigued a revolution in the field of nano solid state resistive memories (the so-called Re-RAM) ever since HP labs unveiled the first solid state memristor device based on titanium dioxide (TiO2). This has brought impetus to the practical implementation of fourth missing element called “Memristor” correlating charge (q) and flux (φ) based on the conceptual thought by Chua in 1971 completing a missing gap between the passive electronic components (R, C and L). It depicts various functional features as memory element in terms of ionic charge transport in solid state by virtue of external electric flux variations. Consequently, a new avenue has been found by manipulating the ionic charge carriers creating a fast switching resistive random access memory (Re-RAM) or the so-called Memristors. The recent research has led to low power, faster switching speed, high endurance and high retention time devices that can be scaled down the order of few nanometers dimension and the 3D stacking is employed that significantly reduces the die area. This review is organized to provide the progress hitherto accomplished in the materials arena to make memristor devices with respect to current research attempts, different stack structures of ReRAM cells using various materials as well as the application of memristive system. Different synthesis approaches to make nano-ionic conducting metal oxides, the fabrication methods for ReRAM cells and its memory performance are reviewed comprehensively.

  9. RAM-efficient external memory sorting

    DEFF Research Database (Denmark)

    Arge, Lars; Thorup, Mikkel

    2013-01-01

    In recent years a large number of problems have been considered in external memory models of computation, where the complexity measure is the number of blocks of data that are moved between slow external memory and fast internal memory (also called I/Os). In practice, however, internal memory time...... often dominates the total running time once I/O-efficiency has been obtained. In this paper we study algorithms for fundamental problems that are simultaneously I/O-efficient and internal memory efficient in the RAM model of computation....

  10. C-RAM: breaking mobile device memory barriers using the cloud

    OpenAIRE

    Pamboris, A; Pietzuch, P

    2015-01-01

    ?Mobile applications are constrained by the available memory of mobile devices. We present C-RAM, a system that uses cloud-based memory to extend the memory of mobile devices. It splits application state and its associated computation between a mobile device and a cloud node to allow applications to consume more memory, while minimising the performance impact. C-RAM thus enables developers to realise new applications or port legacy desktop applications with a large memory footprint to mobile ...

  11. Subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory for nonvolatile operation

    Science.gov (United States)

    Huh, In; Cheon, Woo Young; Choi, Woo Young

    2016-04-01

    A subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory (SAT RAM) has been proposed and fabricated for low-power nonvolatile memory applications. The proposed SAT RAM cell demonstrates adjustable subthreshold swing (SS) depending on stored information: small SS in the erase state ("1" state) and large SS in the program state ("0" state). Thus, SAT RAM cells can achieve low read voltage (Vread) with a large memory window in addition to the effective suppression of ambipolar behavior. These unique features of the SAT RAM are originated from the locally stored charge, which modulates the tunneling barrier width (Wtun) of the source-to-channel tunneling junction.

  12. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  13. Time-bin quantum RAM

    Science.gov (United States)

    Moiseev, E. S.; Moiseev, S. A.

    2016-11-01

    We have proposed a compact scheme of quantum random access memory (qRAM) based on the impedance matched multi-qubit photon echo quantum memory incorporated with the control four-level atom in two coupled QED cavities. A set of matching conditions for basic physical parameters of the qRAM scheme that provides an efficient quantum control of the fast single photon storage and readout has been found. In particular, it has been discovered that the efficient qRAM operations are determined by the specific properties of the excited photonic molecule coupling the two QED cavities. Herein, the maximal efficiency of the qRAM is realized when the cooperativity parameter of the photonic molecule equals to unity that can be experimentally achievable. We have also elaborated upon the new quantum address scheme where the multi-time-bin photon state is used for the control of the four-level atom during the readout of the photonic qubits from the quantum memory. The scheme reduces the required number of logical elements to one. Experimental implementation by means of current quantum technologies in the optical and microwave domains is also discussed.

  14. Energy-Saving RAM-Power Tap

    Science.gov (United States)

    Bruner, Alan Roy

    1987-01-01

    Reverse-flow HEXFET(R) minimizes voltage drop and power dissipation. HEXFET(R) scheme reduces voltage drop by approximately 80 percent. Design for power tap for random-access memory (RAM) has potential application in digital systems.

  15. The MONOS memory transistor: application in a radiation-hard nonvolatile RAM

    International Nuclear Information System (INIS)

    Brown, W.D.

    1985-01-01

    The MONOS (metal-oxide-nitride-oxide-silicon) device is a prime candidate for use as the nonvolatile memory element in a radiation-hardened RAM (random-access memory). The endurance, retention and radiation properties of MONOS memory transistors have been studied as a function of post nitride deposition annealing. Following the nitride layer deposition, all devices were subjected to an 800 0 C oxidation step and some were then annealed at 900 0 C in nitrogen. The nitrogen anneal produces an increase in memory window size of approximately 40%. The memory window center of the annealed devices is shifted toward more positive voltages and is more stable with endurance cycling. Endurance cycling to 10 9 cycles produces a 20% increase in memory window size and a 60% increase in decay rate. For a radiation total dose of 10 6 rads (Si), the memory window size is essentially unchanged and the decay rate increases approximately 13%. A combination of 10 9 cycles and 10 6 rads (Si) reduces the decades of retention (in sec) from 6.3 to 4.3 for a +- 23-V 16-μsec write/erase pulse. (author)

  16. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  17. A novel multiplexer-based structure for random access memory cell in quantum-dot cellular automata

    Science.gov (United States)

    Naji Asfestani, Mazaher; Rasouli Heikalabad, Saeed

    2017-09-01

    Quantum-dot cellular automata (QCA) is a new technology in scale of nano and perfect replacement for CMOS circuits in the future. Memory is one of the basic components in any digital system, so designing the random access memory (RAM) with high speed and optimal in QCA is important. In this paper, by employing the structure of multiplexer, a novel RAM cell architecture is proposed. The proposed architecture is implemented without the coplanar crossover approach. The proposed architecture is simulated using the QCADesigner version 2.0.3 and QCAPro. The simulation results demonstrate that the proposed QCA RAM architecture has the best performance in terms of delay, circuit complexity, area, cell count and energy consumption in comparison with other QCA RAM architectures.

  18. Alpha particle induced soft errors in NMOS RAMs: a review

    International Nuclear Information System (INIS)

    Carter, P.M.; Wilkins, B.R.

    1987-01-01

    The paper aims to explain the alpha particle induced soft error phenomenon using the NMOS dynamic random access memory (RAM) as a model. It discusses some of the many techniques experimented with by manufacturers to overcome the problem, and gives a review of the literature covering most aspects of soft errors in dynamic RAMs. Finally, the soft error performance of current dynamic RAM and static RAM products from several manufacturers are compared. (author)

  19. Flexible conductive-bridging random-access-memory cell vertically stacked with top Ag electrode, PEO, PVK, and bottom Pt electrode

    Science.gov (United States)

    Seung, Hyun-Min; Kwon, Kyoung-Cheol; Lee, Gon-Sub; Park, Jea-Gun

    2014-10-01

    Flexible conductive-bridging random-access-memory (RAM) cells were fabricated with a cross-bar memory cell stacked with a top Ag electrode, conductive polymer (poly(n-vinylcarbazole): PVK), electrolyte (polyethylene oxide: PEO), bottom Pt electrode, and flexible substrate (polyethersulfone: PES), exhibiting the bipolar switching behavior of resistive random access memory (ReRAM). The cell also exhibited bending-fatigue-free nonvolatile memory characteristics: i.e., a set voltage of 1.0 V, a reset voltage of -1.6 V, retention time of >1 × 105 s with a memory margin of 9.2 × 105, program/erase endurance cycles of >102 with a memory margin of 8.4 × 105, and bending-fatigue-free cycles of ˜1 × 103 with a memory margin (Ion/Ioff) of 3.3 × 105.

  20. A 1K shadow RAM for circumvention applications

    International Nuclear Information System (INIS)

    Murray, J.R.

    1991-01-01

    This paper reports that circumvention applications require a memory that retains data through radiation (total dose and transient) and loss of power. Various memory technologies have been reviewed and none, as yet, can meet, these requirements. However, if complementary metal oxide silicon (CMOS) and silicon nitride oxide silicon (SNOS) memories are combined in a shadow RAM (random access memory) configuration, the requirements can be fulfilled

  1. Neutron detection using soft errors in dynamic Random Access Memories

    International Nuclear Information System (INIS)

    Darambara, D.G.; Spyrou, N.M.

    1994-01-01

    The purpose of this paper is to present results from experiments that have been performed to show the memory cycle time dependence of the soft errors produced by the interaction of alpha particles with dynamic random access memory devices, with a view to using these as position sensitive detectors. Furthermore, a preliminary feasibility study being carried out indicates the use of dynamic RAMs as neutron detectors by the utilization of (n, α) capture reactions in a Li converter placed on the top of the active area of the memory chip. ((orig.))

  2. Review of radiation effects on ReRAM devices and technology

    Science.gov (United States)

    Gonzalez-Velo, Yago; Barnaby, Hugh J.; Kozicki, Michael N.

    2017-08-01

    A review of the ionizing radiation effects on resistive random access memory (ReRAM) technology and devices is presented in this article. The review focuses on vertical devices exhibiting bipolar resistance switching, devices that have already exhibited interesting properties and characteristics for memory applications and, in particular, for non-volatile memory applications. Non-volatile memories are important devices for any type of electronic and embedded system, as they are for space applications. In such applications, specific environmental issues related to the existence of cosmic rays and Van Allen radiation belts around the Earth contribute to specific failure mechanisms related to the energy deposition induced by such ionizing radiation. Such effects are important in non-volatile memory as the current leading technology, i.e. flash-based technology, is sensitive to the total ionizing dose (TID) and single-event effects. New technologies such as ReRAM, if competing with or complementing the existing non-volatile area of memories from the point of view of performance, also have to exhibit great reliability for use in radiation environments such as space. This has driven research on the radiation effects of such ReRAM technology, on both the conductive-bridge RAM as well as the valence-change memories, or OxRAM variants of the technology. Initial characterizations of ReRAM technology showed a high degree of resilience to TID, developing researchers’ interest in characterizing such resilience as well as investigating the cause of such behavior. The state of the art of such research is reviewed in this article.

  3. TiO$_2$-based Memristors and ReRAM: Materials, Mechanisms and Models (a Review)

    OpenAIRE

    Gale, Ella

    2016-01-01

    The memristor is the fundamental non-linear circuit element, with uses in computing and computer memory. ReRAM (Resistive Random Access Memory) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarise the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilised and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor sc...

  4. Surface effects of electrode-dependent switching behavior of resistive random-access memory

    KAUST Repository

    Ke, Jr Jian

    2016-09-26

    The surface effects of ZnO-based resistive random-access memory (ReRAM) were investigated using various electrodes. Pt electrodes were found to have better performance in terms of the device\\'s switching functionality. A thermodynamic model of the oxygen chemisorption process was proposed to explain this electrode-dependent switching behavior. The temperature-dependent switching voltage demonstrates that the ReRAM devices fabricated with Pt electrodes have a lower activation energy for the chemisorption process, resulting in a better resistive switching performance. These findings provide an in-depth understanding of electrode-dependent switching behaviors and can serve as design guidelines for future ReRAM devices.

  5. Optical RAM-enabled cache memory and optical routing for chip multiprocessors: technologies and architectures

    Science.gov (United States)

    Pleros, Nikos; Maniotis, Pavlos; Alexoudi, Theonitsa; Fitsios, Dimitris; Vagionas, Christos; Papaioannou, Sotiris; Vyrsokinos, K.; Kanellos, George T.

    2014-03-01

    The processor-memory performance gap, commonly referred to as "Memory Wall" problem, owes to the speed mismatch between processor and electronic RAM clock frequencies, forcing current Chip Multiprocessor (CMP) configurations to consume more than 50% of the chip real-estate for caching purposes. In this article, we present our recent work spanning from Si-based integrated optical RAM cell architectures up to complete optical cache memory architectures for Chip Multiprocessor configurations. Moreover, we discuss on e/o router subsystems with up to Tb/s routing capacity for cache interconnection purposes within CMP configurations, currently pursued within the FP7 PhoxTrot project.

  6. Neutron detection using soft errors in dynamic random access memories

    International Nuclear Information System (INIS)

    Darambara, D.G.; Spyrou, N.M.

    1992-01-01

    The fact that energetic alpha particles have been observed to be capable of inducing single-event upsets in integrated circuit memories has become a topic of considerable interest in the past few years. One recognized difficulty with dynamic random access memory devices (dRAMs) is that the alpha-particle 'contamination' present within the dRAM encapsulating material interact sufficiently as to corrupt stored data. The authors essentially utilized the fact that these corruptions may be induced in dRAMs by the interaction of charged particles with the chip of the dRAM itself as a basis of a hardware system for neutron detection with a view to applications in neutron imaging and elemental analysis. The design incorporates a bank of dRAMs on which the particles are incident. Initially, these particles were alpha particles from an appropriate alpha-emitting source employed to assess system parameters. The sensitivity of the device to logic state upsets by ionizing radiation is a function of design and technology parameters, inducing storage node area, node capacitance, operating voltage, minority carrier lifetime, electric fields pattern in the bulk silicon, and specific device geometry. The soft error rate of the device in a given package depends on the flux of alphas, the energy spectrum, the distribution of incident angles, the target area, the total stored charge, the collection efficiency, the cell geometry, the supply voltage, the cycle and refreshing time, and the noise margin

  7. CMOS/SOS RAM transient radiation upset and ''inversion'' effect investigation

    International Nuclear Information System (INIS)

    Nikiforov, A.Y.; Poljakov, I.V.

    1996-01-01

    The Complementary Metal-Oxide-Semiconductor/Silicon-on-Sapphire Random Access Memory (CMOS/SOS RAM) transient upset and inversion effect were investigated with pulsed laser, pulsed voltage generator and low-intensity light simulators. It was found that the inversion of information occurs due to memory cell photocurrents simultaneously with the power supply voltage drop transfer to memory cells outputs

  8. Concept of rewritable organic ferroelectric random access memory in two lateral transistors-in-one cell architecture

    International Nuclear Information System (INIS)

    Kim, Min-Hoi; Lee, Gyu Jeong; Keum, Chang-Min; Lee, Sin-Doo

    2014-01-01

    We propose a concept of rewritable ferroelectric random access memory (RAM) with two lateral organic transistors-in-one cell architecture. Lateral integration of a paraelectric organic field-effect transistor (OFET), being a selection transistor, and a ferroelectric OFET as a memory transistor is realized using a paraelectric depolarizing layer (PDL) which is patterned on a ferroelectric insulator by transfer-printing. For the selection transistor, the key roles of the PDL are to reduce the dipolar strength and the surface roughness of the gate insulator, leading to the low memory on–off ratio and the high switching on–off current ratio. A new driving scheme preventing the crosstalk between adjacent memory cells is also demonstrated for the rewritable operation of the ferroelectric RAM. (paper)

  9. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    Science.gov (United States)

    Gale, Ella

    2014-10-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies.

  10. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    International Nuclear Information System (INIS)

    Gale, Ella

    2014-01-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies. (invited review)

  11. Switching characteristics in Cu:SiO2 by chemical soak methods for resistive random access memory (ReRAM)

    Science.gov (United States)

    Chin, Fun-Tat; Lin, Yu-Hsien; Yang, Wen-Luh; Liao, Chin-Hsuan; Lin, Li-Min; Hsiao, Yu-Ping; Chao, Tien-Sheng

    2015-01-01

    A limited copper (Cu)-source Cu:SiO2 switching layer composed of various Cu concentrations was fabricated using a chemical soaking (CS) technique. The switching layer was then studied for developing applications in resistive random access memory (ReRAM) devices. Observing the resistive switching mechanism exhibited by all the samples suggested that Cu conductive filaments formed and ruptured during the set/reset process. The experimental results indicated that the endurance property failure that occurred was related to the joule heating effect. Moreover, the endurance switching cycle increased as the Cu concentration decreased. In high-temperature tests, the samples demonstrated that the operating (set/reset) voltages decreased as the temperature increased, and an Arrhenius plot was used to calculate the activation energy of the set/reset process. In addition, the samples demonstrated stable data retention properties when baked at 85 °C, but the samples with low Cu concentrations exhibited short retention times in the low-resistance state (LRS) during 125 °C tests. Therefore, Cu concentration is a crucial factor in the trade-off between the endurance and retention properties; furthermore, the Cu concentration can be easily modulated using this CS technique.

  12. A realization of the RAM digital filter. [Random Access Memory

    Science.gov (United States)

    Zohar, S.

    1976-01-01

    The digital filtering algorithm of W. D. Little, which employs a large RAM to obtain high speed, is implemented in a simple hardware configuration. The nonrecursive version of this filter is compared to the counting digital filter and found to be competitive for low-order filters up to order 7 (8 coefficients).

  13. Stable switching of resistive random access memory on the nanotip array electrodes

    KAUST Repository

    Tsai, Kun-Tong

    2016-09-13

    The formation/rupture of conducting filaments (CFs) in resistive random access memory (ReRAM) materials tune the electrical conductivities non-volatilely and are largely affected by its material composition [1], internal configurations [2] and external environments [3,4]. Therefore, controlling repetitive formation/rupture of CF as well as the spatial uniformity of formed CF are fundamentally important for improving the resistive switching (RS) performance. In this context, we have shown that by adding a field initiator, typically a textured electrode, both performance and switching uniformity of ReRAMs can be improved dramatically [5]. In addition, despite its promising characteristics, the scalable fabrication and structural homogeneity of such nanostructured electrodes are still lacking or unattainable, making miniaturization of ReRAM devices an exceeding challenge. Here, we employ nanostructured electrode (nanotip arrays, extremely uniform) formed spontaneously via a self-organized process to improve the ZnO ReRAM switching characteristics.

  14. Nb multilayer planarization technology for a subnanosecond Josephson 1K-bit RAM

    International Nuclear Information System (INIS)

    Nagasawa, S.; Wada, Y.; Tsuge, H.; Hidaka, M.; Ishida, I.; Tahara, S.

    1989-01-01

    Nb multilayer planarization technology has been developed. This planarization technology consists of an etch-back technique using 2000-molecular weight polystyrene and SiO/sub 2/ for the junction layer and wiring layers, and a tapered edge etching technique for contact between individual wiring layers. A Josephson 1K-bit random access memory (RAM) has been fabricated using this planarization technology. Excellent planarity, wherein level differences in all step areas are reduced to less than 1/20th of their original value, was achieved in the multilayer structure of the RAM. Moreover, appropriate RAM operations, with 570ps minimum access time and 13mW power dissipation, were confirmed

  15. Memoria RAM

    DEFF Research Database (Denmark)

    Cruz Suárez, Juan Carlos

    2013-01-01

    The present study intends to open a new theoretical means of analysis within the field of comparative memories. Through the metaphorical designation of RAM memory we will try to observe the continuous circulation of social discourses related to the collective memory of a particular community. Thi...

  16. Development of measurement system for radiation effect on static random access memory based field programmable gate array

    International Nuclear Information System (INIS)

    Yao Zhibin; He Baoping; Zhang Fengqi; Guo Hongxia; Luo Yinhong; Wang Yuanming; Zhang Keying

    2009-01-01

    Based on the detailed investigation in field programmable gate array(FPGA) radiation effects theory, a measurement system for radiation effects on static random access memory(SRAM)-based FPGA was developed. The testing principle of internal memory, function and power current was introduced. The hardware and software implement means of system were presented. Some important parameters for radiation effects on SRAM-based FPGA, such as configuration RAM upset section, block RAM upset section, function fault section and single event latchup section can be gained with this system. The transmission distance of the system can be over 50 m and the maximum number of tested gates can reach one million. (authors)

  17. An energy and cost efficient majority-based RAM cell in quantum-dot cellular automata

    Directory of Open Access Journals (Sweden)

    Milad Bagherian Khosroshahy

    Full Text Available Nanotechnologies, notably quantum-dot cellular automata, have achieved major attentions for their prominent features as compared to the conventional CMOS circuitry. Quantum-dot cellular automata, particularly owning to its considerable reduction in size, high switching speed and ultra-low energy consumption, is considered as a potential alternative for the CMOS technology. As the memory unit is one of the most essential components in a digital system, designing a well-optimized QCA random access memory (RAM cell is an important area of research. In this paper, a new five-input majority gate is presented which is suitable for implementing efficient single-layer QCA circuits. In addition, a new RAM cell with set and reset capabilities is designed based on the proposed majority gate, which has an efficient and low-energy structure. The functionality, performance and energy consumption of the proposed designs are evaluated based on the QCADesigner and QCAPro tools. According to the simulation results, the proposed RAM design leads to on average 38% lower total energy dissipation, 25% smaller area, 20% lower cell count, 28% lower delay and 60% lower QCA cost as compared to its previous counterparts. Keywords: Quantum-dot cellular automata (QCA, Majority gate, Random access memory (RAM, Energy efficiency

  18. The use of silicon devices (diodes, RAMs, etc.) for alpha particle detection

    International Nuclear Information System (INIS)

    Agosteo, S.; Foglio Para, A.

    1993-01-01

    Silicon electronic devices (diodes, random access memories (RAMs), etc.) can be employed in alpha particle detection and spectroscopy with a good energy resolution. The detection mechanisms are first discussed; the performances of these devices operating in the pulse and in the current mode are then described starting from the pioneering works of the last decade. Some peculiar applications of RAMs are finally reported. (author). 7 refs, 5 figs, 1 tab

  19. A radiation-hardened 1K-bit dielectrically isolated random access memory

    International Nuclear Information System (INIS)

    Sandors, T.J.; Boarman, J.W.; Kasten, A.J.; Wood, G.M.

    1982-01-01

    Dielectric Isolation has been used for many years as the bipolar technology for latch-up free, radiation hardened integrated circuits in strategic systems. The state-of-the-art up to this point has been the manufacture of MSI functions containing a maximum of several hundred isolated components. This paper discusses a 1024 Bit Random Access Memory chip containing over 4000 dielectrically isolated components which has been designed for strategic radiation environments. The process utilized and the circuit design of the 1024 Bit RAM have been previously discussed. The techniques used are similar to those employed for the MX digital integrated circuits except for specific items required to make this a true LSI technology. These techniques, along with electrical and radiation data for the RAM, are presented

  20. Analysis and modeling of resistive switching mechanisms oriented to resistive random-access memory

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2013-01-01

    With the progress of the semiconductor industry, the resistive random-access memory (RAM) has drawn increasing attention. The discovery of the memristor has brought much attention to this study. Research has focused on the resistive switching characteristics of different materials and the analysis of resistive switching mechanisms. We discuss the resistive switching mechanisms of different materials in this paper and analyze the differences of those mechanisms from the view point of circuitry to establish their respective circuit models. Finally, simulations are presented. We give the prospect of using different materials in resistive RAM on account of their resistive switching mechanisms, which are applied to explain their resistive switchings

  1. Investigating Phase Transform Behavior in Indium Selenide Based RAM and Its Validation as a Memory Element

    Directory of Open Access Journals (Sweden)

    Swapnil Sourav

    2016-01-01

    Full Text Available Phase transform properties of Indium Selenide (In2Se3 based Random Access Memory (RAM have been explored in this paper. Phase change random access memory (PCRAM is an attractive solid-state nonvolatile memory that possesses potential to meet various current technology demands of memory design. Already reported PCRAM models are mainly based upon Germanium-Antimony-Tellurium (Ge2Sb2Te5 or GST materials as their prime constituents. However, PCRAM using GST material lacks some important memory attributes required for memory elements such as larger resistance margin between the highly resistive amorphous and highly conductive crystalline states in phase change materials. This paper investigates various electrical and compositional properties of the Indium Selenide (In2Se3 material and also draws comparison with its counterpart mainly focusing on phase transform properties. To achieve this goal, a SPICE model of In2Se3 based PCRAM model has been reported in this work. The reported model has been also validated to act as a memory cell by associating it with a read/write circuit proposed in this work. Simulation results demonstrate impressive retentivity and low power consumption by requiring a set pulse of 208 μA for a duration of 100 μs to set the PCRAM in crystalline state. Similarly, a reset pulse of 11.7 μA for a duration of 20 ns can set the PCRAM in amorphous state. Modeling of In2Se3 based PCRAM has been done in Verilog-A and simulation results have been extensively verified using SPICE simulator.

  2. Non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell of a non-volatile one

    NARCIS (Netherlands)

    Widdershoven, Franciscus P.; Annema, Anne J.; Storms, Maurits M.N.; Pelgrom, Marcellinus J.M.; Pelgrom, Marcel J M

    2001-01-01

    A non-volatile, random access memory cell comprises first and second inverters each having an output node cross-coupled by cross-coupling means to an input node of the other inverter for forming a MOS RAM cell. The output node of each inverter is selectively connected via the conductor paths of

  3. Ferroelectric materials for FeRAMs; FeRAM yo kyoyudentai zairyo

    Energy Technology Data Exchange (ETDEWEB)

    Miyasaka, Y [NEC Corp., Tokyo (Japan)

    1998-10-01

    Studies to utilize bistable spontaneous polarization of ferroelectric materials for non-volatile memory have already been started in the 1950`s. Recently, a possibility was indicated on a high-speed non-volatile RAM (FeRAM) with memory cell configuration combined with switching transistors as in DRAMs. This situation has led to performing very active studies on ferroelectric materials and electrode materials used in ferroelectric film cell capacitors. This paper summarizes the above research and development circumstances, as well as their future problems. On the other hand of having shown excellent fatigue resistance in the SrBi2Ta209(SBT) system, improvement has progressed steadily on the Pb(ZR, Ti)03 (abbreviated to PZT) system, which retains the mainstream position as the ferroelectric material for FeRAMs. Aiming at realizing a high integration FeRAM in the future will require discussions on property changes due to use of lower temperature and micronization in film formation, further improvement in relation with degradation such as in imprint, and elucidation of the mechanism. 31 refs., 3 figs.

  4. Main Memory

    OpenAIRE

    Boncz, Peter; Liu, Lei; Özsu, M.

    2008-01-01

    htmlabstractPrimary storage, presently known as main memory, is the largest memory directly accessible to the CPU in the prevalent Von Neumann model and stores both data and instructions (program code). The CPU continuously reads instructions stored there and executes them. It is also called Random Access Memory (RAM), to indicate that load/store instructions can access data at any location at the same cost, is usually implemented using DRAM chips, which are connected to the CPU and other per...

  5. Random Access Memories: A New Paradigm for Target Detection in High Resolution Aerial Remote Sensing Images.

    Science.gov (United States)

    Zou, Zhengxia; Shi, Zhenwei

    2018-03-01

    We propose a new paradigm for target detection in high resolution aerial remote sensing images under small target priors. Previous remote sensing target detection methods frame the detection as learning of detection model + inference of class-label and bounding-box coordinates. Instead, we formulate it from a Bayesian view that at inference stage, the detection model is adaptively updated to maximize its posterior that is determined by both training and observation. We call this paradigm "random access memories (RAM)." In this paradigm, "Memories" can be interpreted as any model distribution learned from training data and "random access" means accessing memories and randomly adjusting the model at detection phase to obtain better adaptivity to any unseen distribution of test data. By leveraging some latest detection techniques e.g., deep Convolutional Neural Networks and multi-scale anchors, experimental results on a public remote sensing target detection data set show our method outperforms several other state of the art methods. We also introduce a new data set "LEarning, VIsion and Remote sensing laboratory (LEVIR)", which is one order of magnitude larger than other data sets of this field. LEVIR consists of a large set of Google Earth images, with over 22 k images and 10 k independently labeled targets. RAM gives noticeable upgrade of accuracy (an mean average precision improvement of 1% ~ 4%) of our baseline detectors with acceptable computational overhead.

  6. Voltage induced magnetostrictive switching of nanomagnets: Strain assisted strain transfer torque random access memory

    International Nuclear Information System (INIS)

    Khan, Asif; Nikonov, Dmitri E.; Manipatruni, Sasikanth; Ghani, Tahir; Young, Ian A.

    2014-01-01

    A spintronic device, called the “strain assisted spin transfer torque (STT) random access memory (RAM),” is proposed by combining the magnetostriction effect and the spin transfer torque effect which can result in a dramatic improvement in the energy dissipation relative to a conventional STT-RAM. Magnetization switching in the device which is a piezoelectric-ferromagnetic heterostructure via the combined magnetostriction and STT effect is simulated by solving the Landau-Lifshitz-Gilbert equation incorporating the influence of thermal noise. The simulations show that, in such a device, each of these two mechanisms (magnetostriction and spin transfer torque) provides in a 90° rotation of the magnetization leading a deterministic 180° switching with a critical current significantly smaller than that required for spin torque alone. Such a scheme is an attractive option for writing magnetic RAM cells.

  7. Voltage induced magnetostrictive switching of nanomagnets: Strain assisted strain transfer torque random access memory

    Science.gov (United States)

    Khan, Asif; Nikonov, Dmitri E.; Manipatruni, Sasikanth; Ghani, Tahir; Young, Ian A.

    2014-06-01

    A spintronic device, called the "strain assisted spin transfer torque (STT) random access memory (RAM)," is proposed by combining the magnetostriction effect and the spin transfer torque effect which can result in a dramatic improvement in the energy dissipation relative to a conventional STT-RAM. Magnetization switching in the device which is a piezoelectric-ferromagnetic heterostructure via the combined magnetostriction and STT effect is simulated by solving the Landau-Lifshitz-Gilbert equation incorporating the influence of thermal noise. The simulations show that, in such a device, each of these two mechanisms (magnetostriction and spin transfer torque) provides in a 90° rotation of the magnetization leading a deterministic 180° switching with a critical current significantly smaller than that required for spin torque alone. Such a scheme is an attractive option for writing magnetic RAM cells.

  8. Accessing memory

    Science.gov (United States)

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  9. Beta irradiation as a method of the static MOS RAM memories processing and circuit design verification

    International Nuclear Information System (INIS)

    Wislowski, J.; Jagusztyn, M.

    1985-01-01

    1K NMOS RAM's in plastic packages were investigated after beta irradiation up to 100 Gy (Si) total dose. The memory samples differed as regards processing details and circuit design. Radioisotope beta sources were used for irradiation as the most safe and least expensive. A new version of the model of radiation-induced functional degradation of MOS RAM's has been proposed. 19 refs., 8 figs., 5 tabs. (author)

  10. SQL-RAMS

    Science.gov (United States)

    Alfaro, Victor O.; Casey, Nancy J.

    2005-01-01

    SQL-RAMS (where "SQL" signifies Structured Query Language and "RAMS" signifies Rocketdyne Automated Management System) is a successor to the legacy version of RAMS -- a computer program used to manage all work, nonconformance, corrective action, and configuration management on rocket engines and ground support equipment at Stennis Space Center. The legacy version resided in the File-Maker Pro software system and was constructed in modules that could act as standalone programs. There was little or no integration among modules. Because of limitations on file-management capabilities in FileMaker Pro, and because of difficulty of integration of FileMaker Pro with other software systems for exchange of data using such industry standards as SQL, the legacy version of RAMS proved to be limited, and working to circumvent its limitations too time-consuming. In contrast, SQL-RAMS is an integrated SQL-server-based program that supports all data-exchange software industry standards. Whereas in the legacy version, it was necessary to access individual modules to gain insight into a particular workstatus document, SQL-RAMS provides access through a single-screen presentation of core modules. In addition, SQL-RAMS enables rapid and efficient filtering of displayed statuses by predefined categories and test numbers. SQL-RAMS is rich in functionality and encompasses significant improvements over the legacy system. It provides users the ability to perform many tasks, which in the past required administrator intervention. Additionally, many of the design limitations have been corrected, allowing for a robust application that is user centric.

  11. FPS-RAM: Fast Prefix Search RAM-Based Hardware for Forwarding Engine

    Science.gov (United States)

    Zaitsu, Kazuya; Yamamoto, Koji; Kuroda, Yasuto; Inoue, Kazunari; Ata, Shingo; Oka, Ikuo

    Ternary content addressable memory (TCAM) is becoming very popular for designing high-throughput forwarding engines on routers. However, TCAM has potential problems in terms of hardware and power costs, which limits its ability to deploy large amounts of capacity in IP routers. In this paper, we propose new hardware architecture for fast forwarding engines, called fast prefix search RAM-based hardware (FPS-RAM). We designed FPS-RAM hardware with the intent of maintaining the same search performance and physical user interface as TCAM because our objective is to replace the TCAM in the market. Our RAM-based hardware architecture is completely different from that of TCAM and has dramatically reduced the costs and power consumption to 62% and 52%, respectively. We implemented FPS-RAM on an FPGA to examine its lookup operation.

  12. Position sensitive regions in a generic radiation sensor based on single event upsets in dynamic RAMs

    International Nuclear Information System (INIS)

    Darambara, D.G.; Spyrou, N.M.

    1997-01-01

    Modern integrated circuits are highly complex systems and, as such, are susceptible to occasional failures. Semiconductor memory devices, particularly dynamic random access memories (dRAMs), are subject to random, transient single event upsets (SEUs) created by energetic ionizing radiation. These radiation-induced soft failures in the stored data of silicon based memory chips provide the foundation for a new, highly efficient, low cost generic radiation sensor. The susceptibility and the detection efficiency of a given dRAM device to SEUs is a complicated function of the circuit design and geometry, the operating conditions and the physics of the charge collection mechanisms involved. Typically, soft error rates measure the cumulative response of all sensitive regions of the memory by broad area chip exposure in ionizing radiation environments. However, this study shows that many regions of a dynamic memory are competing charge collection centres having different upset thresholds. The contribution to soft fails from discrete regions or individual circuit elements of the memory device is unambiguously separated. Hence the use of the dRAM as a position sensitive radiation detector, with high spatial resolution, is assessed and demonstrated. (orig.)

  13. Ferroelectric-gate field effect transistor memories device physics and applications

    CERN Document Server

    Ishiwara, Hiroshi; Okuyama, Masanori; Sakai, Shigeki; Yoon, Sung-Min

    2016-01-01

    This book provides comprehensive coverage of the materials characteristics, process technologies, and device operations for memory field-effect transistors employing inorganic or organic ferroelectric thin films. This transistor-type ferroelectric memory has interesting fundamental device physics and potentially large industrial impact. Among the various applications of ferroelectric thin films, the development of nonvolatile ferroelectric random access memory (FeRAM) has progressed most actively since the late 1980s and has achieved modest mass production levels for specific applications since 1995. There are two types of memory cells in ferroelectric nonvolatile memories. One is the capacitor-type FeRAM and the other is the field-effect transistor (FET)-type FeRAM. Although the FET-type FeRAM claims ultimate scalability and nondestructive readout characteristics, the capacitor-type FeRAMs have been the main interest for the major semiconductor memory companies, because the ferroelectric FET has fatal handic...

  14. State-Transition-Aware Spilling Heuristic for MLC STT-RAM-Based Registers

    Directory of Open Access Journals (Sweden)

    Yuanhui Ni

    2017-01-01

    Full Text Available Multilevel Cell Spin-Transfer Torque Random Access Memory (MLC STT-RAM is a promising nonvolatile memory technology to build registers for its natural immunity to electromagnetic radiation in rad-hard space environment. Unlike traditional SRAM-based registers, MLC STT-RAM exhibits unbalanced write state transitions due to the fact that the magnetization directions of hard and soft domains cannot be flipped independently. This feature leads to nonuniform costs of write states in terms of latency and energy. However, current SRAM-targeting register allocations do not have a clear understanding of the impact of the different write state-transition costs. As a result, those approaches heuristically select variables to be spilled without considering the spilling priority imposed by MLC STT-RAM. Aiming to address this limitation, this paper proposes a state-transition-aware spilling cost minimization (SSCM policy, to save power when MLC STT-RAM is employed in register design. Specifically, the spilling cost model is first constructed according to the linear combination of different state-transition frequencies. Directed by the proposed cost model, the compiler picks up spilling candidates to achieve lower power and higher performance. Experimental results show that the proposed SSCM technique can save energy by 19.4% and improve the lifetime by 23.2% of MLC STT-RAM-based register design.

  15. Metal oxide resistive random access memory based synaptic devices for brain-inspired computing

    Science.gov (United States)

    Gao, Bin; Kang, Jinfeng; Zhou, Zheng; Chen, Zhe; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan

    2016-04-01

    The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT), and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non-parallel data processing, and the buses requirement between memory units and logic units. The brain-inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain-inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM-based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low-energy training behavior are provided. A three-dimensional vertical synapse network architecture is proposed for high-density integration and low-cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.

  16. Test CMOS/SOS RAM for transient radiation upset comparative research and failure analysis

    International Nuclear Information System (INIS)

    Nikiforov, A.Y.; Poljakov, I.V.

    1995-01-01

    The test Complementary Metal-Oxide-Semiconductor/Silicon-on-Sapphire Random Access Memory (CMOS/SOS RAM) with eight types of memory cells was designed and tested at high dose rates with a flash X-ray machine and laser simulator. The memory cell (MC) design with additional transistors and RC-chain was found to be upset free up to 2 x 10 12 rad(Si)/s. An inversion effect was discovered in which almost 100% logic upset was observed in poorly protected memory cell arrays at very high dose rates

  17. Atomic memory access hardware implementations

    Science.gov (United States)

    Ahn, Jung Ho; Erez, Mattan; Dally, William J

    2015-02-17

    Atomic memory access requests are handled using a variety of systems and methods. According to one example method, a data-processing circuit having an address-request generator that issues requests to a common memory implements a method of processing the requests using a memory-access intervention circuit coupled between the generator and the common memory. The method identifies a current atomic-memory access request from a plurality of memory access requests. A data set is stored that corresponds to the current atomic-memory access request in a data storage circuit within the intervention circuit. It is determined whether the current atomic-memory access request corresponds to at least one previously-stored atomic-memory access request. In response to determining correspondence, the current request is implemented by retrieving data from the common memory. The data is modified in response to the current request and at least one other access request in the memory-access intervention circuit.

  18. Performance analysis of STT-RAM with cross shaped free layer using Heusler alloys

    Science.gov (United States)

    Bharat Kumary, Tangudu; Ghosh, Bahniman; Awadhiya, Bhaskar; Verma, Ankit Kumar

    2016-01-01

    We have investigated the performance of a spin transfer torque random access memory (STT-RAM) cell with a cross shaped Heusler compound based free layer using micromagnetic simulations. We have designed a free layer using a Cobalt based Heusler compound. Simulation results clearly show that the switching time from one state to the other state has been reduced, also it has been found that the critical switching current density (to switch the magnetization of the free layer of the STT RAM cell) is reduced.

  19. A graphite based STT-RAM cell with reduction in switching current

    Science.gov (United States)

    Varghani, Ali; Peiravi, Ali

    2015-10-01

    Spin Transfer Torque Random Access Memory (STT-RAM) is a serious candidate for "universal memory" because of its non-volatility, fast access time, high density, good scalability, high endurance and relatively low power dissipation. However, problems with low write speed and large write current are important existing challenges in STT-RAM design and there is a tradeoff between them and data retention time. In this study, a novel STT-RAM cell structure which uses perfect graphite based Magnetic Tunnel Junction (MTJ) is proposed. First, the cross-section of the structure is selected to be an ellipse of 45 nm and 180 nm dimensions and a six-layer graphite is used as tunnel barrier. By passing a lateral current with a short pulse width (before applying STT current and independent of it) through four middle graphene layers of the tunnel barrier, a 27% reduction in the amplitude of the switching current (for fast switching time of 2 ns) or a 58% reduction in its pulse width is achieved without any reduction in data retention time. Finally, the effect of downscaling of technology on the proposed structure is evaluated. A reduction of 31.6% and 9% in switching current is achieved for 90 and 22 nm cell width respectively by passing sufficient current (100 μA with 0.1 ns pulse width) through the tunnel barrier. Simulations are done using Object Oriented Micro Magnetic Framework (OOMMF).

  20. Integration of SrBi2Ta2O9 thin films for high density ferroelectric random access memory

    Science.gov (United States)

    Wouters, D. J.; Maes, D.; Goux, L.; Lisoni, J. G.; Paraschiv, V.; Johnson, J. A.; Schwitters, M.; Everaert, J.-L.; Boullart, W.; Schaekers, M.; Willegems, M.; Vander Meeren, H.; Haspeslagh, L.; Artoni, C.; Caputa, C.; Casella, P.; Corallo, G.; Russo, G.; Zambrano, R.; Monchoix, H.; Vecchio, G.; Van Autryve, L.

    2006-09-01

    Ferroelectric random access memory (FeRAM) is an attractive candidate technology for embedded nonvolatile memory, especially in applications where low power and high program speed are important. Market introduction of high-density FeRAM is, however, lagging behind standard complementary metal-oxide semiconductor (CMOS) because of the difficult integration technology. This paper discusses the major integration issues for high-density FeRAM, based on SrBi2Ta2O9 (strontium bismuth tantalate or SBT), in relation to the fabrication of our stacked cell structure. We have worked in the previous years on the development of SBT-FeRAM integration technology, based on a so-called pseudo-three-dimensional (3D) cell, with a capacitor that can be scaled from quasi two-dimensional towards a true three-dimensional capacitor where the sidewalls will importantly contribute to the signal. In the first phase of our integration development, we integrated our FeRAM cell in a 0.35μm CMOS technology. In a second phase, then, possibility of scaling of our cell is demonstrated in 0.18μm technology. The excellent electrical and reliability properties of the small integrated ferroelectric capacitors prove the feasibility of the technology, while the verification of the potential 3D effect confirms the basic scaling potential of our concept beyond that of the single-mask capacitor. The paper outlines the different material and technological challenges, and working solutions are demonstrated. While some issues are specific to our own cell, many are applicable to different stacked FeRAM cell concepts, or will become more general concerns when more developments are moving into 3D structures.

  1. An automatic analyzer of solid state nuclear track detectors using an optic RAM as image sensor

    International Nuclear Information System (INIS)

    Staderini, E.M.; Castellano, A.

    1986-01-01

    An optic RAM is a conventional digital random access read/write dynamic memory device featuring a quartz windowed package and memory cells regularly ordered on the chip. Such a device is used as an image sensor because each cell retains data stored in it for a time depending on the intensity of the light incident on the cell itself. The authors have developed a system which uses an optic RAM to acquire and digitize images from electrochemically etched CR39 solid state nuclear track detectors (SSNTD) in the track count rate up to 5000 cm -2 . On the digital image so obtained, a microprocessor, with appropriate software, performs image analysis, filtering, tracks counting and evaluation. (orig.)

  2. All-optical clocked flip-flops and random access memory cells using the nonlinear polarization rotation effect of low-polarization-dependent semiconductor optical amplifiers

    Science.gov (United States)

    Wang, Yongjun; Liu, Xinyu; Tian, Qinghua; Wang, Lina; Xin, Xiangjun

    2018-03-01

    Basic configurations of various all-optical clocked flip-flops (FFs) and optical random access memory (RAM) based on the nonlinear polarization rotation (NPR) effect of low-polarization-dependent semiconductor optical amplifiers (SOA) are proposed. As the constituent elements, all-optical logic gates and all-optical SR latches are constructed by taking advantage of the SOA's NPR switch. Different all-optical FFs (AOFFs), including SR-, D-, T-, and JK-types as well as an optical RAM cell were obtained by the combination of the proposed all-optical SR latches and logic gates. The effectiveness of the proposed schemes were verified by simulation results and demonstrated by a D-FF and 1-bit RAM cell experimental system. The proposed all-optical clocked FFs and RAM cell are significant to all-optical signal processing.

  3. A fully operational 1-kb variable threshold Josephson RAM

    International Nuclear Information System (INIS)

    Kurosawa, I.; Nakagawa, H.; Aoyagi, M.; Kosaks, S.; Takada, S.

    1991-01-01

    This paper describes the first fully operational Josephson RAM in LSI level integration. The chip was designed as a 4-b x 256-word data RAM unit for a 4-b Josephson computer, The variable threshold memory cell and the relating memory architecture were used. They are so simple in structure that the fabrication is satisfied by the current Josephson junction technology. A directly coupled driver gate for a resistive bit line applies an accurate and stable driving current to the memory cell array. The RAM chip was fabricated with a 3-μm Nb/Al-oxide/Nb junction technology. For obtaining reliable RAM chips, a plasma-enhanced CVD silicon dioxide layer was introduced for insulation between the ground plane and the base electrode. The thermal uniformity of the wafer was improved during the oxidation process for making a tunnel barrier in this work

  4. Resistive Random Access Memory from Materials Development fnd Engineering to Novel Encryption and Neuromorphic Applications

    Science.gov (United States)

    Beckmann, Karsten

    Resistive random access memory (ReRAM or RRAM) is a novel form of non-volatile memory that is expected to play a major role in future computing and memory solutions. It has been shown that the resistance state of ReRAM devices can be precisely tuned by modulating switching voltages, by limiting peak current, and by adjusting the switching pulse properties. This enables the realization of novel applications such as memristive neuromorphic computing and neural network computing. I have developed two processes based on 100 and 300mm wafer platforms to demonstrate functional HfO2 based ReRAM devices. The first process is designed for a rapid materials engineering and device characterization, while the second is an advanced hybrid ReRAM/CMOS combination based on the IBM 65nm 10LPe process technology. The 100mm wafer efforts were used to show impacts of etch processes on ReRAM switching performance and the need for a rigorous structural evaluation of ReRAM devices before starting materials development. After an etch development, a bottom electrode comparison between the inert materials Pt, Ru and W was performed where Ru showed superior results with respect to yield and resilience against environmental impacts such as humidity over a 2-month period. A comparison of amorphous and crystalline devices showed no statistical difference in the performance with respect to random telegraph noise. This demonstrates, that the forming process fundamentally alters the crystallographic structure within and around the filament. The 300mm wafer development efforts were aimed towards implementing ReRAM in the FEOL, combined with CMOS, to yield a seamless process flow of 1 transistor 1 ReRAM structures (1T1R). This technology was customized with custom-developed tungsten metal 1 (M1) and dual tungsten/copper via 1 (V1) structures, within which the ReRAM stack is embedded. The ReRAM itself consists of an inert W bottom electrode, HfO2 based active switching layer, a Ti oxygen scavenger

  5. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  6. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  7. Surface-Controlled Metal Oxide Resistive Memory

    KAUST Repository

    Ke, Jr-Jian

    2015-10-28

    To explore the surface effect on resistive random-access memory (ReRAM), the impact of surface roughness on the characteristics of ZnO ReRAM were studied. The thickness-independent resistance and the higher switching probability of ZnO ReRAM with rough surfaces indicate the importance of surface oxygen chemisorption on the switching process. Furthermore, the improvements in switching probability, switching voltage and resistance distribution observed for ReRAM with rough surfaces can be attributed to the stable oxygen adatoms under various ambience conditions. The findings validate the surface-controlled stability and uniformity of ReRAM and can serve as the guideline for developing practical device applications.

  8. In-Depth Analysis of Computer Memory Acquisition Software for Forensic Purposes.

    Science.gov (United States)

    McDown, Robert J; Varol, Cihan; Carvajal, Leonardo; Chen, Lei

    2016-01-01

    The comparison studies on random access memory (RAM) acquisition tools are either limited in metrics or the selected tools were designed to be executed in older operating systems. Therefore, this study evaluates widely used seven shareware or freeware/open source RAM acquisition forensic tools that are compatible to work with the latest 64-bit Windows operating systems. These tools' user interface capabilities, platform limitations, reporting capabilities, total execution time, shared and proprietary DLLs, modified registry keys, and invoked files during processing were compared. We observed that Windows Memory Reader and Belkasoft's Live Ram Capturer leaves the least fingerprints in memory when loaded. On the other hand, ProDiscover and FTK Imager perform poor in memory usage, processing time, DLL usage, and not-wanted artifacts introduced to the system. While Belkasoft's Live Ram Capturer is the fastest to obtain an image of the memory, Pro Discover takes the longest time to do the same job. © 2015 American Academy of Forensic Sciences.

  9. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    P.A. Boncz (Peter); M.L. Kersten (Martin); S. Manegold (Stefan)

    2008-01-01

    textabstractIn the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how

  10. Origin of the OFF state variability in ReRAM cells

    International Nuclear Information System (INIS)

    Salaoru, Iulia; Khiat, Ali; Li, Qingjiang; Prodromakis, Themistoklis; Berdan, Radu; Papavassiliou, Christos

    2014-01-01

    This work exploits the switching dynamics of nanoscale resistive random access memory (ReRAM) cells with particular emphasis on the origin of the observed variability when cells are consecutively cycled/programmed at distinct memory states. It is demonstrated that this variance is a common feature of all ReRAM elements and is ascribed to the formation and rupture of conductive filaments that expand across the active core, independently of the material employed as the active switching core, the causal physical switching mechanism, the switching mode (bipolar/unipolar) or even the unit cells' dimensions. Our hypothesis is supported through both experimental and theoretical studies on TiO 2 and In 2 O 3  : SnO 2 (ITO) based ReRAM cells programmed at three distinct resistive states. Our prototypes employed TiO 2 or ITO active cores over 5 × 5 µm 2 and 100 × 100 µm 2 cell areas, with all tested devices demonstrating both unipolar and bipolar switching modalities. In the case of TiO 2 -based cells, the underlying switching mechanism is based on the non-uniform displacement of ionic species that foster the formation of conductive filaments. On the other hand, the resistive switching observed in the ITO-based devices is considered to be due to a phase change mechanism. The selected experimental parameters allowed us to demonstrate that the observed programming variance is a common feature of all ReRAM devices, proving that its origin is dependent upon randomly oriented local disorders within the active core that have a substantial impact on the overall state variance, particularly for high-resistive states. (paper)

  11. An energy and cost efficient majority-based RAM cell in quantum-dot cellular automata

    Science.gov (United States)

    Khosroshahy, Milad Bagherian; Moaiyeri, Mohammad Hossein; Navi, Keivan; Bagherzadeh, Nader

    Nanotechnologies, notably quantum-dot cellular automata, have achieved major attentions for their prominent features as compared to the conventional CMOS circuitry. Quantum-dot cellular automata, particularly owning to its considerable reduction in size, high switching speed and ultra-low energy consumption, is considered as a potential alternative for the CMOS technology. As the memory unit is one of the most essential components in a digital system, designing a well-optimized QCA random access memory (RAM) cell is an important area of research. In this paper, a new five-input majority gate is presented which is suitable for implementing efficient single-layer QCA circuits. In addition, a new RAM cell with set and reset capabilities is designed based on the proposed majority gate, which has an efficient and low-energy structure. The functionality, performance and energy consumption of the proposed designs are evaluated based on the QCADesigner and QCAPro tools. According to the simulation results, the proposed RAM design leads to on average 38% lower total energy dissipation, 25% smaller area, 20% lower cell count, 28% lower delay and 60% lower QCA cost as compared to its previous counterparts.

  12. Steady state gamma testing of a 4K NMOS dynamic RAM

    International Nuclear Information System (INIS)

    Coleman, D.W.; Temkin, B.M.

    1976-01-01

    Samples of the Texas Instruments TMS4060JL, 4096 bit dynamic random-access memory (4K RAM) were tested in the ionizing environment of a 137 Ce source. Irradiated in an active condition, the devices were observed to fail at 1 x 10 3 rads (Si). Twenty-four hours after irradiation, 4 of the 5 devices tested were again functional. The devices were not powered and were at room temperature during the 24 hour anneal period

  13. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    Boncz, P.A.; Kersten, M.L.; Manegold, S.

    2008-01-01

    In the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how research around

  14. Ion beam synthesis of indium-oxide nanocrystals for improvement of oxide resistive random-access memories

    Science.gov (United States)

    Bonafos, C.; Benassayag, G.; Cours, R.; Pécassou, B.; Guenery, P. V.; Baboux, N.; Militaru, L.; Souifi, A.; Cossec, E.; Hamga, K.; Ecoffey, S.; Drouin, D.

    2018-01-01

    We report on the direct ion beam synthesis of a delta-layer of indium oxide nanocrystals (In2O3-NCs) in silica matrices by using ultra-low energy ion implantation. The formation of the indium oxide phase can be explained by (i) the affinity of indium with oxygen, (ii) the generation of a high excess of oxygen recoils generated by the implantation process in the region where the nanocrystals are formed and (iii) the proximity of the indium-based nanoparticles with the free surface and oxidation from the air. Taking advantage of the selective diffusivity of implanted indium in SiO2 with respect to Si3N4, In2O3-NCs have been inserted in the SiO2 switching oxide of micrometric planar oxide-based resistive random access memory (OxRAM) devices fabricated using the nanodamascene process. Preliminary electrical measurements show switch voltage from high to low resistance state. The devices with In2O3-NCs have been cycled 5 times with identical operating voltages and RESET current meanwhile no switch has been observed for non implanted devices. This first measurement of switching is very promising for the concept of In2O3-NCs based OxRAM memories.

  15. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    Science.gov (United States)

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  16. Membangun Sistem Linux Mandrake Minimal Menggunakan Inisial Disk Ram

    OpenAIRE

    Wagito, Wagito

    2006-01-01

    Minimal Linux system is commonly used for special systems like router, gateway, Linux installer and diskless Linux system. Minimal Linux system is a Linux system that use a few facilities of all Linux capabilities. Mandrake Linux, as one of Linux distribution is able to perform minimal Linux system. RAM is a computer resource that especially used as main memory. A part of RAM's function can be changed into disk called RAM disk. This RAM disk can be used to run the Linux system. This ...

  17. Switching behavior of resistive change memory using oxide nanowires

    Science.gov (United States)

    Aono, Takashige; Sugawa, Kosuke; Shimizu, Tomohiro; Shingubara, Shoso; Takase, Kouichi

    2018-06-01

    Resistive change random access memory (ReRAM), which is expected to be the next-generation nonvolatile memory, often has wide switching voltage distributions due to many kinds of conductive filaments. In this study, we have tried to suppress the distribution through the structural restriction of the filament-forming area using NiO nanowires. The capacitor with Ni metal nanowires whose surface is oxidized showed good switching behaviors with narrow distributions. The knowledge gained from our study will be very helpful in producing practical ReRAM devices.

  18. Organic Ferroelectric-Based 1T1T Random Access Memory Cell Employing a Common Dielectric Layer Overcoming the Half-Selection Problem.

    Science.gov (United States)

    Zhao, Qiang; Wang, Hanlin; Ni, Zhenjie; Liu, Jie; Zhen, Yonggang; Zhang, Xiaotao; Jiang, Lang; Li, Rongjin; Dong, Huanli; Hu, Wenping

    2017-09-01

    Organic electronics based on poly(vinylidenefluoride/trifluoroethylene) (P(VDF-TrFE)) dielectric is facing great challenges in flexible circuits. As one indispensable part of integrated circuits, there is an urgent demand for low-cost and easy-fabrication nonvolatile memory devices. A breakthrough is made on a novel ferroelectric random access memory cell (1T1T FeRAM cell) consisting of one selection transistor and one ferroelectric memory transistor in order to overcome the half-selection problem. Unlike complicated manufacturing using multiple dielectrics, this system simplifies 1T1T FeRAM cell fabrication using one common dielectric. To achieve this goal, a strategy for semiconductor/insulator (S/I) interface modulation is put forward and applied to nonhysteretic selection transistors with high performances for driving or addressing purposes. As a result, high hole mobility of 3.81 cm 2 V -1 s -1 (average) for 2,6-diphenylanthracene (DPA) and electron mobility of 0.124 cm 2 V -1 s -1 (average) for N,N'-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDI-FCN 2 ) are obtained in selection transistors. In this work, we demonstrate this technology's potential for organic ferroelectric-based pixelated memory module fabrication. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Windsock memory COnditioned RAM (CO-RAM) pressure effect: Forced reconnection in the Earth's magnetotail

    Science.gov (United States)

    Vörös, Z.; Facskó, G.; Khodachenko, M.; Honkonen, I.; Janhunen, P.; Palmroth, M.

    2014-08-01

    Magnetic reconnection (MR) is a key physical concept explaining the addition of magnetic flux to the magnetotail and closed flux lines back-motion to the dayside magnetosphere. This scenario elaborated by Dungey (1963) can explain many aspects of solar wind-magnetosphere interaction processes, including substorms. However, neither the Dungey model nor its numerous modifications were able to explain fully the onset conditions for MR in the tail. In this paper, we introduce new onset conditions for forced MR in the tail. We call our scenario the "windsock memory conditioned ram pressure effect." Our nonflux transfer-associated forcing is introduced by a combination of the large-scale windsock motions exhibiting memory effects and solar wind dynamic pressure actions on the nightside magnetopause during northward oriented interplanetary magnetic field (IMF). Using global MHD Grand Unified Magnetosphere Ionosphere Coupling Simulation version 4 simulation results, upstream data from Wind, magnetosheath data from Cluster 1 and distant tail data from the two-probe Acceleration, Reconnection, Turbulence and Electrodynamics of the Moon's Interaction with the Sun mission, we show that the simultaneous occurrence of vertical windsock motions of the magnetotail and enhanced solar wind dynamic pressure introduces strong nightside disturbances, including enhanced electric fields and persistent vertical cross-tail shear flows. These perturbations, associated with a stream interaction region in the solar wind, drive MR in the tail during episodes of northward oriented interplanetary magnetic field (IMF). We detect MR indirectly, observing plasmoids in the tail and ground-based signatures of earthward moving fast flows. We also consider the application to solar system planets and close-in exoplanets, where the proposed scenario can elucidate some new aspects of solar/stellar wind-magnetosphere interactions.

  20. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  1. A Novel Ni/WOX/W Resistive Random Access Memory with Excellent Retention and Low Switching Current

    Science.gov (United States)

    Chien, Wei-Chih; Chen, Yi-Chou; Lee, Feng-Ming; Lin, Yu-Yu; Lai, Erh-Kun; Yao, Yeong-Der; Gong, Jeng; Horng, Sheng-Fu; Yeh, Chiao-Wen; Tsai, Shih-Chang; Lee, Ching-Hsiung; Huang, Yu-Kai; Chen, Chun-Fu; Kao, Hsiao-Feng; Shih, Yen-Hao; Hsieh, Kuang-Yeu; Lu, Chih-Yuan

    2011-04-01

    The behavior of WOX resistive random access memory (ReRAM) is a strong function of the top electrode material, which controls the conduction mechanism and the forming process. When using a top electrode with low work function, the current conduction is limited by space charges. On the other hand, the mechanism becomes thermionic emission for devices with a high work function top electrode. These (thermionic) devices are also found to have higher initial resistance, reduced forming current, and larger resistance window. Based on these insights and considering the compatibility to complementary metal-oxide-semiconductor (CMOS) process, we proposed to use Ni as the top electrode for high performance WOX ReRAM devices. The new Ni/WOX/W device can be switched at a low current density less than 8×105 A/cm2, with RESET/SET resistance ratio greater than 100, and extremely good data retention of more than 300 years at 85 °C.

  2. Microprocessor- and LSI-based CAMAC RAM controllers in a modular multiparameter instrumentation system

    International Nuclear Information System (INIS)

    Skarda, V.

    1982-01-01

    This contribution deals with the main features and with the hardware modifications of RAM controllers in the dual-port accessed CAMAC memory modules that have been applied in a modular multichannel analyzer instrumentation system. The modules described in this paper are fully compatible with the CAMAC standard EUR 4100 and with the COMPEX draft proposal of the ESONE Study Group, as well. Special attention is paid to the possible application of modern LSI bipolar circuits. (orig.)

  3. Experimental Results and Issues on Equalization for Nonlinear Memory Channel: Pre-Cursor Enhanced Ram-DFE Canceler

    Science.gov (United States)

    Yuan, Lu; LeBlanc, James

    1998-01-01

    This thesis investigates the effects of the High Power Amplifier (HPA) and the filters over a satellite or telemetry channel. The Volterra series expression is presented for the nonlinear channel with memory, and the algorithm is based on the finite-state machine model. A RAM-based algorithm operating on the receiver side, Pre-cursor Enhanced RAM-FSE Canceler (PERC) is developed. A high order modulation scheme , 16-QAM is used for simulation, the results show that PERC provides an efficient and reliable method to transmit data on the bandlimited nonlinear channel. The contribution of PERC algorithm is that it includes both pre-cursors and post-cursors as the RAM address lines, and suggests a new way to make decision on the pre-addresses. Compared with the RAM-DFE structure that only includes post- addresses, the BER versus Eb/NO performance of PERC is substantially enhanced. Experiments are performed for PERC algorithms with different parameters on AWGN channels, and the results are compared and analyzed. The investigation of this thesis includes software simulation and hardware verification. Hardware is setup to collect actual TWT data. Simulation on both the software-generated data and the real-world data are performed. Practical limitations are considered for the hardware collected data. Simulation results verified the reliability of the PERC algorithm. This work was conducted at NMSU in the Center for Space Telemetering and Telecommunications Systems in the Klipsch School of Electrical and Computer Engineering Department.

  4. Radiation-hardened nonvolatile MNOS RAM

    International Nuclear Information System (INIS)

    Wrobel, T.F.; Dodson, W.H.; Hash, G.L.; Jones, R.V.; Nasby, R.D.; Olson, R.J.

    1983-01-01

    A radiation hardened nonvolatile MNOS RAM is being developed at Sandia National Laboratories. The memory organization is 128 x 8 bits and utilizes two p-channel MNOS transistors per memory cell. The peripheral circuitry is constructed with CMOS metal gate and is processed with standard Sandia rad-hard processing techniques. The devices have memory retention after a dose-rate exposure of 1E12 rad(Si)/s, are functional after total dose exposure of 1E6 rad(Si), and are dose-rate upset resistant to levels of 7E8 rad(Si)/s

  5. Alpha-particle-induced soft errors in high speed bipolar RAM

    International Nuclear Information System (INIS)

    Mitsusada, Kazumichi; Kato, Yukio; Yamaguchi, Kunihiko; Inadachi, Masaaki

    1980-01-01

    As bipolar RAM (Random Access Memory) has been improved to a fast acting and highly integrated device, the problems negligible in the past have become the ones that can not be ignored. The problem of a-particles emitted from the radioactive substances in semiconductor package materials should be specifically noticed, which cause soft errors. The authors have produced experimentally the special 1 kbit bipolar RAM to investigate its soft errors. The package used was the standard 16 pin dual in-line type, with which the practical system mounting test and a-particle irradiation test have been performed. The results showed the occurrence of soft errors at the average rate of about 1 bit/700 device hour. It is concluded that the cause was due to the a-particles emitted from the package materials, and at the same time, it was found that the rate of soft error occurrence was able to be greatly reduced by shielding a-particles. The error rate significantly increased with the decrease of the stand-by current of memory cells and with the accumulated charge determined by time constant. The mechanism of soft error was also investigated, for which an approximate model to estimate the error rate by means of the effective noise charge due to a-particles and of the amount of reversible charges of memory cells is shown to compare it with the experimental results. (Wakatsuki, Y.)

  6. Memory-based frame synchronizer. [for digital communication systems

    Science.gov (United States)

    Stattel, R. J.; Niswander, J. K. (Inventor)

    1981-01-01

    A frame synchronizer for use in digital communications systems wherein data formats can be easily and dynamically changed is described. The use of memory array elements provide increased flexibility in format selection and sync word selection in addition to real time reconfiguration ability. The frame synchronizer comprises a serial-to-parallel converter which converts a serial input data stream to a constantly changing parallel data output. This parallel data output is supplied to programmable sync word recognizers each consisting of a multiplexer and a random access memory (RAM). The multiplexer is connected to both the parallel data output and an address bus which may be connected to a microprocessor or computer for purposes of programming the sync word recognizer. The RAM is used as an associative memory or decorder and is programmed to identify a specific sync word. Additional programmable RAMs are used as counter decoders to define word bit length, frame word length, and paragraph frame length.

  7. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  8. A graphite based STT-RAM cell with reduction in switching current

    International Nuclear Information System (INIS)

    Varghani, Ali; Peiravi, Ali

    2015-01-01

    Spin Transfer Torque Random Access Memory (STT-RAM) is a serious candidate for “universal memory” because of its non-volatility, fast access time, high density, good scalability, high endurance and relatively low power dissipation. However, problems with low write speed and large write current are important existing challenges in STT-RAM design and there is a tradeoff between them and data retention time. In this study, a novel STT-RAM cell structure which uses perfect graphite based Magnetic Tunnel Junction (MTJ) is proposed. First, the cross-section of the structure is selected to be an ellipse of 45 nm and 180 nm dimensions and a six-layer graphite is used as tunnel barrier. By passing a lateral current with a short pulse width (before applying STT current and independent of it) through four middle graphene layers of the tunnel barrier, a 27% reduction in the amplitude of the switching current (for fast switching time of 2 ns) or a 58% reduction in its pulse width is achieved without any reduction in data retention time. Finally, the effect of downscaling of technology on the proposed structure is evaluated. A reduction of 31.6% and 9% in switching current is achieved for 90 and 22 nm cell width respectively by passing sufficient current (100 µA with 0.1 ns pulse width) through the tunnel barrier. Simulations are done using Object Oriented Micro Magnetic Framework (OOMMF). - Highlights: • A new STT-RAM cell structure which uses perfect graphite based MTJ is proposed. • The amplitude of the switching current or its pulsewidth can be reduced without any sacrifice of data retention time. • The proposed design is down-scalable from 90 nm to 22 nm. • Micromagnetic simulations are done with OOMMF

  9. A ferroelectric memory technology for embedded LSI

    CERN Document Server

    Kunio, T

    1999-01-01

    We have developed an FeRAM (Ferroelectric Random Access Memory) embedded smart card LSI by using double metal 0.8- mu m CMOS technology. The smart-card has a 256-byte FeRAM macro and an 8-bit microcontroller. The FeRAM macro has the $9 performance of 10/sup 8/ endurance cycles and is half the size of an EEPROM macro. We have also developed a new CMVP (Capacitor on Meta/Via Stacked Plug) cell for an advanced FeRAM embedded LSI by using 0.25- mu m CMOS technology. $9 The ferroelectric capacitors of this cell are fabricated after the multiple interconnect is formed, and a cell area of 3.2 mu m/sup 2/ is obtained. (8 refs).

  10. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-04-24

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational voltages to achieve coercive electric fields, reduces the sol-gel coating cycles required (i.e., more cost-effective), and, fabrication wise, is more suitable for further scaling of lateral dimensions to the nano-scale due to the larger feature size-to-depth aspect ratio (critical for ultra-high density non-volatile memory applications). Utilizing the inverse proportionality between substrate\\'s thickness and its flexibility, traditional PZT based FeRAM on silicon is transformed through a transfer-less manufacturable process into a flexible form that matches organic electronics\\' flexibility while preserving the superior performance of silicon CMOS electronics. Each memory cell in a FeRAM array consists of two main elements; a select/access transistor, and a storage ferroelectric capacitor. Flexible transistors on silicon have already been reported. In this work, we focus on the storage ferroelectric capacitors, and report, for the first time, its performance after transformation into a flexible version, and assess its key memory parameters while bent at 0.5 cm minimum bending radius.

  11. Design of a 16 kbit superconducting latching/SFQ hybrid RAM

    International Nuclear Information System (INIS)

    Nagasawa, Shuichi; Hasegawa, Haruhiro; Hashimoto, Tatsunori; Suzuki, Hideo; Miyahara, Kazunori; Enomoto, Youichi

    1999-01-01

    We have designed a 16 kbit superconducting latching/SFQ hybrid (SLASH) RAM, which enables high-frequency clock operation up to 10 GHz. The 16 kbit SLASH RAM consists of four 4x4 matrix arrays of 256 bit RAM blocks, block decoders, latching block drivers, latching block senses, impedance matched lines and the powering circuits. The 256 bit RAM block is composed of a 16x16 matrix array of vortex transitional memory cells, latching drivers, SFQ NOR decoders and latching sense circuits. We have also designed and implemented an SFQ NOR decoder that is composed of magnetically coupled multi-input OR gates and RSFQ inverters. (author)

  12. MEMBANGUN SISTEM LINUX MANDRAKE MINIMAL MENGGUNAKAN INISIAL DISK RAM

    OpenAIRE

    Wagito, Wagito

    2009-01-01

            Minimal Linux system is commonly used for special systems like router, gateway, Linux installer and diskless Linux system. Minimal Linux system is a Linux system that use a few facilities of all Linux capabilities. Mandrake Linux, as one of Linux distribution is able to perform minimal Linux system.         RAM is a computer resource that especially used as main memory. A  part of RAM’s function can be changed into disk called RAM disk. This RAM disk can be used to run the Linux syste...

  13. A Built-In Self-Test Structure (BIST) for Resistive RAMs characterization: Application to bipolar OxRRAM

    Science.gov (United States)

    Aziza, H.; Bocquet, M.; Moreau, M.; Portal, J.-M.

    2015-01-01

    Resistive Random Access Memory (RRAM) is a form of nonvolatile storage that operates by changing the resistance of a specially formulated solid dielectric material [1]. Among RRAMs, oxide-based Resistive RAMs (so-called OxRRAMs) are promising candidates due their compatibility with CMOS processes and high ON/OFF resistance ratio. Common problems with OxRRAM are related to high variability in operating conditions and low yield. OxRRAM variability mainly impact ON/OFF resistance ratio. This ratio is a key parameter to determine the overall performance of an OxRRAM memory. In this context, the presented built-in structure allows collecting statistical data related to the OxRRAM memory array (ON/OFF resistance distributions) for reliability assessment of the technology.

  14. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Energy Technology Data Exchange (ETDEWEB)

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  15. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Science.gov (United States)

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  16. Atomistic mechanisms of ReRAM cell operation and reliability

    Science.gov (United States)

    Pandey, Sumeet C.

    2018-01-01

    We present results from first-principles-based modeling that captures functionally important physical phenomena critical to cell materials selection, operation, and reliability for resistance-switching memory technologies. An atomic-scale description of retention, the low- and high-resistance states (RS), and the sources of intrinsic cell-level variability in ReRAM is discussed. Through the results obtained from density functional theory, non-equilibrium Green’s function, molecular dynamics, and kinetic Monte Carlo simulations; the role of variable-charge vacancy defects and metal impurities in determining the RS, the LRS-stability, and electron-conduction in such RS is reported. Although, the statistical electrical characteristics of the oxygen-vacancy (Ox-ReRAM) and conductive-bridging RAM (M-ReRAM) are notably different, the underlying similar electrochemical phenomena describing retention and formation/dissolution of RS are being discussed.

  17. Method and apparatus for managing access to a memory

    Science.gov (United States)

    DeBenedictis, Erik

    2017-08-01

    A method and apparatus for managing access to a memory of a computing system. A controller transforms a plurality of operations that represent a computing job into an operational memory layout that reduces a size of a selected portion of the memory that needs to be accessed to perform the computing job. The controller stores the operational memory layout in a plurality of memory cells within the selected portion of the memory. The controller controls a sequence by which a processor in the computing system accesses the memory to perform the computing job using the operational memory layout. The operational memory layout reduces an amount of energy consumed by the processor to perform the computing job.

  18. Accessing forgotten memory traces from long-term memory via visual movements

    Directory of Open Access Journals (Sweden)

    Estela eCamara

    2014-11-01

    Full Text Available Because memory retrieval often requires overt responses, it is difficult to determine to what extend forgetting occurs as a problem in explicit accessing of long-term memory traces. In this study, we used eye-tracking measures in combination with a behavioural task that favoured high forgetting rates to investigate the existence of memory traces from long-term memory in spite of failure in accessing them consciously. In 2 experiments, participants were encouraged to encode a large set of sound-picture-location associations. In a later test, sounds were presented and participants were instructed to visually scan, before a verbal memory report, for the correct location of the associated pictures in an empty screen. We found the reactivation of associated memories by sound cues at test biased oculomotor behaviour towards locations congruent with memory representations, even when participants failed to consciously provide a memory report of it. These findings reveal the emergence of a memory-guided behaviour that can be used to map internal representations of forgotten memories from long-term memory.

  19. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  20. High Temperature Memories in SiC Technology

    OpenAIRE

    Ekström, Mattias

    2014-01-01

    This thesis is part of the Working On Venus (WOV) project. The aim of the project is to design electronics in silicon carbide (SiC) that can withstand the extreme surface environmen  of Venus. This thesis investigates some possible computer memory technologies that could survive on the surface of Venus. A memory must be able to function at 460 °C and after a total radiation dose of at least 200 Gy (SiC). This thesis is a literature survey. The thesis covers several Random-Access Memory (RAM) ...

  1. Hardware Compilation of Application-Specific Memory-Access Interconnect

    DEFF Research Database (Denmark)

    Venkataramani, Girish; Bjerregaard, Tobias; Chelcea, Tiberiu

    2006-01-01

    operations dependent on memory reads. More fundamental is that dependences between accesses may not be statically provable (e.g., if the specification language permits pointers), which introduces memory-consistency problems. Addressing these issues with static scheduling results in overly conservative...... enables specifications to include arbitrary memory references (e.g., pointers) and allows the memory system to incorporate features that might cause the latency of a memory access to vary dynamically. This results in raising the level of abstraction in the input specification, enabling faster design times...

  2. CEPRAM: Compression for Endurance in PCM RAM

    OpenAIRE

    González Alberquilla, Rodrigo; Castro Rodríguez, Fernando; Piñuel Moreno, Luis; Tirado Fernández, Francisco

    2017-01-01

    We deal with the endurance problem of Phase Change Memories (PCM) by proposing Compression for Endurance in PCM RAM (CEPRAM), a technique to elongate the lifespan of PCM-based main memory through compression. We introduce a total of three compression schemes based on already existent schemes, but targeting compression for PCM-based systems. We do a two-level evaluation. First, we quantify the performance of the compression, in terms of compressed size, bit-flips and how they are affected by e...

  3. Perfectly Secure Oblivious RAM without Random Oracles

    DEFF Research Database (Denmark)

    Damgård, Ivan Bjerre; Meldgaard, Sigurd Torkel; Nielsen, Jesper Buus

    2011-01-01

    We present an algorithm for implementing a secure oblivious RAM where the access pattern is perfectly hidden in the information theoretic sense, without assuming that the CPU has access to a random oracle. In addition we prove a lower bound on the amount of randomness needed for implementing...

  4. Self-Testing Static Random-Access Memory

    Science.gov (United States)

    Chau, Savio; Rennels, David

    1991-01-01

    Proposed static random-access memory for computer features improved error-detecting and -correcting capabilities. New self-testing scheme provides for detection and correction of errors at any time during normal operation - even while data being written into memory. Faults in equipment causing errors in output data detected by repeatedly testing every memory cell to determine whether it can still store both "one" and "zero", without destroying data stored in memory.

  5. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.; Zidan, Mohammed A.; Al-Nassar, Mohammed Y.; Hanna, Amir; Kosel, Jü rgen; Salama, Khaled N.; Hussain, Muhammad Mustafa

    2015-01-01

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational

  6. Error free physically unclonable function with programmed resistive random access memory using reliable resistance states by specific identification-generation method

    Science.gov (United States)

    Tseng, Po-Hao; Hsu, Kai-Chieh; Lin, Yu-Yu; Lee, Feng-Min; Lee, Ming-Hsiu; Lung, Hsiang-Lan; Hsieh, Kuang-Yeu; Chung Wang, Keh; Lu, Chih-Yuan

    2018-04-01

    A high performance physically unclonable function (PUF) implemented with WO3 resistive random access memory (ReRAM) is presented in this paper. This robust ReRAM-PUF can eliminated bit flipping problem at very high temperature (up to 250 °C) due to plentiful read margin by using initial resistance state and set resistance state. It is also promised 10 years retention at the temperature range of 210 °C. These two stable resistance states enable stable operation at automotive environments from -40 to 125 °C without need of temperature compensation circuit. The high uniqueness of PUF can be achieved by implementing a proposed identification (ID)-generation method. Optimized forming condition can move 50% of the cells to low resistance state and the remaining 50% remain at initial high resistance state. The inter- and intra-PUF evaluations with unlimited separation of hamming distance (HD) are successfully demonstrated even under the corner condition. The number of reproduction was measured to exceed 107 times with 0% bit error rate (BER) at read voltage from 0.4 to 0.7 V.

  7. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    Science.gov (United States)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  8. Notified Access: Extending Remote Memory Access Programming Models for Producer-Consumer Synchronization

    KAUST Repository

    Belli, Roberto; Hoefler, Torsten

    2015-01-01

    Remote Memory Access (RMA) programming enables direct access to low-level hardware features to achieve high performance for distributed-memory programs. However, the design of RMA programming schemes focuses on the memory access and less on the synchronization. For example, in contemporary RMA programming systems, the widely used producer-consumer pattern can only be implemented inefficiently, incurring in an overhead of an additional round-trip message. We propose Notified Access, a scheme where the target process of an access can receive a completion notification. This scheme enables direct and efficient synchronization with a minimum number of messages. We implement our scheme in an open source MPI-3 RMA library and demonstrate lower overheads (two cache misses) than other point-to-point synchronization mechanisms for each notification. We also evaluate our implementation on three real-world benchmarks, a stencil computation, a tree computation, and a Colicky factorization implemented with tasks. Our scheme always performs better than traditional message passing and other existing RMA synchronization schemes, providing up to 50% speedup on small messages. Our analysis shows that Notified Access is a valuable primitive for any RMA system. Furthermore, we provide guidance for the design of low-level network interfaces to support Notified Access efficiently.

  9. Notified Access: Extending Remote Memory Access Programming Models for Producer-Consumer Synchronization

    KAUST Repository

    Belli, Roberto

    2015-05-01

    Remote Memory Access (RMA) programming enables direct access to low-level hardware features to achieve high performance for distributed-memory programs. However, the design of RMA programming schemes focuses on the memory access and less on the synchronization. For example, in contemporary RMA programming systems, the widely used producer-consumer pattern can only be implemented inefficiently, incurring in an overhead of an additional round-trip message. We propose Notified Access, a scheme where the target process of an access can receive a completion notification. This scheme enables direct and efficient synchronization with a minimum number of messages. We implement our scheme in an open source MPI-3 RMA library and demonstrate lower overheads (two cache misses) than other point-to-point synchronization mechanisms for each notification. We also evaluate our implementation on three real-world benchmarks, a stencil computation, a tree computation, and a Colicky factorization implemented with tasks. Our scheme always performs better than traditional message passing and other existing RMA synchronization schemes, providing up to 50% speedup on small messages. Our analysis shows that Notified Access is a valuable primitive for any RMA system. Furthermore, we provide guidance for the design of low-level network interfaces to support Notified Access efficiently.

  10. Ferroelectric tunneling element and memory applications which utilize the tunneling element

    Science.gov (United States)

    Kalinin, Sergei V [Knoxville, TN; Christen, Hans M [Knoxville, TN; Baddorf, Arthur P [Knoxville, TN; Meunier, Vincent [Knoxville, TN; Lee, Ho Nyung [Oak Ridge, TN

    2010-07-20

    A tunneling element includes a thin film layer of ferroelectric material and a pair of dissimilar electrically-conductive layers disposed on opposite sides of the ferroelectric layer. Because of the dissimilarity in composition or construction between the electrically-conductive layers, the electron transport behavior of the electrically-conductive layers is polarization dependent when the tunneling element is below the Curie temperature of the layer of ferroelectric material. The element can be used as a basis of compact 1R type non-volatile random access memory (RAM). The advantages include extremely simple architecture, ultimate scalability and fast access times generic for all ferroelectric memories.

  11. Fast Magnetoresistive Random-Access Memory

    Science.gov (United States)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    Magnetoresistive binary digital memories of proposed new type expected to feature high speed, nonvolatility, ability to withstand ionizing radiation, high density, and low power. In memory cell, magnetoresistive effect exploited more efficiently by use of ferromagnetic material to store datum and adjacent magnetoresistive material to sense datum for readout. Because relative change in sensed resistance between "zero" and "one" states greater, shorter sampling and readout access times achievable.

  12. Error analysis and prevention of cosmic ion-induced soft errors in static CMOS RAMS

    International Nuclear Information System (INIS)

    Diehl, S.E.; Ochoa, A. Jr.; Dressendorfer, P.V.; Koga, R.; Kolasinski, W.A.

    1982-06-01

    Cosmic ray interactions with memory cells are known to cause temporary, random, bit errors in some designs. The sensitivity of polysilicon gate CMOS static RAM designs to logic upset by impinging ions has been studied using computer simulations and experimental heavy ion bombardment. Results of the simulations are confirmed by experimental upset cross-section data. Analytical models have been extended to determine and evaluate design modifications which reduce memory cell sensitivity to cosmic ions. A simple design modification, the addition of decoupling resistance in the feedback path, is shown to produce static RAMs immune to cosmic ray-induced bit errors

  13. Direct Access to Working Memory Contents

    NARCIS (Netherlands)

    Bialkova, S.E.; Oberauer, K.

    2010-01-01

    Abstract. In two experiments participants held in working memory (WM) three digits in three different colors, and updated individual digits with the results of arithmetic equations presented in one of the colors. In the memory-access condition, a digit from WM had to be used as the first number in

  14. Spiking Neural Networks Based on OxRAM Synapses for Real-Time Unsupervised Spike Sorting.

    Science.gov (United States)

    Werner, Thilo; Vianello, Elisa; Bichler, Olivier; Garbin, Daniele; Cattaert, Daniel; Yvert, Blaise; De Salvo, Barbara; Perniola, Luca

    2016-01-01

    In this paper, we present an alternative approach to perform spike sorting of complex brain signals based on spiking neural networks (SNN). The proposed architecture is suitable for hardware implementation by using resistive random access memory (RRAM) technology for the implementation of synapses whose low latency (spike sorting. This offers promising advantages to conventional spike sorting techniques for brain-computer interfaces (BCI) and neural prosthesis applications. Moreover, the ultra-low power consumption of the RRAM synapses of the spiking neural network (nW range) may enable the design of autonomous implantable devices for rehabilitation purposes. We demonstrate an original methodology to use Oxide based RRAM (OxRAM) as easy to program and low energy (Spike Timing Dependent Plasticity. Real spiking data have been recorded both intra- and extracellularly from an in-vitro preparation of the Crayfish sensory-motor system and used for validation of the proposed OxRAM based SNN. This artificial SNN is able to identify, learn, recognize and distinguish between different spike shapes in the input signal with a recognition rate about 90% without any supervision.

  15. High-speed nonvolatile CMOS/MNOS RAM

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Dodson, W.D.; Sokel, R.J.

    1979-01-01

    A bulk silicon technology for a high-speed static CMOS/MNOS RAM has been developed. Radiation-hardened, high voltage CMOS circuits have been fabricated for the memory array driving circuits and the enhancement-mode p-channel MNOS memory transistors have been fabricated using a native tunneling oxide with a 45 nm CVD Si 3 N 4 insulator deposited at 750 0 C. Read cycle times less than 350 ns and write cycle times of 1 μs are projected for the final 1Kx1 design. The CMOS circuits provide adequate speed for the write and read cycles and minimize the standby power dissipation. Retention times well in excess of 30 min are projected

  16. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-09-01

    Full Text Available To enable the design of large capacity memory structures, novel memory technologies such as non-volatile memory (NVM and novel fabrication approaches, e.g., 3D stacking and multi-level cell (MLC design have been explored. The existing modeling tools, however, cover only a few memory technologies, technology nodes and fabrication approaches. We present DESTINY, a tool for modeling 2D/3D memories designed using SRAM, resistive RAM (ReRAM, spin transfer torque RAM (STT-RAM, phase change RAM (PCM and embedded DRAM (eDRAM and 2D memories designed using spin orbit torque RAM (SOT-RAM, domain wall memory (DWM and Flash memory. In addition to single-level cell (SLC designs for all of these memories, DESTINY also supports modeling MLC designs for NVMs. We have extensively validated DESTINY against commercial and research prototypes of these memories. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g., latency, area or energy-delay product for a given memory technology, choosing the suitable memory technology or fabrication method (i.e., 2D v/s 3D for a given optimization target, etc. We believe that DESTINY will boost studies of next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers. The latest source-code of DESTINY is available from the following git repository: https://bitbucket.org/sparshmittal/destinyv2.

  17. Efficient accesses of data structures using processing near memory

    Science.gov (United States)

    Jayasena, Nuwan S.; Zhang, Dong Ping; Diez, Paula Aguilera

    2018-05-22

    Systems, apparatuses, and methods for implementing efficient queues and other data structures. A queue may be shared among multiple processors and/or threads without using explicit software atomic instructions to coordinate access to the queue. System software may allocate an atomic queue and corresponding queue metadata in system memory and return, to the requesting thread, a handle referencing the queue metadata. Any number of threads may utilize the handle for accessing the atomic queue. The logic for ensuring the atomicity of accesses to the atomic queue may reside in a management unit in the memory controller coupled to the memory where the atomic queue is allocated.

  18. Array-level stability enhancement of 50 nm AlxOy ReRAM

    Science.gov (United States)

    Iwasaki, Tomoko Ogura; Ning, Sheyang; Yamazawa, Hiroki; Takeuchi, Ken

    2015-12-01

    ReRAM's low voltage and low current programmability are attractive features to solve the scaling issues of conventional floating gate Flash. However, read instability in ReRAM is a critical issue, due to random telegraph noise (RTN), sensitivity to disturb and retention. In this work, the array-level characteristics of read stability in 50 nm AlxOy ReRAM are investigated and a circuit technique to improve stability is proposed and evaluated. First, in order to quantitatively assess memory cell stability, a method of stability characterization is defined. Next, based on this methodology, a proposal to improve read stability, called ;stability check loop; is evaluated. The stability check loop is a stability verification procedure, by which, instability improvement of 7×, and read error rate improvement of 40% are obtained.

  19. Interacting Memory Systems—Does EEG Alpha Activity Respond to Semantic Long-Term Memory Access in a Working Memory Task?

    Directory of Open Access Journals (Sweden)

    Barbara Berger

    2014-12-01

    Full Text Available Memory consists of various individual processes which form a dynamic system co-ordinated by central (executive functions. The episodic buffer as direct interface between episodic long-term memory (LTM and working memory (WM is fairly well studied but such direct interaction is less clear in semantic LTM. Here, we designed a verbal delayed-match-to-sample task specifically to differentiate between pure information maintenance and mental manipulation of memory traces with and without involvement of access to semantic LTM. Task-related amplitude differences of electroencephalographic (EEG oscillatory brain activity showed a linear increase in frontal-midline theta and linear suppression of parietal beta amplitudes relative to memory operation complexity. Amplitude suppression at upper alpha frequency, which was previously found to indicate access to semantic LTM, was only sensitive to mental manipulation in general, irrespective of LTM involvement. This suggests that suppression of upper EEG alpha activity might rather reflect unspecific distributed cortical activation during complex mental processes than accessing semantic LTM.

  20. CMOS compatible fabrication of flexible and semi-transparent FeRAM on ultra-thin bulk monocrystalline silicon (100) fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Commercialization of flexible electronics requires reliable, high performance, ultra-compact and low power devices. To achieve them, we fabricate traditional electronics on bulk mono-crystalline silicon (100) and transform the top portion into an ultra-thin flexible silicon fabric with prefabricated devices, preserving ultra-large-scale-integration density and same device performance. This can be done in a cost effective manner due to its full compatibility with standard CMOS processes. In this paper, using the same approach, for the first time we demonstrate a ferroelectric random access memory (FeRAM) cell on flexible silicon fabric platform and assess its functionality and practical potential.

  1. CMOS compatible fabrication of flexible and semi-transparent FeRAM on ultra-thin bulk monocrystalline silicon (100) fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-08-01

    Commercialization of flexible electronics requires reliable, high performance, ultra-compact and low power devices. To achieve them, we fabricate traditional electronics on bulk mono-crystalline silicon (100) and transform the top portion into an ultra-thin flexible silicon fabric with prefabricated devices, preserving ultra-large-scale-integration density and same device performance. This can be done in a cost effective manner due to its full compatibility with standard CMOS processes. In this paper, using the same approach, for the first time we demonstrate a ferroelectric random access memory (FeRAM) cell on flexible silicon fabric platform and assess its functionality and practical potential.

  2. Evaluation of External Memory Access Performance on a High-End FPGA Hybrid Computer

    Directory of Open Access Journals (Sweden)

    Konstantinos Kalaitzis

    2016-10-01

    Full Text Available The motivation of this research was to evaluate the main memory performance of a hybrid super computer such as the Convey HC-x, and ascertain how the controller performs in several access scenarios, vis-à-vis hand-coded memory prefetches. Such memory patterns are very useful in stencil computations. The theoretical bandwidth of the memory of the Convey is compared with the results of our measurements. The accurate study of the memory subsystem is particularly useful for users when they are developing their application-specific personality. Experiments were performed to measure the bandwidth between the coprocessor and the memory subsystem. The experiments aimed mainly at measuring the reading access speed of the memory from Application Engines (FPGAs. Different ways of accessing data were used in order to find the most efficient way to access memory. This way was proposed for future work in the Convey HC-x. When performing a series of accesses to memory, non-uniform latencies occur. The Memory Controller of the Convey HC-x in the coprocessor attempts to cover this latency. We measure memory efficiency as a ratio of the number of memory accesses and the number of execution cycles. The result of this measurement converges to one in most cases. In addition, we performed experiments with hand-coded memory accesses. The analysis of the experimental results shows how the memory subsystem and Memory Controllers work. From this work we conclude that the memory controllers do an excellent job, largely because (transparently to the user they seem to cache large amounts of data, and hence hand-coding is not needed in most situations.

  3. Accessibility Limits Recall from Visual Working Memory

    Science.gov (United States)

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E.; Pratt, Jay

    2017-01-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response…

  4. Partitioning and Scheduling DSP Applications with Maximal Memory Access Hiding

    Directory of Open Access Journals (Sweden)

    Sha Edwin Hsing-Mean

    2002-01-01

    Full Text Available This paper presents an iteration space partitioning scheme to reduce the CPU idle time due to the long memory access latency. We take into consideration both the data accesses of intermediate and initial data. An algorithm is proposed to find the largest overlap for initial data to reduce the entire memory traffic. In order to efficiently hide the memory latency, another algorithm is developed to balance the ALU and memory schedules. The experiments on DSP benchmarks show that the algorithms significantly outperform the known existing methods.

  5. Resistive switching mechanism of ZnO/ZrO2-stacked resistive random access memory device annealed at 300 °C by sol-gel method with forming-free operation

    Science.gov (United States)

    Jian, Wen-Yi; You, Hsin-Chiang; Wu, Cheng-Yen

    2018-01-01

    In this work, we used a sol-gel process to fabricate a ZnO-ZrO2-stacked resistive switching random access memory (ReRAM) device and investigated its switching mechanism. The Gibbs free energy in ZnO, which is higher than that in ZrO2, facilitates the oxidation and reduction reactions of filaments in the ZnO layer. The current-voltage (I-V) characteristics of the device revealed a forming-free operation because of nonlattice oxygen in the oxide layer. In addition, the device can operate under bipolar or unipolar conditions with a reset voltage of 0 to ±2 V, indicating that in this device, Joule heating dominates at reset and the electric field dominates in the set process. Furthermore, the characteristics reveal why the fabricated device exhibits a greater discrete distribution phenomenon for the set voltage than for the reset voltage. These results will enable the fabrication of future ReRAM devices with double-layer oxide structures with improved characteristics.

  6. Resistive switching characteristics of solution-processed organic-inorganic blended films for flexible memory applications

    Science.gov (United States)

    Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    We developed a hybrid organic-inorganic resistive random access memory (ReRAM) device that uses a solution-process to overcome the disadvantages of organic and inorganic materials for flexible memory applications. The drawbacks of organic and inorganic materials are a poor electrical characteristics and a lack of flexibility, respectively. We fabricated a hybrid organic-inorganic switching layer of ReRAM by blending HfOx or AlOx solution with PMMA solution and investigated the resistive switching behaviour in Ti/PMMA/Pt, Ti/PMMA-HfOx/Pt and Ti/PMMA-AlOx/Pt structures. It is found that PMMA-HfOx or PMMA-AlOx hybrid switching layer has a larger memory window, more stable durability and retention characteristics, and a better set/reset voltage distribution than PMMA layer. Further, it is confirmed that the flexibility of the PMMA-HfOx and PMMA-AlOx blended films was almost similar to that of the organic PMMA film. Thus, the solution-processed organic-inorganic blended films are considered a promising material for a non-volatile memory device on a flexible or wearable electronic system.

  7. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  8. Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory

    Directory of Open Access Journals (Sweden)

    Luís Vitório Cargnini

    2014-08-01

    Full Text Available Static random access memory (SRAM is the most commonly employed semiconductor in the design of on-chip processor memory. However, it is unlikely that the SRAM technology will have a cell size that will continue to scale below 45 nm, due to the leakage current that is caused by the quantum tunneling effect. Magnetic random access memory (MRAM is a candidate technology to replace SRAM, assuming appropriate dimensioning given an operating threshold voltage. The write current of spin transfer torque (STT-MRAM is a known limitation; however, this has been recently mitigated by leveraging perpendicular magnetic tunneling junctions. In this article, we present a comprehensive comparison of spin transfer torque-MRAM (STT-MRAM and SRAM cache set banks. The non-volatility of STT-MRAM allows the definition of new instant on/off policies and leakage current optimizations. Through our experiments, we demonstrate that STT-MRAM is a candidate for the memory hierarchy of embedded systems, due to the higher densities and reduced leakage of MRAM.We demonstrate that adopting STT-MRAM in L1 and L2 caches mitigates the impact of higher write latencies and increased current draw due to the use of MRAM. With the correct system-on-chip (SoC design, we believe that STT-MRAM is a viable alternative to SRAM, which minimizes leakage current and the total power consumed by the SoC.

  9. Switching operation and degradation of resistive random access memory composed of tungsten oxide and copper investigated using in-situ TEM.

    Science.gov (United States)

    Arita, Masashi; Takahashi, Akihito; Ohno, Yuuki; Nakane, Akitoshi; Tsurumaki-Fukuchi, Atsushi; Takahashi, Yasuo

    2015-11-27

    In-situ transmission electron microscopy (in-situ TEM) was performed to investigate the switching operation of a resistive random access memory (ReRAM) made of copper, tungsten oxide and titanium nitride (Cu/WOx/TiN). In the first Set (Forming) operation to initialize the device, precipitation appeared inside the WOx layer. It was presumed that a Cu conducting filament was formed, lowering the resistance (on-state). The Reset operation induced a higher resistance (the off-state). No change in the microstructure was identified in the TEM images. Only when an additional Reset current was applied after switching to the off-state could erasure of the filament be seen (over-Reset). Therefore, it was concluded that structural change relating to the resistance switch was localized in a very small area around the filament. With repeated switching operations and increasing operational current, the WOx/electrode interfaces became indistinct. At the same time, the resistance of the off-state gradually decreased. This is thought to be caused by Cu condensation at the interfaces because of leakage current through the area other than through the filament. This will lead to device degradation through mechanisms such as endurance failure. This is the first accelerated aging test of ReRAM achieved using in-situ TEM.

  10. A Scalable Unsegmented Multiport Memory for FPGA-Based Systems

    Directory of Open Access Journals (Sweden)

    Kevin R. Townsend

    2015-01-01

    Full Text Available On-chip multiport memory cores are crucial primitives for many modern high-performance reconfigurable architectures and multicore systems. Previous approaches for scaling memory cores come at the cost of operating frequency, communication overhead, and logic resources without increasing the storage capacity of the memory. In this paper, we present two approaches for designing multiport memory cores that are suitable for reconfigurable accelerators with substantial on-chip memory or complex communication. Our design approaches tackle these challenges by banking RAM blocks and utilizing interconnect networks which allows scaling without sacrificing logic resources. With banking, memory congestion is unavoidable and we evaluate our multiport memory cores under different memory access patterns to gain insights about different design trade-offs. We demonstrate our implementation with up to 256 memory ports using a Xilinx Virtex-7 FPGA. Our experimental results report high throughput memories with resource usage that scales with the number of ports.

  11. Memory architecture for efficient utilization of SDRAM: a case study of the computation/memory access trade-off

    DEFF Research Database (Denmark)

    Gleerup, Thomas Møller; Holten-Lund, Hans Erik; Madsen, Jan

    2000-01-01

    . In software, forward differencing is usually better, but in this hardware implementation, the trade-off has made it possible to develop a very regular memory architecture with a buffering system, which can reach 95% bandwidth utilization using off-the-shelf SDRAM, This is achieved by changing the algorithm......This paper discusses the trade-off between calculations and memory accesses in a 3D graphics tile renderer for visualization of data from medical scanners. The performance requirement of this application is a frame rate of 25 frames per second when rendering 3D models with 2 million triangles, i...... to use a memory access strategy with write-only and read-only phases, and a buffering system, which uses round-robin bank write-access combined with burst read-access....

  12. Endurance Enhancement and High Speed Set/Reset of 50 nm Generation HfO2 Based Resistive Random Access Memory Cell by Intelligent Set/Reset Pulse Shape Optimization and Verify Scheme

    Science.gov (United States)

    Higuchi, Kazuhide; Miyaji, Kousuke; Johguchi, Koh; Takeuchi, Ken

    2012-02-01

    This paper proposes a verify-programming method for the resistive random access memory (ReRAM) cell which achieves a 50-times higher endurance and a fast set and reset compared with the conventional method. The proposed verify-programming method uses the incremental pulse width with turnback (IPWWT) for the reset and the incremental voltage with turnback (IVWT) for the set. With the combination of IPWWT reset and IVWT set, the endurance-cycle increases from 48 ×103 to 2444 ×103 cycles. Furthermore, the measured data retention-time after 20 ×103 set/reset cycles is estimated to be 10 years. Additionally, the filamentary based physical model is proposed to explain the set/reset failure mechanism with various set/reset pulse shapes. The reset pulse width and set voltage correspond to the width and length of the conductive-filament, respectively. Consequently, since the proposed IPWWT and IVWT recover set and reset failures of ReRAM cells, the endurance-cycles are improved.

  13. Architecture and performance of radiation-hardened 64-bit SOS/MNOS memory

    International Nuclear Information System (INIS)

    Kliment, D.C.; Ronen, R.S.; Nielsen, R.L.; Seymour, R.N.; Splinter, M.R.

    1976-01-01

    This paper discusses the circuit architecture and performance of a nonvolatile 64-bit MNOS memory fabricated on silicon on sapphire (SOS). The circuit is a test vehicle designed to demonstrate the feasibility of a high-performance, high-density, radiation-hardened MNOS/SOS memory. The array is organized as 16 words by 4 bits and is fully decoded. It utilizes a two-(MNOS) transistor-per-bit cell and differential sensing scheme and is realized in PMOS static resistor load logic. The circuit was fabricated and tested as both a fast write random access memory (RAM) and an electrically alterable read only memory (EAROM) to demonstrate design and process flexibility. Discrete device parameters such as retention, circuit electrical characteristics, and tolerance to total dose and transient radiation are presented

  14. Perpendicular STT_RAM cell in 8 nm technology node using Co1/Ni3(1 1 1)||Gr2||Co1/Ni3(1 1 1) structure as magnetic tunnel junction

    Science.gov (United States)

    Varghani, Ali; Peiravi, Ali; Moradi, Farshad

    2018-04-01

    The perpendicular anisotropy Spin-Transfer Torque Random Access Memory (P-STT-RAM) is considered to be a promising candidate for high-density memories. Many distinct advantages of Perpendicular Magnetic Tunnel Junction (P-MTJ) compared to the conventional in-plane MTJ (I-MTJ) such as lower switching current, circular cell shape that facilitates manufacturability in smaller technology nodes, large thermal stability, smaller cell size, and lower dipole field interaction between adjacent cells make it a promising candidate as a universal memory. However, for small MTJ cell sizes, the perpendicular technology requires new materials with high polarization and low damping factor as well as low resistance area product of a P-MTJ in order to avoid a high write voltage as technology is scaled down. A new graphene-based STT-RAM cell for 8 nm technology node that uses high perpendicular magnetic anisotropy cobalt/nickel (Co/Ni) multilayer as magnetic layers is proposed in this paper. The proposed junction benefits from enough Tunneling Magnetoresistance Ratio (TMR), low resistance area product, low write voltage, and low power consumption that make it suitable for 8 nm technology node.

  15. An Investigation of Unified Memory Access Performance in CUDA

    Science.gov (United States)

    Landaverde, Raphael; Zhang, Tiansheng; Coskun, Ayse K.; Herbordt, Martin

    2015-01-01

    Managing memory between the CPU and GPU is a major challenge in GPU computing. A programming model, Unified Memory Access (UMA), has been recently introduced by Nvidia to simplify the complexities of memory management while claiming good overall performance. In this paper, we investigate this programming model and evaluate its performance and programming model simplifications based on our experimental results. We find that beyond on-demand data transfers to the CPU, the GPU is also able to request subsets of data it requires on demand. This feature allows UMA to outperform full data transfer methods for certain parallel applications and small data sizes. We also find, however, that for the majority of applications and memory access patterns, the performance overheads associated with UMA are significant, while the simplifications to the programming model restrict flexibility for adding future optimizations. PMID:26594668

  16. A Monte Carlo simulation for bipolar resistive memory switching in large band-gap oxides

    Energy Technology Data Exchange (ETDEWEB)

    Hur, Ji-Hyun, E-mail: jhhur123@gmail.com, E-mail: jeonsh@korea.ac.kr [Department of Applied Physics, Korea University, Sejong 2511, Sejong 339-700 (Korea, Republic of); Compound Device Laboratory, Samsung Advanced Institute of Technology, Nongseo-dong, Giheung-gu, Yongin-si, Gyeonggi-Do 446-712 (Korea, Republic of); Lee, Dongsoo [Compound Device Laboratory, Samsung Advanced Institute of Technology, Nongseo-dong, Giheung-gu, Yongin-si, Gyeonggi-Do 446-712 (Korea, Republic of); Jeon, Sanghun, E-mail: jhhur123@gmail.com, E-mail: jeonsh@korea.ac.kr [Department of Applied Physics, Korea University, Sejong 2511, Sejong 339-700 (Korea, Republic of)

    2015-11-16

    A model that describes bilayered bipolar resistive random access memory (BL-ReRAM) switching in oxide with a large band gap is presented. It is shown that, owing to the large energy barrier between the electrode and thin oxide layer, the electronic conduction is dominated by trap-assisted tunneling. The model is composed of an atomic oxygen vacancy migration model and an electronic tunneling conduction model. We also show experimentally observed three-resistance-level switching in Ru/ZrO{sub 2}/TaO{sub x} BL-ReRAM that can be explained by the two types of traps, i.e., shallow and deep traps in ZrO{sub 2}.

  17. Individual differences in memory span: the contribution of rehearsal, access to lexical memory, and output speed.

    Science.gov (United States)

    Tehan, G; Lalor, D M

    2000-11-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the subject population, have suggested other contributors to span performance, notably contributions from long-term memory and forgetting and retrieval processes occurring during recall. In the current research we explore individual differences in span with respect to measures of rehearsal, output time, and access to lexical memory. We replicate standard short-term phenomena; we show that the variables that influence children's span performance influence adult performance in the same way; and we show that lexical memory access appears to be a more potent source of individual differences in span than either rehearsal speed or output factors.

  18. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  19. Database architecture optimized for the new bottleneck: Memory access

    NARCIS (Netherlands)

    P.A. Boncz (Peter); S. Manegold (Stefan); M.L. Kersten (Martin)

    1999-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  20. Optimizing Database Architecture for the New Bottleneck: Memory Access

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    2000-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  1. Introduction to magnetic random-access memory

    CERN Document Server

    Dieny, Bernard; Lee, Kyung-Jin

    2017-01-01

    Magnetic random-access memory (MRAM) is poised to replace traditional computer memory based on complementary metal-oxide semiconductors (CMOS). MRAM will surpass all other types of memory devices in terms of nonvolatility, low energy dissipation, fast switching speed, radiation hardness, and durability. Although toggle-MRAM is currently a commercial product, it is clear that future developments in MRAM will be based on spin-transfer torque, which makes use of electrons’ spin angular momentum instead of their charge. MRAM will require an amalgamation of magnetics and microelectronics technologies. However, researchers and developers in magnetics and in microelectronics attend different technical conferences, publish in different journals, use different tools, and have different backgrounds in condensed-matter physics, electrical engineering, and materials science. This book is an introduction to MRAM for microelectronics engineers written by specialists in magnetic mat rials and devices. It presents the bas...

  2. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    Science.gov (United States)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  3. A Hybrid Approach to Processing Big Data Graphs on Memory-Restricted Systems

    KAUST Repository

    Harshvardhan,; West, Brandon; Fidel, Adam; Amato, Nancy M.; Rauchwerger, Lawrence

    2015-01-01

    that sacrifice performance. In this work, we propose a novel RAM-Disk hybrid approach to graph processing that can scale well from a single shared-memory node to large distributed-memory systems. It works by partitioning the graph into sub graphs that fit in RAM

  4. Fault Tolerant External Memory Algorithms

    DEFF Research Database (Denmark)

    Jørgensen, Allan Grønlund; Brodal, Gerth Stølting; Mølhave, Thomas

    2009-01-01

    Algorithms dealing with massive data sets are usually designed for I/O-efficiency, often captured by the I/O model by Aggarwal and Vitter. Another aspect of dealing with massive data is how to deal with memory faults, e.g. captured by the adversary based faulty memory RAM by Finocchi and Italiano....... However, current fault tolerant algorithms do not scale beyond the internal memory. In this paper we investigate for the first time the connection between I/O-efficiency in the I/O model and fault tolerance in the faulty memory RAM, and we assume that both memory and disk are unreliable. We show a lower...... bound on the number of I/Os required for any deterministic dictionary that is resilient to memory faults. We design a static and a dynamic deterministic dictionary with optimal query performance as well as an optimal sorting algorithm and an optimal priority queue. Finally, we consider scenarios where...

  5. Recurrent 3-day cycles of water deprivation for over a month depress mating behaviour but not semen characteristics of adult rams.

    Science.gov (United States)

    Khnissi, S; Lassoued, N; Rekik, M; Ben Salem, H

    2016-02-01

    This study aimed to investigate the effect of water deprivation (WD) on reproductive traits of rams. Ten mature rams were used and allocated to two groups balanced for body weight. Control (C) rams had free access to drinking water, while water-restricted rams (WD) were deprived from water for 3 consecutive days and early on the morning of day 4, they had ad libitum access to water for 24 h, similar to C animals. The experiment lasted 32 days, that is eight 4-day cycles of water deprivation and subsequent watering. Feed and water intake were significantly affected by water deprivation; in comparison with C rams, WD rams reduced their feed intake by 18%. During the watering day of the deprivation cycle, WD rams consumed more water than C rams on the same day (11.8 (SD = 3.37) and 8.4 (SD = 1.92) l respectively; p water deprivation. However, testosterone levels were lowered as a result of water deprivation and average values were 10.9 and 6.2 (SEM 1.23) ng/ml for C and WD rams respectively (p cycles 5 and 8 of water deprivation. Several mating behaviour traits were modified as a result of water deprivation. When compared to controls, WD rams had a more prolonged time to first mount attempt (p Water deprivation may have practical implications reducing the libido and therefore the serving capacity of rams under field conditions. Journal of Animal Physiology and Animal Nutrition © 2015 Blackwell Verlag GmbH.

  6. A Hybrid Approach to Processing Big Data Graphs on Memory-Restricted Systems

    KAUST Repository

    Harshvardhan,

    2015-05-01

    With the advent of big-data, processing large graphs quickly has become increasingly important. Most existing approaches either utilize in-memory processing techniques that can only process graphs that fit completely in RAM, or disk-based techniques that sacrifice performance. In this work, we propose a novel RAM-Disk hybrid approach to graph processing that can scale well from a single shared-memory node to large distributed-memory systems. It works by partitioning the graph into sub graphs that fit in RAM and uses a paging-like technique to load sub graphs. We show that without modifying the algorithms, this approach can scale from small memory-constrained systems (such as tablets) to large-scale distributed machines with 16, 000+ cores.

  7. Software for dimensioning of hydraulic ram; Software para dimensionamento de carneiro hidraulico

    Energy Technology Data Exchange (ETDEWEB)

    Borges Neto, Manuel Rangel [Centro Federal de Educacao Tecnologica de Petrolina, CE (Brazil); Borges, Grace Anne Pontes [Faculdade de Tecnologia de Sao Paulo (FATEC), Sao Paulo, SP (Brazil). Dept. de Processamento de Dados; Borges, Everton Pontes [Centro Federal de Educacao Tecnologica do Rio Grande do Norte, Natal, RN (Brazil). Curso Tecnologia em Automacao Industrial

    2004-07-01

    The search for new renewable energy sources sometimes takes us always from existing solutions applications. The hydraulic ram is equipment developed in 1796, used to water pumping, without using electricity energy and can be used for small rural producer in places where the conventional electricity grid access is limited. The objective of this work is Software introducing, developed to help a commercial hydraulic ram dimensioning, which isn't necessary previous hydraulic knowledge, and can also be used as a didactic resource at technicians and technologists courses in subjects as hydraulics or irrigation. (author)

  8. 75 FR 14467 - In the Matter of: Certain Dynamic Random Access Memory Semiconductors and Products Containing...

    Science.gov (United States)

    2010-03-25

    ... Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of... semiconductors and products containing same, including memory modules, by reason of infringement of certain... importation of certain dynamic random access memory semiconductors or products containing the same, including...

  9. More than a feeling: Emotional cues impact the access and experience of autobiographical memories.

    Science.gov (United States)

    Sheldon, Signy; Donahue, Julia

    2017-07-01

    Remembering is impacted by several factors of retrieval, including the emotional content of a memory cue. Here we tested how musical retrieval cues that differed on two dimensions of emotion-valence (positive and negative) and arousal (high and low)-impacted the following aspects of autobiographical memory recall: the response time to access a past personal event, the experience of remembering (ratings of memory vividness), the emotional content of a cued memory (ratings of event arousal and valence), and the type of event recalled (ratings of event energy, socialness, and uniqueness). We further explored how cue presentation affected autobiographical memory retrieval by administering cues of similar arousal and valence levels in a blocked fashion to one half of the tested participants, and randomly to the other half. We report three main findings. First, memories were accessed most quickly in response to musical cues that were highly arousing and positive in emotion. Second, we observed a relation between a cue and the elicited memory's emotional valence but not arousal; however, both the cue valence and arousal related to the nature of the recalled event. Specifically, high cue arousal led to lower memory vividness and uniqueness ratings, but cues with both high arousal and positive valence were associated with memories rated as more social and energetic. Finally, cue presentation impacted both how quickly and specifically memories were accessed and how cue valence affected the memory vividness ratings. The implications of these findings for views of how emotion directs the access to memories and the experience of remembering are discussed.

  10. Semantic Dementia Shows both Storage and Access Disorders of Semantic Memory

    Directory of Open Access Journals (Sweden)

    Yumi Takahashi

    2014-01-01

    Full Text Available Objective. Previous studies have shown that some patients with semantic dementia (SD have memory storage disorders, while others have access disorders. Here, we report three SD cases with both disorders. Methods. Ten pictures and ten words were prepared as visual stimuli to determine if the patients could correctly answer names and select pictures after hearing the names of items (Card Presentation Task, assessing memory storage disorder. In a second task, the viewing time was set at 20 or 300 msec (Momentary Presentation Task, evaluating memory access disorder using items for which correct answers were given in the first task. The results were compared with those for 6 patients with Alzheimer’s disease (AD. Results. The SD patients had lower scores than the AD group for both tasks, suggesting both storage and access disorders. The AD group had almost perfect scores on the Card Presentation Task but showed impairment on the Momentary Presentation Task, although to a lesser extent than the SD cases. Conclusions. These results suggest that SD patients have both storage and access disorders and have more severe access disorder than patients with AD.

  11. Evaluation of A Low-power Random Access Memory Generator

    OpenAIRE

    Kameswar Rao, Vaddina

    2006-01-01

    In this work, an existing RAM generator is analysed and evaluated. Some of the aspects that were considered in the evaluation are the optimization of the basic SRAM cell, how the RAM generator can be ported to newer technologys, automating the simulation process and the creation of the workflow for the energy model. One of the main focus of this thesis work is to optimize the basic SRAM cell. The SRAM cell which is used in the RAM generator is not optimized for area nor power. A compact layou...

  12. Attentional priorities and access to short-term memory

    DEFF Research Database (Denmark)

    Gillebert, Celine; Dyrholm, Mads; Vangkilde, Signe Allerup

    2012-01-01

    The intraparietal sulcus (IPS) has been implicated in selective attention as well as visual short-term memory (VSTM). To contrast mechanisms of target selection, distracter filtering, and access to VSTM, we combined behavioral testing, computational modeling and functional magnetic resonance......, thereby displaying a significant interaction between the two factors. The interaction between target and distracter set size in IPS could not be accounted for by a simple explanation in terms of number of items accessing VSTM. Instead, it led us to a model where items accessing VSTM receive differential...

  13. The Specificity of Health-Related Autobiographical Memories in Patients With Somatic Symptom Disorder.

    Science.gov (United States)

    Walentynowicz, Marta; Raes, Filip; Van Diest, Ilse; Van den Bergh, Omer

    2017-01-01

    Patients with somatic symptom disorder (SSD) have persistent distressing somatic symptoms that are associated with excessive thoughts, feelings, and behaviors. Reduced autobiographical memory specificity (rAMS) is related to a range of emotional disorders and is considered a vulnerability factor for an unfavorable course of pathology. The present study investigated whether the specificity of health-related autobiographical memories is reduced in patients with SSD with medically unexplained dyspnea complaints, compared with healthy controls. Female patients with SSD (n = 30) and matched healthy controls (n = 24) completed a health-related Autobiographical Memory Test, the Beck Depression Inventory, the Ruminative Response Scale, and rumination scales concerning bodily reactions. Depressive symptoms and rumination were assessed because both variables previously showed associations with rAMS. Patients with SSD recalled fewer specific (F(1,52) = 13.63, p = .001) and more categoric (F(1,52) = 7.62, p = .008) autobiographical memories to health-related cue words than healthy controls. Patients also reported higher levels of depressive symptoms and rumination (all t > 3.00, p < .01). Importantly, the differences in memory specificity were independent of depressive symptoms and trait rumination. The present study extends findings on rAMS to a previously unstudied sample of patients with SSD. Importantly, the presence of rAMS could not be explained by increased levels of depressive symptoms and rumination. We submit that rAMS in this group reflects how health-related episodes and associated symptoms are encoded in memory.

  14. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  15. Memory accessibility shapes explanation: Testing key claims of the inherence heuristic account.

    Science.gov (United States)

    Hussak, Larisa J; Cimpian, Andrei

    2018-01-01

    People understand the world by constructing explanations for what they observe. It is thus important to identify the cognitive processes underlying these judgments. According to a recent proposal, everyday explanations are often constructed heuristically: Because people need to generate explanations on a moment-by-moment basis, they cannot perform an exhaustive search through the space of possible reasons, but may instead use the information that is most easily accessible in memory (Cimpian & Salomon 2014a, b). In the present research, we tested two key claims of this proposal that have so far not been investigated. First, we tested whether-as previously hypothesized-the information about an entity that is most accessible in memory tends to consist of inherent or intrinsic facts about that entity, rather than extrinsic (contextual, historical, etc.) facts about it (Studies 1 and 2). Second, we tested the implications of this difference in the memory accessibility of inherent versus extrinsic facts for the process of generating explanations: Does the fact that inherent facts are more accessible than relevant extrinsic facts give rise to an inherence bias in the content of the explanations generated (Studies 3 and 4)? The findings supported the proposal that everyday explanations are generated in part via a heuristic process that relies on easily accessible-and often inherent-information from memory.

  16. De las Greguerias: Ah, Ramón, Ramón...

    Directory of Open Access Journals (Sweden)

    Luis Navarro

    1964-11-01

    Full Text Available Yo me pregunto qué hará a hora Ramón detrás del biombo irreversible de la muerte. Me lo figuro de espaldas a la pared, con el rostro de simulada contrición y en las manos un blok sobre el que escribe eternamente, renglón tras renglón, las palabras de castigo: "No volveré a hacer burla de las cosas trascendentes". Lope, Quevedo, Goya, Solana y el Marqués de Bradomin, liberados ya de sus respectivos sambenitos en el tiempo metafísico de los muertos, intercederán por el castigado colocando en la terrible Balanza de los Cielos, a favor de Ramón, los libros ejemplares en que este habla de aquellos. Dirán al unísono: todos hablaron de mí, pero ninguno me conoció; solo Ramón.

  17. Using Dopants to Tune Oxygen Vacancy Formation in Transition Metal Oxide Resistive Memory.

    Science.gov (United States)

    Jiang, Hao; Stewart, Derek A

    2017-05-17

    Introducing dopants is an important way to tailor and improve electronic properties of transition metal oxides used as high-k dielectric thin films and resistance switching layers in leading memory technologies, such as dynamic and resistive random access memory (ReRAM). Ta 2 O 5 has recently received increasing interest because Ta 2 O 5 -based ReRAM demonstrates high switching speed, long endurance, and low operating voltage. However, advances in optimizing device characteristics with dopants have been hindered by limited and contradictory experiments in this field. We report on a systematic study on how various metal dopants affect oxygen vacancy formation in crystalline and amorphous Ta 2 O 5 from first principles. We find that isoelectronic dopants and weak n-type dopants have little impact on neutral vacancy formation energy and that p-type dopants can lower the formation energy significantly by introducing holes into the system. In contrast, n-type dopants have a deleterious effect and actually increase the formation energy for charged oxygen vacancies. Given the similar doping trend reported for other binary transition metal oxides, this doping trend should be universally valid for typical binary transition metal oxides. Based on this guideline, we propose that p-type dopants (Al, Hf, Zr, and Ti) can lower the forming/set voltage and improve retention properties of Ta 2 O 5 ReRAM.

  18. SSTL based thermal and power efficient RAM design on 28nm FPGA for spacecraft

    DEFF Research Database (Denmark)

    Kalia, Kartik; Pandey, Bishwajeet; Hussain, D. M.A.

    2016-01-01

    In this paper, an approach is made to design a Thermal and Power efficient RAM for that reason we have used DDR4L memory and six different members of SSTL I/Os standards on 28nm technology. Every spacecraft requires most energy efficient electronic system and for that very purpose we have designe...

  19. Effects of self-relevant cues and cue valence on autobiographical memory specificity in dysphoria.

    Science.gov (United States)

    Matsumoto, Noboru; Mochizuki, Satoshi

    2017-04-01

    Reduced autobiographical memory specificity (rAMS) is a characteristic memory bias observed in depression. To corroborate the capture hypothesis in the CaRFAX (capture and rumination, functional avoidance, executive capacity and control) model, we investigated the effects of self-relevant cues and cue valence on rAMS using an adapted Autobiographical Memory Test conducted with a nonclinical population. Hierarchical linear modelling indicated that the main effects of depression and self-relevant cues elicited rAMS. Moreover, the three-way interaction among valence, self-relevance, and depression scores was significant. A simple slope test revealed that dysphoric participants experienced rAMS in response to highly self-relevant positive cues and low self-relevant negative cues. These results partially supported the capture hypothesis in nonclinical dysphoria. It is important to consider cue valence in future studies examining the capture hypothesis.

  20. Dataflow models for shared memory access latency analysis

    NARCIS (Netherlands)

    Staschulat, Jan; Bekooij, Marco Jan Gerrit

    2009-01-01

    Performance analysis of applications in multi-core platforms is challenging because of temporal interference while accessing shared resources. Especially, memory arbiters introduce a non-constant delay which signicantly in uences the execution time of a task. In this paper, we selected a

  1. Methodology for assessing the safety of Hydrogen Systems: HyRAM 1.1 technical reference manual

    Energy Technology Data Exchange (ETDEWEB)

    Groth, Katrina; Hecht, Ethan; Reynolds, John Thomas; Blaylock, Myra L.; Erin E. Carrier

    2017-03-01

    The HyRAM software toolkit provides a basis for conducting quantitative risk assessment and consequence modeling for hydrogen infrastructure and transportation systems. HyRAM is designed to facilitate the use of state-of-the-art science and engineering models to conduct robust, repeatable assessments of hydrogen safety, hazards, and risk. HyRAM is envisioned as a unifying platform combining validated, analytical models of hydrogen behavior, a stan- dardized, transparent QRA approach, and engineering models and generic data for hydrogen installations. HyRAM is being developed at Sandia National Laboratories for the U. S. De- partment of Energy to increase access to technical data about hydrogen safety and to enable the use of that data to support development and revision of national and international codes and standards. This document provides a description of the methodology and models contained in the HyRAM version 1.1. HyRAM 1.1 includes generic probabilities for hydrogen equipment fail- ures, probabilistic models for the impact of heat flux on humans and structures, and computa- tionally and experimentally validated analytical and first order models of hydrogen release and flame physics. HyRAM 1.1 integrates deterministic and probabilistic models for quantifying accident scenarios, predicting physical effects, and characterizing hydrogen hazards (thermal effects from jet fires, overpressure effects from deflagrations), and assessing impact on people and structures. HyRAM is a prototype software in active development and thus the models and data may change. This report will be updated at appropriate developmental intervals.

  2. Extraction of Electronic Evidence from VoIP: Forensic Analysis of A Virtual Hard Disk Vs RAM

    Directory of Open Access Journals (Sweden)

    david irwin

    2011-03-01

    Full Text Available The popularity of Voice over the Internet Protocol (VoIP is increasing as the cost savings and ease of use is realised by a wide range of home and corporate users. However, the technology is also attractive to criminals. This is because VoIP is a global telephony service, in which it is difficult to verify the user’s identification. The security of placing such calls may also be appealing to criminals, as many implementations use strong encryption to secure both the voice payload as well as to control messages making monitoring such VoIP calls difficult since conventional methods such as wire-tapping is not applicable to VoIP calls. Therefore, other methods of recovering electronic evidence and information from VoIP are required.  This research looks at what protocol evidence remains after a VoIP call has taken place examining both a virtual hard disk and the Random Access Memory (RAM. This paper proposes a set of identifiable credentials based on packet header information contained within the VoIP protocol stack. A series of controlled tests were undertaken whereby these credentials were forensically searched for on a virtual machine which was used to make the VoIP call. This experiment was then repeated by a search for the same protocol credentials within the RAM.

  3. Ram and Buck Breeding Soundness Examination

    Directory of Open Access Journals (Sweden)

    Ahmed TIBARY

    2018-06-01

    Full Text Available Male breeding soundness examination (BSE is an important component of sheep and goat farming. BSE is best performed 2 months before the breeding season and is based on clinical and physical examination as well as sperm abnormalities detection. Rams are classified based on physical examination and semen evaluation finding in one of 4 categories: Unsatisfactory, questionable, satisfactory, and excellent. The satisfactory rams will achieve good reproductive performance if joined to ewes at a ratio of 1:50 for 60 days. However exceptional rams are expected to achieve good reproductive performance at a ratio of 1 ram to 100 ewes. For Buck, scrotal circumference should be at least 25 cm for breeds weighing more than 40 kg. Buck is deemed satisfactory breeder if he passes the physical examination, and has an ejaculate with at least 50% progressively motile spermatozoa and less than 30% total sperm abnormalities. This paper reviews factors affecting fertility, sperm production and quality as well as libido and mating ability in the ram. Details of genital examination and semen evaluation and interpretation of results are discussed. Classification of rams according to their reproductive potential is presented. Specific recommendations, when available for the buck, are highlighted. The main genital diseases are presented. The most frequent culling reason for ram is epididymitis due to Brucella ovis. Systematic culling of rams with epididymitis improves flock lambing rates by 10 to 15%. Overall, the examination of the reproductive capacity in the ram and the buck is an important tool for improvement of flocks/herds fertility and prevention of contagious or hereditary diseases.

  4. SeaRAM: an evaluation of the safety of RAM transport by sea

    International Nuclear Information System (INIS)

    McConnell, P.; Sorenson, K.B.; Carter, M.H.; Keane, M.P.; Keith, V.F.; Heid, R.J.

    1995-01-01

    SeaRAM is a multi-year Department of Energy (DOE) project designed to validate the safety of shipping radioactive materials (RAM) by sea. The project has an ultimate goal of developing and demonstrating analytic tools for performing comprehensive analyses to evaluate the risks to humans and the environment due to sea transport of plutonium, vitrified high-level waste (VHLW), and spent fuel associated with reprocessing and research reactors. To achieve this end, evaluations of maritime databases and structural an thermal analyses of particular severe collision and fire accidents have been and will continue to be conducted. Program management for SeaRAM is based at the DOE's Office of Environmental Restoration. Technical activities for the project are being conducted at Sandia National Laboratories (SNL). Several private organizations are also involved in providing technical support, notably Engineering Computer Optecnomics, Inc. (ECO). The technical work performed for SeaRAM also supports DOE participation in an International Atomic Energy Agency (IAEA) Cooperative Research Program (CRP) entitled Accident Severity at Sea During Transport of Radioactive Material. This paper discusses activities performed during the first year of the project

  5. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  6. In search of the next memory inside the circuitry from the oldest to the emerging non-volatile memories

    CERN Document Server

    Campardo, Giovanni

    2017-01-01

    This book provides students and practicing chip designers with an easy-to-follow yet thorough, introductory treatment of the most promising emerging memories under development in the industry. Focusing on the chip designer rather than the end user, this book offers expanded, up-to-date coverage of emerging memories circuit design. After an introduction on the old solid-state memories and the fundamental limitations soon to be encountered, the working principle and main technology issues of each of the considered technologies (PCRAM, MRAM, FeRAM, ReRAM) are reviewed and a range of topics related to design is explored: the array organization, sensing and writing circuitry, programming algorithms and error correction techniques are reviewed comparing the approach followed and the constraints for each of the technologies considered. Finally the issue of radiation effects on memory devices has been briefly treated. Additionally some considerations are entertained about how emerging memories can find a place in the...

  7. Making working memory work: the effects of extended practice on focus capacity and the processes of updating, forward access, and random access.

    Science.gov (United States)

    Price, John M; Colflesh, Gregory J H; Cerella, John; Verhaeghen, Paul

    2014-05-01

    We investigated the effects of 10h of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Aspects of GPU perfomance in algorithms with random memory access

    Science.gov (United States)

    Kashkovsky, Alexander V.; Shershnev, Anton A.; Vashchenkov, Pavel V.

    2017-10-01

    The numerical code for solving the Boltzmann equation on the hybrid computational cluster using the Direct Simulation Monte Carlo (DSMC) method showed that on Tesla K40 accelerators computational performance drops dramatically with increase of percentage of occupied GPU memory. Testing revealed that memory access time increases tens of times after certain critical percentage of memory is occupied. Moreover, it seems to be the common problem of all NVidia's GPUs arising from its architecture. Few modifications of the numerical algorithm were suggested to overcome this problem. One of them, based on the splitting the memory into "virtual" blocks, resulted in 2.5 times speed up.

  9. Spatial and Working Memory Is Linked to Spine Density and Mushroom Spines.

    Directory of Open Access Journals (Sweden)

    Rasha Refaat Mahmmoud

    Full Text Available Changes in synaptic structure and efficacy including dendritic spine number and morphology have been shown to underlie neuronal activity and size. Moreover, the shapes of individual dendritic spines were proposed to correlate with their capacity for structural change. Spine numbers and morphology were reported to parallel memory formation in the rat using a water maze but, so far, there is no information on spine counts or shape in the radial arm maze (RAM, a frequently used paradigm for the evaluation of complex memory formation in the rodent.24 male Sprague-Dawley rats were divided into three groups, 8 were trained, 8 remained untrained in the RAM and 8 rats served as cage controls. Dendritic spine numbers and individual spine forms were counted in CA1, CA3 areas and dentate gyrus of hippocampus using a DIL dye method with subsequent quantification by the Neuronstudio software and the image J program.Working memory errors (WME and latency in the RAM were decreased along the training period indicating that animals performed the task. Total spine density was significantly increased following training in the RAM as compared to untrained rats and cage controls. The number of mushroom spines was significantly increased in the trained as compared to untrained and cage controls. Negative significant correlations between spine density and WME were observed in CA1 basal dendrites and in CA3 apical and basal dendrites. In addition, there was a significant negative correlation between spine density and latency in CA3 basal dendrites.The study shows that spine numbers are significantly increased in the trained group, an observation that may suggest the use of this method representing a morphological parameter for memory formation studies in the RAM. Herein, correlations between WME and latency in the RAM and spine density revealed a link between spine numbers and performance in the RAM.

  10. Spatial and Working Memory Is Linked to Spine Density and Mushroom Spines.

    Science.gov (United States)

    Mahmmoud, Rasha Refaat; Sase, Sunetra; Aher, Yogesh D; Sase, Ajinkya; Gröger, Marion; Mokhtar, Maher; Höger, Harald; Lubec, Gert

    2015-01-01

    Changes in synaptic structure and efficacy including dendritic spine number and morphology have been shown to underlie neuronal activity and size. Moreover, the shapes of individual dendritic spines were proposed to correlate with their capacity for structural change. Spine numbers and morphology were reported to parallel memory formation in the rat using a water maze but, so far, there is no information on spine counts or shape in the radial arm maze (RAM), a frequently used paradigm for the evaluation of complex memory formation in the rodent. 24 male Sprague-Dawley rats were divided into three groups, 8 were trained, 8 remained untrained in the RAM and 8 rats served as cage controls. Dendritic spine numbers and individual spine forms were counted in CA1, CA3 areas and dentate gyrus of hippocampus using a DIL dye method with subsequent quantification by the Neuronstudio software and the image J program. Working memory errors (WME) and latency in the RAM were decreased along the training period indicating that animals performed the task. Total spine density was significantly increased following training in the RAM as compared to untrained rats and cage controls. The number of mushroom spines was significantly increased in the trained as compared to untrained and cage controls. Negative significant correlations between spine density and WME were observed in CA1 basal dendrites and in CA3 apical and basal dendrites. In addition, there was a significant negative correlation between spine density and latency in CA3 basal dendrites. The study shows that spine numbers are significantly increased in the trained group, an observation that may suggest the use of this method representing a morphological parameter for memory formation studies in the RAM. Herein, correlations between WME and latency in the RAM and spine density revealed a link between spine numbers and performance in the RAM.

  11. Effects of testosterone dose on spatial memory among castrated adult male rats.

    Science.gov (United States)

    Wagner, Benjamin A; Braddick, Valerie C; Batson, Christopher G; Cullen, Brendan H; Miller, L Erin; Spritzer, Mark D

    2018-03-01

    Previous research on the activational effects of testosterone on spatial memory has produced mixed results, possibly because such effects are dose-dependent. We tested a wide range of testosterone doses using two spatial memory tasks: a working-reference memory version of the radial-arm maze (RAM) and an object location memory task (OLMT). Adult male Sprague-Dawley rats were castrated or sham-castrated and given daily injections of drug vehicle (Oil Sham and Oil GDX) or one of four doses of testosterone propionate (0.125, 0.250, 0.500, and 1.000 mg T) beginning seven days before the first day of behavioral tests and continuing throughout testing. For the RAM, four arms of the maze were consistently baited on each day of testing. Testosterone had a significant effect on working memory on the RAM, with the Oil Sham, 0.125 mg T, and 0.500 mg T groups performing better than the Oil GDX group. In contrast, there was no significant effect of testosterone on spatial reference memory on the RAM. For the OLMT, we tested long-term memory using a 2 h inter-trial interval between first exposure to two identical objects and re-exposure after one object had been moved. Only the 0.125 and 0.500 mg T groups showed a significant increase in exploration of the moved object during the testing trials, indicating better memory than all other groups. Testosterone replacement restored spatial memory among castrated male rats on both behavioral tasks, but there was a complex dose-response relationship; therefore, the therapeutic value of testosterone is likely sensitive to dose. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. The behavioral component of the ram effect: the influence of ram sexual behavior on the induction of estrus in anovulatory ewes.

    Science.gov (United States)

    Perkins, A; Fitzgerald, J A

    1994-01-01

    The objective of this study was to test whether the sexual behavior of the ram affects the ram effect. Rams exhibiting either high (HP) or low (LP) levels of sexual performance (on the basis of serving capacity tests) were exposed to 89 anestrous ewes for 28 d. Thirty-two anestrous ewes were not exposed to rams. The objective of this study was to compare the efficacy of estrus induction by HP (n = 4) vs LP (n = 4) rams. Plasma progesterone concentration was used as an index of ovarian activity. Groups of ewes were exposed to either an HP or an LP ram in a .32-ha pasture. Courtship behaviors of rams were recorded for 6 h on the initial day of exposure and for 30-min periods on alternate days thereafter. A greater percentage of ewes exposed to HP rams ovulated (95%) compared with ewes exposed to LP rams (78%) (P sexual behavior. These data imply that in addition to a pheromone the sexual behavior of the ram may be important in initiating ovarian cycle activity.

  13. Nonvolatile ferroelectric memory based on PbTiO3 gated single-layer MoS2 field-effect transistor

    Science.gov (United States)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-01-01

    We fabricated ferroelectric non-volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb-doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X-ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single-layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V·s) of the MoS2 channel at room temperature. The MoS2-PTO FeRAM FET showed a wide memory window with 17 kΩ of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109. [Figure not available: see fulltext.

  14. 75 FR 44283 - In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same...

    Science.gov (United States)

    2010-07-28

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-707] In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of a... importation of certain dynamic random access memory semiconductors and products containing same, including...

  15. HyRAM V1.0 User Guide

    Energy Technology Data Exchange (ETDEWEB)

    Groth, Katrina M. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Zumwalt, Hannah Ruth [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Clark, Andrew Jordan [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2016-03-01

    Hydrogen Risk Assessment Models (HyRAM) is a prototype software toolkit that integrates data and methods relevant to assessing the safety of hydrogen fueling and storage infrastructure. The HyRAM toolkit integrates deterministic and probabilistic models for quantifying accident scenarios, predicting physical effects, and characterizing the impact of hydrogen hazards, including thermal effects from jet fires and thermal pressure effects from deflagration. HyRAM version 1.0 incorporates generic probabilities for equipment failures for nine types of components, and probabilistic models for the impact of heat flux on humans and structures, with computationally and experimentally validated models of various aspects of gaseous hydrogen release and flame physics. This document provides an example of how to use HyRAM to conduct analysis of a fueling facility. This document will guide users through the software and how to enter and edit certain inputs that are specific to the user-defined facility. Description of the methodology and models contained in HyRAM is provided in [1]. This User’s Guide is intended to capture the main features of HyRAM version 1.0 (any HyRAM version numbered as 1.0.X.XXX). This user guide was created with HyRAM 1.0.1.798. Due to ongoing software development activities, newer versions of HyRAM may have differences from this guide.

  16. The rapid mode of calcium uptake into heart mitochondria (RaM): comparison to RaM in liver mitochondria.

    Science.gov (United States)

    Buntinas, L; Gunter, K K; Sparagna, G C; Gunter, T E

    2001-04-02

    A mechanism of Ca(2+) uptake, capable of sequestering significant amounts of Ca(2+) from cytosolic Ca(2+) pulses, has previously been identified in liver mitochondria. This mechanism, the Rapid Mode of Ca(2+) uptake (RaM), was shown to sequester Ca(2+) very rapidly at the beginning of each pulse in a sequence [Sparagna et al. (1995) J. Biol. Chem. 270, 27510-27515]. The existence and properties of RaM in heart mitochondria, however, are unknown and are the basis for this study. We show that RaM functions in heart mitochondria with some of the characteristics of RaM in liver, but its activation and inhibition are quite different. It is feasible that these differences represent different physiological adaptations in these two tissues. In both tissues, RaM is highly conductive at the beginning of a Ca(2+) pulse, but is inhibited by the rising [Ca(2+)] of the pulse itself. In heart mitochondria, the time required at low [Ca(2+)] to reestablish high Ca(2+) conductivity via RaM i.e. the 'resetting time' of RaM is much longer than in liver. RaM in liver mitochondria is strongly activated by spermine, activated by ATP or GTP and unaffected by ADP and AMP. In heart, RaM is activated much less strongly by spermine and unaffected by ATP or GTP. RaM in heart is strongly inhibited by AMP and has a biphasic response to ADP; it is activated at low concentrations and inhibited at high concentrations. Finally, an hypothesis consistent with the data and characteristics of liver and heart is presented to explain how RaM may function to control the rate of oxidative phosphorylation in each tissue. Under this hypothesis, RaM functions to create a brief, high free Ca(2+) concentration inside mitochondria which may activate intramitochondrial metabolic reactions with relatively small amounts of Ca(2+) uptake. This hypothesis is consistent with the view that intramitochondrial [Ca(2+)] may be used to control the rate of ADP phosphorylation in such a way as to minimize the probability of

  17. Complex dynamics of semantic memory access in reading.

    Science.gov (United States)

    Baggio, Giosué; Fonseca, André

    2012-02-07

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as 'cold' in 'In July it is very cold outside'. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing.

  18. Visual inspection requirements for high-reliability random-access memories

    International Nuclear Information System (INIS)

    Andrade, A.; McHenery, J.

    1981-09-01

    Visual inspection requirements are given for random-access memories for deep-space satellite electronics. The requirements, based primarily on Military Standard 883B, are illustrated in the order of their manufacturing operation to clarify and facilitate inspection procedures

  19. Exploring memory hierarchy design with emerging memory technologies

    CERN Document Server

    Sun, Guangyu

    2014-01-01

    This book equips readers with tools for computer architecture of high performance, low power, and high reliability memory hierarchy in computer systems based on emerging memory technologies, such as STTRAM, PCM, FBDRAM, etc.  The techniques described offer advantages of high density, near-zero static power, and immunity to soft errors, which have the potential of overcoming the “memory wall.”  The authors discuss memory design from various perspectives: emerging memory technologies are employed in the memory hierarchy with novel architecture modification;  hybrid memory structure is introduced to leverage advantages from multiple memory technologies; an analytical model named “Moguls” is introduced to explore quantitatively the optimization design of a memory hierarchy; finally, the vulnerability of the CMPs to radiation-based soft errors is improved by replacing different levels of on-chip memory with STT-RAMs.   ·         Provides a holistic study of using emerging memory technologies i...

  20. Accessibility versus accuracy in retrieving spatial memory: evidence for suboptimal assumed headings.

    Science.gov (United States)

    Yerramsetti, Ashok; Marchette, Steven A; Shelton, Amy L

    2013-07-01

    Orientation dependence in spatial memory has often been interpreted in terms of accessibility: Object locations are encoded relative to a reference orientation that affords the most accurate access to spatial memory. An open question, however, is whether people naturally use this "preferred" orientation whenever recalling the space. We tested this question by asking participants to locate buildings on a familiar campus from various imagined locations, without specifying the heading to be assumed. We then used these pointing judgments to infer the approximate heading participants assumed at each location. Surprisingly, each location showed a unique assumed heading that was consistent across participants and seemed to reflect episodic or visual properties of the space. This result suggests that although locations are encoded relative to a reference orientation, other factors may influence how people choose to access the stored information and whether they appeal to long-term spatial memory or other more sensory-based stores. PsycINFO Database Record (c) 2013 APA, all rights reserved.

  1. Fundamental criteria for the design of high-performance Josephson nondestructive readout random access memory cells and experimental confirmation

    International Nuclear Information System (INIS)

    Henkels, W.H.

    1979-01-01

    Fundamental design criteria for Josephson nondestructive readout random access memory (NDRO RAM) cells are presented, within the context of an LSI array environment. Emphasis is placed upon principles which are relevant to high performance. The criteria are elucidated via a specific design which is simulated and then experimentally evaluated in a technology with a smallest critical dimension of 5 μm. The specific cell differs from previously tested Josephson NDRO cells in several respects; namely, the cell stores only approx.8Phi 0 , employs interferometer gates and an external damping resistor, allows switching into device resonances, and eliminates the need for a special initialization cycle. The cell-selection scheme, employing triple coincidence, results in larger operating margins and smaller operating currents than have previously been achieved. The large operating margins and all basic cell design criteria were experimentally verified. The experimental interferometer gate characteristics were analyzed in detail and found to be describable by simple models. In addition, it was discovered that single flux quantum transitions in the interferometer gates could be exploited beneficially in order to enhance the insensitivity of operating margins to fabrication tolerances

  2. Taxing working memory during retrieval of emotional memories does not reduce memory accessibility when cued with reminders

    Directory of Open Access Journals (Sweden)

    Kevin eVan Schie

    2015-02-01

    Full Text Available Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task (e.g., playing Tetris, mental arithmetic, making eye movements, this reduces self-reported vividness and emotionality of the memory. These effects have been found up to one week later, but have largely been confined to self-report ratings. This study examined whether this dual-tasking intervention reduces memory performance (i.e., accessibility of emotional memories. Undergraduates (N = 60 studied word-image pairs and rated the retrieved image on vividness and emotionality when cued with the word. Then they viewed the cues and recalled the images with or without making eye movements. Finally, they re-rated the images on vividness and emotionality. Additionally, fragments from images from all conditions were presented and participants identified which fragment was paired earlier with which cue. Findings showed no effect of the dual-task manipulation on self-reported ratings and latency responses. Cued recall may not have been sufficient to elicit specific and continuous target retrieval for memory blurring to occur. The study demonstrates boundaries to the effects of the dual-tasking procedure.

  3. RAMS (Risk Analysis - Modular System) methodology

    Energy Technology Data Exchange (ETDEWEB)

    Stenner, R.D.; Strenge, D.L.; Buck, J.W. [and others

    1996-10-01

    The Risk Analysis - Modular System (RAMS) was developed to serve as a broad scope risk analysis tool for the Risk Assessment of the Hanford Mission (RAHM) studies. The RAHM element provides risk analysis support for Hanford Strategic Analysis and Mission Planning activities. The RAHM also provides risk analysis support for the Hanford 10-Year Plan development activities. The RAMS tool draws from a collection of specifically designed databases and modular risk analysis methodologies and models. RAMS is a flexible modular system that can be focused on targeted risk analysis needs. It is specifically designed to address risks associated with overall strategy, technical alternative, and `what if` questions regarding the Hanford cleanup mission. RAMS is set up to address both near-term and long-term risk issues. Consistency is very important for any comparative risk analysis, and RAMS is designed to efficiently and consistently compare risks and produce risk reduction estimates. There is a wide range of output information that can be generated by RAMS. These outputs can be detailed by individual contaminants, waste forms, transport pathways, exposure scenarios, individuals, populations, etc. However, they can also be in rolled-up form to support high-level strategy decisions.

  4. The effects of enactment and intention accessibility on prospective memory performance.

    Science.gov (United States)

    Schult, Janette C; Steffens, Melanie C

    2017-05-01

    The intention-superiority effect denotes faster response latencies to stimuli linked with a prospective memory task compared to stimuli linked with no prospective task or with a cancelled task. It is generally assumed that the increased accessibility of intention-related materials contributes to successful execution of prospective memory tasks at an appropriate opportunity. In two experiments we investigated the relationship between the intention-superiority effect and actual prospective memory performance under relatively realistic conditions. We also manipulated enactment versus observation encoding to further investigate the similarity in representations of enacted and to-be-enacted tasks. Additionally, Experiment 1 included a control condition to investigate the development of the intention-superiority effect over time. Participants were asked to perform prospective tasks at the end of the experiment to prepare the room for the next participant. They studied these preparatory tasks at the beginning of the experiment either by enacting them themselves or by observing the experimenter perform them. In Experiment 2, participants in a control condition did not intend to perform prospective tasks. We observed a smaller intention-superiority effect after enactment encoding than after observation encoding, but only if response latencies were assessed immediately before the prospective memory task. In addition, Experiment 2 suggested that the size of the intention-superiority effect is related to successful prospective memory performance, thus providing evidence for a functional relationship between accessibility and memory.

  5. Reduced autobiographical memory specificity relates to weak resistance to proactive interference.

    Science.gov (United States)

    Smets, Jorien; Wessel, Ineke; Raes, Filip

    2014-06-01

    Reduced autobiographical memory specificity (rAMS), experiencing intrusive memories, and rumination appear to be risk factors for depression and depressive relapse. The aim of the current study was to investigate whether a weak resistance to proactive interference (PI) might underlie this trio of cognitive risk factors. Resistance to PI refers to being able to ignore cognitive distracters that were previously relevant but became irrelevant for current task goals. Students (N = 65) and depressed patients (N = 37) completed tasks measuring resistance to PI and AMS, and completed questionnaires on intrusive memories and rumination. In both samples, weaker resistance to PI was associated with rAMS. There was no evidence for a relationship between resistance to PI and intrusive memories or rumination. As we did not assess other measures of executive functioning, we cannot conclude whether the observed relationship between rumination and PI is due to unique qualities of PI. Difficulties to deliberately recall specific, rather than general or categoric autobiographical memories appear to be related to more general problems with the inhibition of interference of mental distracters. The results are in line with the executive control account of rAMS. Copyright © 2013 Elsevier Ltd. All rights reserved.

  6. Effect of electrode and interface oxide on the property of ReRAM composed of Pr0.7Ca0.3MnO3

    International Nuclear Information System (INIS)

    Kaji, H; Kondo, H; Fujii, T; Arita, M; Takahashi, Y

    2010-01-01

    The current-voltage (I-V) characteristics of resistance random access memories (ReRAM) composed of the [top electrode] /Pr 0.7 Ca 0.3 MnO 3 (PCMO)/Pt structure were investigated by using Au, Pt, Ag, Cr, Mo and W needles as top electrodes against the PCMO layer. Reproducible resistance switching can be recognized in devices using Cr, Mo and W. Devices using Mo and W electrode showed two type of characteristics: (A) resistance change from low resistance state to high resistance state by positive bias voltage and (B) vice versa. Since the surfaces of these needles may be oxidized, we took account of the effect by the surface oxide. To check this assumption, we annealed the W needles and Mo needles in air and investigated I-V characteristics without the PCMO layer. As a result, the characteristic-(B) was classified to be induced by a surface oxide. Meanwhile, the characteristic-(A) is from PCMO. The existence of the interface oxide between top electrode and PCMO seems to decide the type of characteristics and to influence the reproducibility of the ReRAM property.

  7. Artificial intelligence applications of fast optical memory access

    Science.gov (United States)

    Henshaw, P. D.; Todtenkopf, A. B.

    The operating principles and performance of rapid laser beam-steering (LBS) techniques are reviewed and illustrated with diagrams; their applicability to fast optical-memory (disk) access is evaluated; and the implications of fast access for the design of expert systems are discussed. LBS methods examined include analog deflection (source motion, wavefront tilt, and phased arrays), digital deflection (polarization modulation, reflectivity modulation, interferometric switching, and waveguide deflection), and photorefractive LBS. The disk-access problem is considered, and typical LBS requirements are listed as 38,000 beam positions, rotational latency 25 ms, one-sector rotation time 1.5 ms, and intersector space 87 microsec. The value of rapid access for increasing the power of expert systems (by permitting better organization of blocks of information) is illustrated by summarizing the learning process of the MVP-FORTH system (Park, 1983).

  8. Accessing information in working memory: Can the focus of attention grasp two elements at the same time?

    NARCIS (Netherlands)

    Oberauer, K.; Bialkova, S.E.

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a

  9. Dynamic computing random access memory

    International Nuclear Information System (INIS)

    Traversa, F L; Bonani, F; Pershin, Y V; Di Ventra, M

    2014-01-01

    The present von Neumann computing paradigm involves a significant amount of information transfer between a central processing unit and memory, with concomitant limitations in the actual execution speed. However, it has been recently argued that a different form of computation, dubbed memcomputing (Di Ventra and Pershin 2013 Nat. Phys. 9 200–2) and inspired by the operation of our brain, can resolve the intrinsic limitations of present day architectures by allowing for computing and storing of information on the same physical platform. Here we show a simple and practical realization of memcomputing that utilizes easy-to-build memcapacitive systems. We name this architecture dynamic computing random access memory (DCRAM). We show that DCRAM provides massively-parallel and polymorphic digital logic, namely it allows for different logic operations with the same architecture, by varying only the control signals. In addition, by taking into account realistic parameters, its energy expenditures can be as low as a few fJ per operation. DCRAM is fully compatible with CMOS technology, can be realized with current fabrication facilities, and therefore can really serve as an alternative to the present computing technology. (paper)

  10. Hippocampal Cortactin Levels are Reduced Following Spatial Working Memory Formation, an Effect Blocked by Chronic Calpain Inhibition.

    Science.gov (United States)

    Olson, Mikel L; Ingebretson, Anna E; Harmelink, Katherine M

    2015-06-19

    The mechanism by which the hippocampus facilitates declarative memory formation appears to involve, among other things, restructuring of the actin cytoskeleton within neuronal dendrites. One protein involved in this process is cortactin, which is an important link between extracellular signaling and cytoskeletal reorganization. In this paper, we demonstrate that total hippocampal cortactin, as well as Y421-phosphorylated cortactin are transiently reduced following spatial working memory formation in the radial arm maze (RAM). Because cortactin is a substrate of the cysteine protease calpain, we also assessed the effect of chronic calpain inhibition on RAM performance and cortactin expression. Calpain inhibition impaired spatial working memory and blocked the reduction in hippocampal cortactin levels following RAM training. These findings add to a growing body of research implicating cortactin and calpain in hippocampus-dependent memory formation.

  11. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    Science.gov (United States)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  12. Memory device sensitivity trends in aircraft's environment

    International Nuclear Information System (INIS)

    Bouchet, T.; Fourtine, S.; Calvet, M.C.

    1999-01-01

    The authors present the SEU (single event upset) sensitivity of 31 SRAM (static random access memory) and 8 DRAM (dynamic random access memory) according to their technologies. 2 methods have been used to compute the SEU rate: the NCS (neutron cross section) method and the BGR (burst generation rate) method, the physics data required by both methods have been either found in scientific literature or directly measured. The use of new technologies implies a quicker time response through a dramatic reduction of chip size and of the amount of energy representing 1 bit. The reduction of size makes less particles are likely to interact with the chip but the reduction of the critical charge implies that these interactions are more likely to damage the chip. The SEU sensitivity is then parted between these 2 opposed trends. Results show that for technologies beyond 0,18 μm these 2 trends balance roughly. Nevertheless the feedback experience shows that the number of errors is increasing. This is due to the fact that avionics requires more and more memory to perform numerical functions, the number of bits is increasing so is the risk of errors. As far as SEU is concerned, RAM devices are less and less sensitive comparatively for 1 bit, and DRAM seem to be less sensitive than SRAM. (A.C.)

  13. Boosting the FM-Index on the GPU: Effective Techniques to Mitigate Random Memory Access.

    Science.gov (United States)

    Chacón, Alejandro; Marco-Sola, Santiago; Espinosa, Antonio; Ribeca, Paolo; Moure, Juan Carlos

    2015-01-01

    The recent advent of high-throughput sequencing machines producing big amounts of short reads has boosted the interest in efficient string searching techniques. As of today, many mainstream sequence alignment software tools rely on a special data structure, called the FM-index, which allows for fast exact searches in large genomic references. However, such searches translate into a pseudo-random memory access pattern, thus making memory access the limiting factor of all computation-efficient implementations, both on CPUs and GPUs. Here, we show that several strategies can be put in place to remove the memory bottleneck on the GPU: more compact indexes can be implemented by having more threads work cooperatively on larger memory blocks, and a k-step FM-index can be used to further reduce the number of memory accesses. The combination of those and other optimisations yields an implementation that is able to process about two Gbases of queries per second on our test platform, being about 8 × faster than a comparable multi-core CPU version, and about 3 × to 5 × faster than the FM-index implementation on the GPU provided by the recently announced Nvidia NVBIO bioinformatics library.

  14. Accessing Information in Working Memory: Can the Focus of Attention Grasp Two Elements at the Same Time?

    Science.gov (United States)

    Oberauer, Klaus; Bialkova, Svetlana

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a spatial task investigate time demands for successive…

  15. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    Science.gov (United States)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  16. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    Science.gov (United States)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  17. Hippocampal Cortactin Levels are Reduced Following Spatial Working Memory Formation, an Effect Blocked by Chronic Calpain Inhibition

    Directory of Open Access Journals (Sweden)

    Mikel L. Olson

    2015-06-01

    Full Text Available The mechanism by which the hippocampus facilitates declarative memory formation appears to involve, among other things, restructuring of the actin cytoskeleton within neuronal dendrites. One protein involved in this process is cortactin, which is an important link between extracellular signaling and cytoskeletal reorganization. In this paper, we demonstrate that total hippocampal cortactin, as well as Y421-phosphorylated cortactin are transiently reduced following spatial working memory formation in the radial arm maze (RAM. Because cortactin is a substrate of the cysteine protease calpain, we also assessed the effect of chronic calpain inhibition on RAM performance and cortactin expression. Calpain inhibition impaired spatial working memory and blocked the reduction in hippocampal cortactin levels following RAM training. These findings add to a growing body of research implicating cortactin and calpain in hippocampus-dependent memory formation.

  18. Single port-assisted fully laparoscopic abdominoperineal resection (APR) with immediate V-RAM flap reconstruction of the perineal defect.

    LENUS (Irish Health Repository)

    Ali, Sayid

    2012-09-01

    Abdominoperineal resection (APR) of anorectal cancers after neoadjuvant chemoradiotherapy may incur significant perineal morbidity. While vertical rectus abdominis muscle (V-RAM) flaps can fill the pelvic resection space with health tissue, their use has previously been described predominantly in association with laparotomy. Here, we describe a means of combination laparoscopic APR with V-RAM flap reconstruction that allows structural preservation of the entire abdominal wall throughout the oncological resection and of the deep parietal layers after V-RAM donation. Furthermore, a single port access device used at the end colostomy site allows a second senior surgeon assist with an additional two working instruments for the purpose of improved pelvic tissue retraction, especially useful in obese patients.

  19. Random photonic crystal optical memory

    International Nuclear Information System (INIS)

    Wirth Lima Jr, A; Sombra, A S B

    2012-01-01

    Currently, optical cross-connects working on wavelength division multiplexing systems are based on optical fiber delay lines buffering. We designed and analyzed a novel photonic crystal optical memory, which replaces the fiber delay lines of the current optical cross-connect buffer. Optical buffering systems based on random photonic crystal optical memory have similar behavior to the electronic buffering systems based on electronic RAM memory. In this paper, we show that OXCs working with optical buffering based on random photonic crystal optical memories provides better performance than the current optical cross-connects. (paper)

  20. What versus where: Investigating how autobiographical memory retrieval differs when accessed with thematic versus spatial information.

    Science.gov (United States)

    Sheldon, Signy; Chu, Sonja

    2017-09-01

    Autobiographical memory research has investigated how cueing distinct aspects of a past event can trigger different recollective experiences. This research has stimulated theories about how autobiographical knowledge is accessed and organized. Here, we test the idea that thematic information organizes multiple autobiographical events whereas spatial information organizes individual past episodes by investigating how retrieval guided by these two forms of information differs. We used a novel autobiographical fluency task in which participants accessed multiple memory exemplars to event theme and spatial (location) cues followed by a narrative description task in which they described the memories generated to these cues. Participants recalled significantly more memory exemplars to event theme than to spatial cues; however, spatial cues prompted faster access to past memories. Results from the narrative description task revealed that memories retrieved via event theme cues compared to spatial cues had a higher number of overall details, but those recalled to the spatial cues were recollected with a greater concentration on episodic details than those retrieved via event theme cues. These results provide evidence that thematic information organizes and integrates multiple memories whereas spatial information prompts the retrieval of specific episodic content from a past event.

  1. Memoria RAM. Prolegómenos de una teoría elemental para el estudio comparado de la memoria trans-estatal

    Directory of Open Access Journals (Sweden)

    Juan Carlos Cruz Suárez

    2013-11-01

    Full Text Available The present study intends to open a new theoretical means of analysis within the field of comparative memories. Through the metaphorical designation of RAM memory we will try to observe the continuous circulation of social discourses related to the collective memory of a particular community. This constant movement of memory topics produces the internalization of those issues within the social discourse system. This fact leads to suppose that all the textual material produced by a certain social group remains outside the general archive and, therefore, acquires preponderance as a generative power of an inter-discursive dialogue that transcends the local sphere and gets inserted into the global framework.

  2. Large Capacity of Conscious Access for Incidental Memories in Natural Scenes.

    Science.gov (United States)

    Kaunitz, Lisandro N; Rowe, Elise G; Tsuchiya, Naotsugu

    2016-09-01

    When searching a crowd, people can detect a target face only by direct fixation and attention. Once the target is found, it is consciously experienced and remembered, but what is the perceptual fate of the fixated nontarget faces? Whereas introspection suggests that one may remember nontargets, previous studies have proposed that almost no memory should be retained. Using a gaze-contingent paradigm, we asked subjects to visually search for a target face within a crowded natural scene and then tested their memory for nontarget faces, as well as their confidence in those memories. Subjects remembered up to seven fixated, nontarget faces with more than 70% accuracy. Memory accuracy was correlated with trial-by-trial confidence ratings, which implies that the memory was consciously maintained and accessed. When the search scene was inverted, no more than three nontarget faces were remembered. These findings imply that incidental memory for faces, such as those recalled by eyewitnesses, is more reliable than is usually assumed. © The Author(s) 2016.

  3. Spatial memory deficits in a virtual reality eight-arm radial maze in schizophrenia.

    Science.gov (United States)

    Spieker, Elena A; Astur, Robert S; West, Jeffrey T; Griego, Jacqueline A; Rowland, Laura M

    2012-03-01

    Learning and memory impairments are present in schizophrenia (SZ) throughout the illness course and predict psychosocial function. Abnormalities in prefrontal and hippocampal function are thought to contribute to SZ deficits. The radial arm maze (RAM) is a test of spatial learning and memory in rodents that relies on intact prefrontal and hippocampal function. The goal of the present study was to investigate spatial learning in SZ using a virtual RAM. Thirty-three subjects with SZ and thirty-nine healthy controls (HC) performed ten trials of a virtual RAM task. Subjects attempted to learn to retrieve four rewards each located in separate arms. As expected, subjects with SZ used more time and traveled more distance to retrieve rewards, made more reference (RM) and working memory (WM) errors, and retrieved fewer rewards than HC. It is important to note that the SZ group did learn but did not reach the level of HC. Whereas RM errors decreased across trials in the SZ group, WM errors did not. There were no significant relationships between psychiatric symptom severity and maze performance. To our knowledge, use of a virtual 8-arm radial maze task in SZ to assess spatial learning is novel. Impaired virtual RAM performance in SZ is consistent with studies that examined RAM performance in animal models of SZ. Results provide further support for compromised prefrontal and hippocampal function underlying WM and RM deficits in SZ. The virtual RAM task could help bridge preclinical and clinical research for testing novel drug treatments of SZ. Copyright © 2011 Elsevier B.V. All rights reserved.

  4. Laser-propelled ram accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Sasoh, A. [Tohoku Univ., Sendai (Japan). Inst. of Fluid Science

    2000-11-01

    The concept of 'laser-propelled ram accelerator (L-RAMAC)' is proposed. Theoretically it is capable of achieving a higher launch speed than that by a chemical ram accelerator because a higher specific energy can be input to the propellant gas. The laser beam is supplied through the muzzle, focused as an annulus behind the base of the projectile. The performance of L-RAMAC is analized based on generalized Rankine-Hugoniot relations, suggesting that a superorbital muzzle speed is achievable out of this device. (orig.)

  5. 75 FR 20564 - Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit...

    Science.gov (United States)

    2010-04-20

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit for Preliminary Results of Countervailing Duty... access memory semiconductors from the Republic of Korea, covering the period January 1, 2008 through...

  6. Radioactive material (RAM) accident/incident data analysis program

    International Nuclear Information System (INIS)

    Emerson, E.L.; McClure, J.D.

    1985-03-01

    This report describes the development of the Radioactive Material Transportation Accident/Incident Data Base (RAM-AIDB), which contains information on the occurrences of transportation accidents and incidents, for radioactive materials (RAM) that are involved in the process of transportation, loading and unloading operation, or temporary storage. These transportation operations are in support of the nuclear fuel cycle for electrical energy generation. This study analyzes in some detail basic accident/incident statistical data, RAM packaging accident response data, and the health effects associated with RAM transport accidents/incidents. This report presents a summary of US RAM transport accident/incident experience for the period 1971 through December 1981. In addition, a sample annual summary of accident/incident experience is presented for the calendar year 1981

  7. Charge transfer in rectifying oxide heterostructures and oxide access elements in ReRAM

    Energy Technology Data Exchange (ETDEWEB)

    Stefanovich, G. B.; Pergament, A. L.; Boriskov, P. P.; Kuroptev, V. A., E-mail: v.a.kuroptev@gmail.com; Stefanovich, T. G. [Petrozavodsk State University (Russian Federation)

    2016-05-15

    The main aspects of the synthesis and experimental research of oxide diode heterostructures are discussed with respect to their use as selector diodes, i.e., access elements in oxide resistive memory. It is shown that charge transfer in these materials differs significantly from the conduction mechanism in p–n junctions based on conventional semiconductors (Si, Ge, A{sup III}–B{sup V}), and the model should take into account the electronic properties of oxides, primarily the low carrier drift mobility. It is found that an increase in the forward current requires an oxide with a small band gap (<1.3 eV) in the heterostructure composition. Heterostructures with Zn, In–Zn (IZO), Ti, Ni, and Cu oxides are studied; it is found that the CuO–IZO heterojunction has the highest forward current density (10{sup 4} A/cm{sup 2}).

  8. Sporadic error probability due to alpha particles in dynamic memories of various technologies

    International Nuclear Information System (INIS)

    Edwards, D.G.

    1980-01-01

    The sensitivity of MOS memory components to errors induced by alpha particles is expected to increase with integration level. The soft error rate of a 65-kbit VMOS memory has been compared experimentally with that of three field-proven 16-kbit designs. The technological and design advantages of the VMOS RAM ensure an error rate which is lower than those of the 16-kbit memories. Calculation of the error probability for the 65-kbit RAM and comparison with the measurements show that for large duty cycles single particle hits lead to sensing errors and for small duty cycles cell errors caused by multiple hits predominate. (Auth.)

  9. Simulation of pulsed-ionizing-radiation-induced errors in CMOS memory circuits

    International Nuclear Information System (INIS)

    Massengill, L.W.

    1987-01-01

    Effects of transient ionizing radiation on complementary metal-oxide-semiconductor (CMOS) memory circuits was studied by computer simulation. Simulation results have uncovered the dominant mechanism leading to information loss (upset) in dense (CMOS) circuits: rail span collapse. This effect is the catastrophic reduction in the local power supply at a RAM cell location due to the conglomerate radiation-induced photocurrents from all other RAM cells flowing through the power-supply-interconnect distribution. Rail-span collapse leads to reduced RAM cell-noise margins and can predicate upset. Results show that rail-span collapse in the dominant pulsed radiation effect in many memory circuits, preempting local circuit responses to the radiation. Several techniques to model power-supply noise, such as that arising from rail span collapse, are presented in this work. These include an analytical model for design optimization against these effects, a hierarchical computer-analysis technique for efficient power bus noise simulation in arrayed circuits, such as memories, and a complete circuit-simulation tool for noise margin analysis of circuits with arbitrary topologies

  10. Ring interconnection for distributed memory automation and computing system

    Energy Technology Data Exchange (ETDEWEB)

    Vinogradov, V I [Inst. for Nuclear Research of the Russian Academy of Sciences, Moscow (Russian Federation)

    1996-12-31

    Problems of development of measurement, acquisition and central systems based on a distributed memory and a ring interface are discussed. It has been found that the RAM LINK-type protocol can be used for ringlet links in non-symmetrical distributed memory architecture multiprocessor system interaction. 5 refs.

  11. NRAM: a disruptive carbon-nanotube resistance-change memory

    Science.gov (United States)

    Gilmer, D. C.; Rueckes, T.; Cleveland, L.

    2018-04-01

    Advanced memory technology based on carbon nanotubes (CNTs) (NRAM) possesses desired properties for implementation in a host of integrated systems due to demonstrated advantages of its operation including high speed (nanotubes can switch state in picoseconds), high endurance (over a trillion), and low power (with essential zero standby power). The applicable integrated systems for NRAM have markets that will see compound annual growth rates (CAGR) of over 62% between 2018 and 2023, with an embedded systems CAGR of 115% in 2018-2023 (http://bccresearch.com/pressroom/smc/bcc-research-predicts:-nram-(finally)-to-revolutionize-computer-memory). These opportunities are helping drive the realization of a shift from silicon-based to carbon-based (NRAM) memories. NRAM is a memory cell made up of an interlocking matrix of CNTs, either touching or slightly separated, leading to low or higher resistance states respectively. The small movement of atoms, as opposed to moving electrons for traditional silicon-based memories, renders NRAM with a more robust endurance and high temperature retention/operation which, along with high speed/low power, is expected to blossom in this memory technology to be a disruptive replacement for the current status quo of DRAM (dynamic RAM), SRAM (static RAM), and NAND flash memories.

  12. A propósito de José Luis Brea: del archivo a la RAM

    Directory of Open Access Journals (Sweden)

    Fernando Broncano

    2014-06-01

    Full Text Available The philosopher José Luis Brea proposes a notion of memory spread around a network of connections, which reveals a conception of culture based on a RAM structure and contrary to the culture of archive. The underwent changes in the classification of disciplines caused by individual’s attitudes deriving from the new media hyperconnectivity environment will be reviewed here and the relevance in the present humanities of concepts such as exile, frontier and question without answer.

  13. Access time optimization of SRAM memory with statistical yield constraint

    NARCIS (Netherlands)

    Doorn, T.S.; Maten, ter E.J.W.; Di Bucchianico, A.; Beelen, T.G.J.; Janssen, H.H.J.M.

    2012-01-01

    A product may fail when design parameters are subject to large deviations. To guarantee yield one likes to determine bounds on the parameter range such that the fail probability P_fail is small. For Static Random Access Memory (SRAM) characteristics like Static Noise Margin and Read Current,

  14. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  15. A Strategic Analysis in Dynamic Random Access Memory Industry in Taiwan

    OpenAIRE

    Chen, Yen-Chun

    2009-01-01

    The credit crisis and global economic recession have severely impacted on Integrated Circuit (IC) industry particularly in Dynamic Random Access Memory (DRAM) industry. The average selling price declined below the cost of chip and almost all memory producers are lack of cash flow. One of the global three 3 producers has been driven out of this industry and all Taiwanese DRAM vendors are facing to a dilemma on how they can survive through the economic recession and oversupply circumstance. Thi...

  16. Block RAM-based architecture for real-time reconfiguration using Xilinx® FPGAs

    Directory of Open Access Journals (Sweden)

    Rikus le Roux

    2015-07-01

    Full Text Available Despite the advantages dynamic reconfiguration adds to a system, it only improves system performance if the execution time exceeds the configuration time. As a result, dynamic reconfiguration is only capable of improving the performance of quasi-static applications. In order to improve the performance of dynamic applications, researchers focus on improving the reconfiguration throughput. These approaches are mostly limited by the bus commonly used to connect the configuration controller to the memory, which contributes to the configuration time. A method proposed to ameliorate this overhead is an architecture utilizing localised block RAM (BRAM connected to the configuration controller to store the configuration bitstream. The aim of this paper is to illustrate the advantages of the proposed architecture, especially for reconfiguring real-time applications. This is done by validating the throughput of the architecture and comparing this to the maximum theoretical throughput of the internal configuration access port (ICAP. It was found that the proposed architecture is capable of reconfiguring an application within a time-frame suitable for real-time reconfiguration. The drawback of this method is that the BRAM is extremely limited and only a discrete set of configurations can be stored. This paper also proposes a method on how this can be mitigated without affecting the throughput.

  17. Soft errors in dynamic random access memories - a basis for dosimetry

    International Nuclear Information System (INIS)

    Haque, A.K.M.M.; Yates, J.; Stevens, D.

    1986-01-01

    The soft error rates of a number of 64k and 256k dRAMs from several manufacturers have been measured, employing a MC 68000 microprocessor. For this 'accelerated test' procedure, a 37 kBq (1 μCi) 241 Am alpha emitting source was used. Both 64k and 256k devices exhibited widely differing error rates. It was generally observed that the spread of errors over a particular device/manufacturer was much smaller than the differences between device families and manufacturers. Bit line errors formed a significant part of the total for 64k dRAMs, whereas in 256k dRAMs cell errors dominated; the latter also showed an enhanced sensitivity to integrated dose leading to total failure, and a time-dependent recovery. Although several theoretical models explain soft error mechanisms and predict responses which are compatible with our experimental results, it is considered that microdosimetric and track structure methods should be applied to the problem for its better appreciation. Finally, attention is drawn to the need for further studies of dRAMs, with a view to their use as digital dosemeters. (author)

  18. Reduced autobiographical memory specificity relates to weak resistance to proactive interference

    NARCIS (Netherlands)

    Smets, Jorien; Wessel, Ineke; Raes, Filip

    Background and objectives: Reduced autobiographical memory specificity (rAMS), experiencing intrusive memories, and rumination appear to be risk factors for depression and depressive relapse. The aim of the current study was to investigate whether a weak resistance to proactive interference (PI)

  19. RAMS data collection under Arctic conditions

    International Nuclear Information System (INIS)

    Barabadi, Abbas; Tobias Gudmestad, Ove; Barabady, Javad

    2015-01-01

    Reliability, availability, maintainability and supportability analysis is an important step in the design and operation of production processes and technology. Historical data such as time between failures and time to repairs play an important role in such analysis. The data must reflect the conditions that equipment has experienced during its operating time. To have a precise understanding of the conditions experienced, all influence factors on the failure and repair processes of a production facility in Arctic environment need to be identified and collected in the database. However, there is a lack of attention to collect the effect of influence factors in the reliability, availability, maintainability and supportability database. Hence, the aim of this paper is to discuss the challenges of the available methods of data collection and suggest a methodology for data collection considering the effect of environmental conditions. Application of the methodology will make the historical RAMS data of a system more applicable and useful for the design and operation of the system in different types of operational environments. - Highlights: • The challenges related to use of the available RAMS data is discussed. • It is important to collect information about operational condition in RAMS data. • A methodology for RAMS data collection considering environment condition is suggested. • Information about influence factors will make the result of RAMS analysis more applicable

  20. Estimation of the LET threshold of single event upset of microelectronics in experiments with Cf-252

    International Nuclear Information System (INIS)

    Kuznetsov, N.V.; Nymmik, R.A.

    1996-01-01

    A method is proposed for analyzing single event upsets (SEU) in large scale integration circuits of random access memory (RAM) when exposed to Cf-252 fission fragments. The method makes is possible to find the RAM linear energy transfer (LET) threshold to be used for estimations of RAM SEU rates in space. The method is illustrated by analyzing experimental data for the 2 x 8 kbit CMOS/bulk RAM. (author)

  1. Shared random access memory resource for multiprocessor real-time systems

    International Nuclear Information System (INIS)

    Dimmler, D.G.; Hardy, W.H. II

    1977-01-01

    A shared random-access memory resource is described which is used within real-time data acquisition and control systems with multiprocessor and multibus organizations. Hardware and software aspects are discussed in a specific example where interconnections are done via a UNIBUS. The general applicability of the approach is also discussed

  2. Remote direct memory access over datagrams

    Science.gov (United States)

    Grant, Ryan Eric; Rashti, Mohammad Javad; Balaji, Pavan; Afsahi, Ahmad

    2014-12-02

    A communication stack for providing remote direct memory access (RDMA) over a datagram network is disclosed. The communication stack has a user level interface configured to accept datagram related input and communicate with an RDMA enabled network interface card (NIC) via an NIC driver. The communication stack also has an RDMA protocol layer configured to supply one or more data transfer primitives for the datagram related input of the user level. The communication stack further has a direct data placement (DDP) layer configured to transfer the datagram related input from a user storage to a transport layer based on the one or more data transfer primitives by way of a lower layer protocol (LLP) over the datagram network.

  3. Nanoscale Tapered Pt Bottom Electrode Fabricated by FIB for Low Power and Highly Stable Operations of Phase Change Memory

    International Nuclear Information System (INIS)

    Shi-Long, Lv; Zhi-Tang, Song; Yan, Liu; Song-Lin, Feng

    2010-01-01

    Phase change random access memory (PC-RAM) based on Si 2 Sb 2 Te 5 with a Pt tapered heating electrode (Pt-THE), which is fabricated using a focus ion beam (FIB), is investigated. Compared with the tungsten electrode, the Pt-THE facilitates the temperature rise in phase change material, which causes the decrease of reset voltage from 3.6 to 2.7 V. The programming region of the cell with the Pt-THE is smaller than that of the cell with a cylindrical tungsten heating electrode. The improved performance of the PC-RAM with a Pt-THE is attributed to the higher resistivity and lower thermal conductivity of the Pt electrode, and the reduction of the programming region, which is also verified by thermal simulation. (cross-disciplinary physics and related areas of science and technology)

  4. Analyzing the Influence of the Angles of Incidence and Rotation on MBU Events Induced by Low LET Heavy Ions in a 28-nm SRAM-Based FPGA

    Science.gov (United States)

    Tonfat, Jorge; Kastensmidt, Fernanda Lima; Artola, Laurent; Hubert, Guillaume; Medina, Nilberto H.; Added, Nemitala; Aguiar, Vitor A. P.; Aguirre, Fernando; Macchione, Eduardo L. A.; Silveira, Marcilei A. G.

    2017-08-01

    This paper shows the impact of low linear energy transfer heavy ions on the reliability of 28-nm Bulk static random access memory (RAM) cells from Artix-7 field-programmable gate array. Irradiation tests on the ground showed significant differences in the multiple bit upset cross section of configuration RAM and block RAM memory cells under various angles of incidence and rotation of the device. Experimental data are analyzed at transistor level by using the single-event effect prediction tool called multiscale single-event phenomenon prediction platform coupled with SPICE simulations.

  5. Rumenolith formation in a Bapedi ram

    Directory of Open Access Journals (Sweden)

    Rhoda Leask

    2012-04-01

    Full Text Available During a routine flock visit, a farmer observed that one of the eight tooth Bapedi rams had been losing body condition despite being separated from the flock and fed supplementary feed. The ram’s body condition score was assessed as 2 out of 5 (one point less than the average of the rest of the rams and the teeth appeared normal with no excessive wear. The rumen was assessed by auscultation, palpation and ballottement where a foreign body (approximately 20 cm × 5 cm – 10 cm was clearly palpated and ballotted. A rumenotomy was performed and a large mass of tightly compacted foreign matter and plant material was removed. The mass consisted of synthetic fibre, plant material and calcium phosphate (50.5%. It appeared to have formed as the result of the ingestion of a synthetic fibre which formed the nidus of a concretion. This was probably the result of deficient nutrition, with the rams eating the synthetic fibre in an attempt to increase feed intake. The ram recovered uneventfully after the rumenotomy was performed and supplementary feeding.

  6. Room-Temperature Voltage Stressing Effects on Resistive Switching of Conductive-Bridging RAM Cells with Cu-Doped SiO2 Films

    Directory of Open Access Journals (Sweden)

    Jian-Yang Lin

    2014-01-01

    Full Text Available SiO2 or Cu-doped SiO2 (Cu:SiO2 insulating films combined with Cu or W upper electrodes were constructed on the W/Si substrates to form the conductive-bridging RAM (CB-RAM cells. The CB-RAMs were then subjected to a constant-voltage stressing (CVS at room temperature. The experimental results show that the room-temperature CVS treatment can effectively affect the current conduction behavior and stabilize the resistive switching of the memory cells. After the CVS, the current conduction mechanisms in the high resistance state during the set process of the Cu/Cu:SiO2/W cell can be changed from Ohm’s law and the space charge limited conduction to Ohm’s law, the Schottky emission, and the space charge limited conduction. Presumably, it is due to the breakage of the conduction filaments during the CVS treatment that the conduction electrons cannot go back to the back electrode smoothly.

  7. Effect of breed and age on sexual behaviour of rams.

    Science.gov (United States)

    Simitzis, Panagiotis E; Deligeorgis, Stelios G; Bizelis, Joseph A

    2006-05-01

    The objective of this study was to highlight the problems that arise during the reproduction between thin-tailed rams and fat-tailed ewes. At the same time, particular emphasis laid on the influence of sheep breed, sheep age, time after ram introduction and day of the ewe estrus cycle on ram and ewe sexual behaviour. Rams were subjected to sexual performance tests by being individually exposed to 12 ewes for 3 h daily, 19 consecutive days. The 16 rams of the experiment were separated according to their age (9 and 21 months old) and breed (Chios and Karagouniki), and the 96 ewes of Chios fat-tailed breed, were divided by age (9 and 21 months old). The main characteristics of courtship behaviour, like sniffing, nudging, flehmen response and following were recorded and studied in detail. Mature Chios rams, which were the only one with previous experience of Chios ewes, exhibited higher rates of sexual interest per ewe than the other rams (P nudged more young than mature ewes (P behaviour when they courted with Chios fat-tailed ewes in comparison with Chios rams (P behaviour components decreased (P < 0.001). Finally, the effect of the day of the experiment was only significant in the case of sniffing, which increased during the first 2 days and then declined and stabilized (P < 0.01). As it was demonstrated, ram age and ram breed played a fundamental role in the exhibition of sexual interest elements.

  8. PREPARATION OF TEASER RAMS BY LATERAL DEVIATION OF PREPUCE AND PENIS

    Directory of Open Access Journals (Sweden)

    Rashid Ahmad

    2003-07-01

    Full Text Available For oestrus detection in sheep while in flocks, limited exposure is given to rams with tied apron in front of their prepucial opening. For better and un-feared use of rams for oestrus detection and for effect of , ram exposure on postpartum reproductive characteristics of ewes, rams before the attainment of puberty and those sexually mature (adult rams were operated for lateral deviation of prepuce and penis. The operations were successful, no post operative complications were recorded and these rams exhibited normal libido and were found efficient for oestrus detection in ewes.

  9. SUPER CAVIAR: Memory mapping the general-purpose microcomputer

    International Nuclear Information System (INIS)

    Cittolin, S.; Taylor, B.G.

    1981-01-01

    Over the past 3 years, CAVIAR (CAMAC Video Autonomous Read-out) microcomputers have been applied in growing numbers at CERN and related institutes. As typical user programs expanded in size, and the incorporated firmware libraries were enlarged also, the microprocessor addressing limit of 64 Kbytes became a serious constraint. An enhanced microcomputer, SUPER CAVIAR, has now been created by the incorporation of memory mapping to expand the physical address space to 344 Kbytes. The new facility provides independent firmware and RAM maps, dynamic allocation of common RAM, automatic inter-page transfer modes, and a RAM/EPROM overlay. A memory-based file system has been implemented, and control and data can be interchanged between separate programs in different RAM maps. 84 Kbytes of EPROM are incorporated on the mapper card itself, as well as an ADLC serial data link. In addition to providing more space for consolidated user programs and data, SUPER CAVIAR has allowed the introduction of several improvements to the BAMBI interpreter and extensions to the CAVIAR libraries. A context editor and enhanced debug monitor have been added, as well as new data types and extended array-handling and graphics routines, including isoline plotting, line-fitting and FFT operations. A SUPER CAVIAR converter has been developed which allows a standard CAVIAR to be upgraded to incorporate the new facilities without loss of the existing investment. (orig.)

  10. A study of the switching mechanism and electrode material of fully CMOS compatible tungsten oxide ReRAM

    Science.gov (United States)

    Chien, W. C.; Chen, Y. C.; Lai, E. K.; Lee, F. M.; Lin, Y. Y.; Chuang, Alfred T. H.; Chang, K. P.; Yao, Y. D.; Chou, T. H.; Lin, H. M.; Lee, M. H.; Shih, Y. H.; Hsieh, K. Y.; Lu, Chih-Yuan

    2011-03-01

    Tungsten oxide (WO X ) resistive memory (ReRAM), a two-terminal CMOS compatible nonvolatile memory, has shown promise to surpass the existing flash memory in terms of scalability, switching speed, and potential for 3D stacking. The memory layer, WO X , can be easily fabricated by down-stream plasma oxidation (DSPO) or rapid thermal oxidation (RTO) of W plugs universally used in CMOS circuits. Results of conductive AFM (C-AFM) experiment suggest the switching mechanism is dominated by the REDOX (Reduction-oxidation) reaction—the creation of conducting filaments leads to a low resistance state and the rupturing of the filaments results in a high resistance state. Our experimental results show that the reactions happen at the TE/WO X interface. With this understanding in mind, we proposed two approaches to boost the memory performance: (i) using DSPO to treat the RTO WO X surface and (ii) using Pt TE, which forms a Schottky barrier with WO X . Both approaches, especially the latter, significantly reduce the forming current and enlarge the memory window.

  11. Unconditionally Secure Protocols

    DEFF Research Database (Denmark)

    Meldgaard, Sigurd Torkel

    This thesis contains research on the theory of secure multi-party computation (MPC). Especially information theoretically (as opposed to computationally) secure protocols. It contains results from two main lines of work. One line on Information Theoretically Secure Oblivious RAMS, and how....... We construct an oblivious RAM that hides the client's access pattern with information theoretic security with an amortized $\\log^3 N$ query overhead. And how to employ a second server that is guaranteed not to conspire with the first to improve the overhead to $\\log^2 N$, while also avoiding...... they are used to speed up secure computation. An Oblivious RAM is a construction for a client with a small $O(1)$ internal memory to store $N$ pieces of data on a server while revealing nothing more than the size of the memory $N$, and the number of accesses. This specifically includes hiding the access pattern...

  12. Laser Boost of a Small Interstellar Ram Jet to Obtain Operational Velocity. Implications for the DM Rocket/Ram Jet Model

    Science.gov (United States)

    Walcott Beckwith, Andrew

    2010-05-01

    In other conference research papers, Beckwith obtained a maximum DM mass/energy value of up to 5 TeV, as opposed to 400 GeV for DM, which may mean more convertible power for a dark matter ram jet. The consequences are from assuming that axions are CDM, and KK gravitons are for WDM, then ρWarm-Dark-Matter would dominate not only structure formation in early universe formation, but would also influence the viability of the DM ram jet applications for interstellar travel. The increase in convertible DM mass makes the ram jet a conceivable option. This paper in addition to describing the scientific issues leading to that 5 TeV mass for DM also what are necessary and sufficient laser boost systems which would permit a ram net to become operational.

  13. Priority Queues Resilient to Memory Faults

    DEFF Research Database (Denmark)

    Jørgensen, Allan Grønlund; Moruz, Gabriel; Mølhave, Thomas

    2007-01-01

    In the faulty-memory RAM model, the content of memory cells can get corrupted at any time during the execution of an algorithm, and a constant number of uncorruptible registers are available. A resilient data structure in this model works correctly on the set of uncorrupted values. In this paper we...... introduce a resilient priority queue. The deletemin operation of a resilient priority queue returns either the minimum uncorrupted element or some corrupted element. Our resilient priority queue uses $O(n)$ space to store $n$ elements. Both insert and deletemin operations are performed in $O(\\log n......+\\delta)$ time amortized, where $\\delta$ is the maximum amount of corruptions tolerated. Our priority queue matches the performance of classical optimal priority queues in the RAM model when the number of corruptions tolerated is $O(\\log n)$. We prove matching worst case lower bounds for resilient priority...

  14. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  15. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    International Nuclear Information System (INIS)

    Yeom, Seung-Won; Kim, Tan-Young; Ha, Hyeon Jun; Ju, Byeong-Kwon; Shin, Sang-Chul; Shim, Jae Won; Lee, Yun-Hi

    2016-01-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al 2 O 3 -based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400–800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al 2 O 3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole–Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al 2 O 3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices. (letter)

  16. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    Science.gov (United States)

    Yeom, Seung-Won; Shin, Sang-Chul; Kim, Tan-Young; Ha, Hyeon Jun; Lee, Yun-Hi; Shim, Jae Won; Ju, Byeong-Kwon

    2016-02-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al2O3-based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400-800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al2O3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole-Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al2O3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices.

  17. The Development of Male-Oriented Behavior in Rams

    OpenAIRE

    Roselli, Charles E.; Reddy, Radhika; Kaufman, Katherine

    2011-01-01

    The sheep offers a unique mammalian model in which to study paradoxical same-sex sexual partner preferences. Variations in sexual partner preferences occur spontaneously with as many as 8% of rams in a population exhibiting a sexual preference for other rams (male-oriented). The current review presents an overview and update of the male-oriented ram model and discusses several theories that have been invoked to explain same sex preferences in this species. Although our understanding of the bi...

  18. Transmission electron microscopy assessment of conductive-filament formation in Ni-HfO2-Si resistive-switching operational devices

    Science.gov (United States)

    Martín, Gemma; González, Mireia B.; Campabadal, Francesca; Peiró, Francesca; Cornet, Albert; Estradé, Sònia

    2018-01-01

    Resistive random-access memory (ReRAM) devices are currently the object of extensive research to replace flash non-volatile memory. However, elucidation of the conductive-filament formation mechanisms in ReRAM devices at nanoscale is mandatory. In this study, the different states created under real operation conditions of HfO2-based ReRAM devices are characterized through transmission electron microscopy and electron energy-loss spectroscopy. The physical mechanism behind the conductive-filament formation in Ni/HfO2/Si ReRAM devices based on the diffusion of Ni from the electrode to the Si substrate and of Si from the substrate to the electrode through the HfO2 layer is demonstrated.

  19. Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit

    Science.gov (United States)

    Natsui, Masanori; Hanyu, Takahiro

    2018-04-01

    In realizing a nonvolatile microcontroller unit (MCU) for sensor nodes in Internet-of-Things (IoT) applications, it is important to solve the data-transfer bottleneck between the central processing unit (CPU) and the nonvolatile memory constituting the MCU. As one circuit-oriented approach to solving this problem, we propose a memory access minimization technique for magnetoresistive-random-access-memory (MRAM)-embedded nonvolatile MCUs. In addition to multiplexing and prefetching of memory access, the proposed technique realizes efficient instruction fetch by eliminating redundant memory access while considering the code length of the instruction to be fetched and the transition of the memory address to be accessed. As a result, the performance of the MCU can be improved while relaxing the performance requirement for the embedded MRAM, and compact and low-power implementation can be performed as compared with the conventional cache-based one. Through the evaluation using a system consisting of a general purpose 32-bit CPU and embedded MRAM, it is demonstrated that the proposed technique increases the peak efficiency of the system up to 3.71 times, while a 2.29-fold area reduction is achieved compared with the cache-based one.

  20. The special role of item-context associations in the direct-access region of working memory.

    Science.gov (United States)

    Campoy, Guillermo

    2017-09-01

    The three-embedded-component model of working memory (WM) distinguishes three representational states corresponding to three WM regions: activated long-term memory, direct-access region (DAR), and focus of attention. Recent neuroimaging research has revealed that access to the DAR is associated with enhanced hippocampal activity. Because the hippocampus mediates the encoding and retrieval of item-context associations, it has been suggested that this hippocampal activation is a consequence of the fact that item-context associations are particularly strong and accessible in the DAR. This study provides behavioral evidence for this view using an item-recognition task to assess the effect of non-intentional encoding and maintenance of item-location associations across WM regions. Five pictures of human faces were sequentially presented in different screen locations followed by a recognition probe. Visual cues immediately preceding the probe indicated the location thereof. When probe stimuli appeared in the same location that they had been presented within the memory set, the presentation of the cue was expected to elicit the activation of the corresponding WM representation through the just-established item-location association, resulting in faster recognition. Results showed this same-location effect, but only for items that, according to their serial position within the memory set, were held in the DAR.

  1. Differential gene expression by RamA in ciprofloxacin-resistant Salmonella Typhimurium.

    Directory of Open Access Journals (Sweden)

    Jie Zheng

    Full Text Available Overexpression of ramA has been implicated in resistance to multiple drugs in several enterobacterial pathogens. In the present study, Salmonella Typhimurium strain LTL with constitutive expression of ramA was compared to its ramA-deletion mutant by employing both DNA microarrays and phenotype microarrays (PM. The mutant strain with the disruption of ramA showed differential expression of at least 33 genes involved in 11 functional groups. The study confirmed at the transcriptional level that the constitutive expression of ramA was directly associated with increased expression of multidrug efflux pump AcrAB-TolC and decreased expression of porin protein OmpF, thereby conferring multiple drug resistance phenotype. Compared to the parent strain constitutively expressing ramA, the ramA mutant had increased susceptibility to over 70 antimicrobials and toxic compounds. The PM analysis also uncovered that the ramA mutant was better in utilization of 10 carbon sources and 5 phosphorus sources. This study suggested that the constitutive expression of ramA locus regulate not only multidrug efflux pump and accessory genes but also genes involved in carbon metabolic pathways.

  2. PREPARATION OF TEASER RAMS BY LATERAL DEVIATION OF PREPUCE AND PENIS

    OpenAIRE

    Rashid Ahmad

    2003-01-01

    For oestrus detection in sheep while in flocks, limited exposure is given to rams with tied apron in front of their prepucial opening. For better and un-feared use of rams for oestrus detection and for effect of , ram exposure on postpartum reproductive characteristics of ewes, rams before the attainment of puberty and those sexually mature (adult rams) were operated for lateral deviation of prepuce and penis. The operations were successful, no post operative complications were recorded and t...

  3. Remote direct memory access

    Science.gov (United States)

    Archer, Charles J.; Blocksome, Michael A.

    2012-12-11

    Methods, parallel computers, and computer program products are disclosed for remote direct memory access. Embodiments include transmitting, from an origin DMA engine on an origin compute node to a plurality target DMA engines on target compute nodes, a request to send message, the request to send message specifying a data to be transferred from the origin DMA engine to data storage on each target compute node; receiving, by each target DMA engine on each target compute node, the request to send message; preparing, by each target DMA engine, to store data according to the data storage reference and the data length, including assigning a base storage address for the data storage reference; sending, by one or more of the target DMA engines, an acknowledgment message acknowledging that all the target DMA engines are prepared to receive a data transmission from the origin DMA engine; receiving, by the origin DMA engine, the acknowledgement message from the one or more of the target DMA engines; and transferring, by the origin DMA engine, data to data storage on each of the target compute nodes according to the data storage reference using a single direct put operation.

  4. Towards a 16 kilobit, subnanosecond Josephson RAM

    International Nuclear Information System (INIS)

    Herr, Q.P.; Eaton, L.

    1999-01-01

    A critical component of ultrahigh-speed Josephson logic systems is compatible memory. We are developing a fast Josephson memory that could be used as a small memory or first-level cache. Performance goals include sub-ns access and cycle time, 16 kbit cm -2 integration scale, low power consumption and appreciable yield. Initial test results on circuits fabricated in TRW's standard Nb integrated circuit process indicate that all these goals may be achieved. A 5 bit parallel decoder and 1 kbit memory array have been tested at 0.5 GHz. The maximum operating frequency of the memory array was limited by the test equipment. Circuit density is consistent with 16 kbit cm -2 . The top-level architecture has been chosen to achieve high throughput and low skew. The architecture is word organized, multiported and interleaved. (author)

  5. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems.

    Science.gov (United States)

    Shehzad, Danish; Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  6. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems

    Directory of Open Access Journals (Sweden)

    Danish Shehzad

    2016-01-01

    Full Text Available Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  7. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.; Fang, Z.; Li, K.; Bosman, M.; Raghavan, N.; Li, X.; Yu, H. Y.; Singh, N.; Lo, G. Q.; Zhang, Xixiang; Pey, K. L.

    2011-01-01

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission

  8. Nanostructure-property relations for phase-change random access memory (PCRAM) line cells

    NARCIS (Netherlands)

    Kooi, B. J.; Oosthoek, J. L. M.; Verheijen, M. A.; Kaiser, M.; Jedema, F. J.; Gravesteijn, D. J.

    2012-01-01

    Phase-change random access memory (PCRAM) cells have been studied extensively using electrical characterization and rather limited by detailed structure characterization. The combination of these two characterization techniques has hardly been exploited and it is the focus of the present work.

  9. A Decision Model for Selection of Microcomputers and Operating Systems.

    Science.gov (United States)

    1984-06-01

    is resilting in application software (for microccmputers) being developed almost exclu- sively tor the IBM PC and compatiole systems. NAVDAC ielt that...location can be indepen- dently accessed. RAN memory is also often called read/ write memory, hecause new information can be written into and read from...when power is lost; this is also read/ write memory. Bubble memory, however, has significantly slower access times than RAM or RON and also is not preva

  10. A RAM: Realidade e Utopia

    OpenAIRE

    Pereira, Carlos Santos

    2003-01-01

    Evoluções tecnológicas recentes, nomeadamente em matéria de electrónica e de informática, e a busca de conceitos operacionais adaptados às novas tecnologias estão a revolucionar a arte da guerra. O êxito da “Tempestade no Deserto”, em 1991 e das sucessivas intervenções militares dos EUA nos anos seguintes mais reforçaram a ideia de que se estava perante uma verdadeira revolução – É a Revolução nos Assuntos Militares (RAM). Na realidade, a RAM é um fenómeno comple...

  11. Ram Pressure Stripping Made Easy: An Analytical Approach

    Science.gov (United States)

    Köppen, J.; Jáchym, P.; Taylor, R.; Palouš, J.

    2018-06-01

    The removal of gas by ram pressure stripping of galaxies is treated by a purely kinematic description. The solution has two asymptotic limits: if the duration of the ram pressure pulse exceeds the period of vertical oscillations perpendicular to the galactic plane, the commonly used quasi-static criterion of Gunn & Gott is obtained which uses the maximum ram pressure that the galaxy has experienced along its orbit. For shorter pulses the outcome depends on the time-integrated ram pressure. This parameter pair fully describes the gas mass fraction that is stripped from a given galaxy. This approach closely reproduces results from SPH simulations. We show that typical galaxies follow a very tight relation in this parameter space corresponding to a pressure pulse length of about 300 Myr. Thus, the Gunn & Gott criterion provides a good description for galaxies in larger clusters. Applying the analytic description to a sample of 232 Virgo galaxies from the GoldMine database, we show that the ICM provides indeed the ram pressures needed to explain the deficiencies. We also can distinguish current and past strippers, including objects whose stripping state was unknown.

  12. The accessibility of memory items in children’s working memory

    OpenAIRE

    Roome, Hannah; Towse, John

    2016-01-01

    This thesis investigates the processes and systems that support recall in working memory. In particular it seeks to apply ideas from the adult-based dual-memory framework (Unsworth & Engle, 2007b) that claims primary memory and secondary memory are independent contributors to working memory capacity. These two memory systems are described as domain-general processes that combine control of attention and basic memory abilities to retain information. The empirical contribution comprises five ex...

  13. Nonvolatile Memory Technology for Space Applications

    Science.gov (United States)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  14. Evaluation of Magnetoresistive RAM for Space Applications

    Science.gov (United States)

    Heidecker, Jason

    2014-01-01

    Magnetoresistive random-access memory (MRAM) is a non-volatile memory that exploits electronic spin, rather than charge, to store data. Instead of moving charge on and off a floating gate to alter the threshold voltage of a CMOS transistor (creating different bit states), MRAM uses magnetic fields to flip the polarization of a ferromagnetic material thus switching its resistance and bit state. These polarized states are immune to radiation-induced upset, thus making MRAM very attractive for space application. These magnetic memory elements also have infinite data retention and erase/program endurance. Presented here are results of reliability testing of two space-qualified MRAM products from Aeroflex and Honeywell.

  15. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  16. Lewis and Fischer 344 rats as a model for genetic differences in spatial learning and memory: Cocaine effects.

    Science.gov (United States)

    Fole, Alberto; Miguéns, Miguel; Morales, Lidia; González-Martín, Carmen; Ambrosio, Emilio; Del Olmo, Nuria

    2017-06-02

    Lewis (LEW) and Fischer 344 (F344) rats are considered a model of genetic vulnerability to drug addiction. We previously showed important differences in spatial learning and memory between them, but in contrast with previous experiments demonstrating cocaine-induced enhanced learning in Morris water maze (MWM) highly demanding tasks, the eight-arm radial maze (RAM) performance was not modified either in LEW or F344 rats after chronic cocaine treatment. In the present work, chronically cocaine-treated LEW and F344 adult rats have been evaluated in learning and memory performance using the Y-maze, two RAM protocols that differ in difficulty, and a reversal protocol that tests cognitive flexibility. After one of the RAM protocols, we quantified dendritic spine density in hippocampal CA1 neurons and compared it to animals treated with cocaine but not submitted to RAM. LEW cocaine treated rats showed a better performance in the Y maze than their saline counterparts, an effect that was not evident in the F344 strain. F344 rats significantly took more time to learn the RAM task and made a greater number of errors than LEW animals in both protocols tested, whereas cocaine treatment induced deleterious effects in learning and memory in the highly difficult protocol. Moreover, hippocampal spine density was cocaine-modulated in LEW animals whereas no effects were found in F344 rats. We propose that differences in addictive-like behavior between LEW and F344 rats could be related to differences in hippocampal learning and memory processes that could be on the basis of individual vulnerability to cocaine addiction. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. Solar particle induced upsets in the TDRS-1 attitude control system RAM during the October 1989 solar particle events

    International Nuclear Information System (INIS)

    Croley, D.R.; Garrett, H.B.; Murphy, G.B.; Garrard, T.L.

    1995-01-01

    The three large solar particle events, beginning on October 19, 1989 and lasting approximately six days, were characterized by high fluences of solar protons and heavy ions at 1 AU. During these events, an abnormally large number of upsets (243) were observed in the random access memory of the attitude control system (ACS) control processing electronics (CPE) on-board the geosynchronous TDRS-1 (Telemetry and Data Relay Satellite). The RAM unit affected was composed of eight Fairchild 93L422 memory chips. The Galileo spacecraft, launched on October 18, 1989 (one day prior to the solar particle events) observed the fluxes of heavy ions experienced by TDRS-1. Two solid-state detector telescopes on-board Galileo, designed to measure heavy ion species and energy, were turned on during time periods within each of the three separate events. The heavy ion data have been modeled and the time history of the events reconstructed to estimate heavy ion fluences. These fluences were converted to effective LET spectra after transport through the estimated shielding distribution around the TDRS-1 ACS system. The number of single event upsets (SEU) expected was calculated by integrating the measured cross section for the Fairchild 93L422 memory chip with average effective LET spectrum. The expected number of heavy ion induced SEU's calculated was 176. GOES-7 proton data, observed during the solar particle events, were used to estimate the number of proton-induced SEU's by integrating the proton fluence spectrum incident on the memory chips, with the two-parameter Bendel cross section for proton SEU's. The proton fluence spectrum at the device level was gotten by transporting the protons through the estimated shielding distribution. The number of calculated proton-induced SEU's was 72, yielding a total of 248 predicted SEU's, very close to the 243 observed SEU's

  18. Administering an epoch initiated for remote memory access

    Science.gov (United States)

    Blocksome, Michael A; Miller, Douglas R

    2012-10-23

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  19. Design and verification of a self-timed RAM

    DEFF Research Database (Denmark)

    Nielsen, Lars Skovby; Staunstrup, Jørgen

    1995-01-01

    This paper describes a self-timed static RAM. A single bit RAM is described in the design language SYNCHRONIZED TRANSITIONS and using the verification tools supporting this language, it is shown that the design is speed-independent. Furthermore, a transistor level implementation of the design...

  20. Novel Kinds of Random Access Memory and New Vulnerabilities of Computer Aids based on Them

    Directory of Open Access Journals (Sweden)

    Alexander Mihaylovich Korotin

    2014-09-01

    Full Text Available The article discusses vulnerabilities of computer aids based on existing RAM and mechanisms for restricting exploitation of such vulnerabilities. In addition, the article discusses features and work methods of different RAM.

  1. Computer-assisted machine-to-human protocols for authentication of a RAM-based embedded system

    Science.gov (United States)

    Idrissa, Abdourhamane; Aubert, Alain; Fournel, Thierry

    2012-06-01

    Mobile readers used for optical identification of manufactured products can be tampered in different ways: with hardware Trojan or by powering up with fake configuration data. How a human verifier can authenticate the reader to be handled for goods verification? In this paper, two cryptographic protocols are proposed to achieve the verification of a RAM-based system through a trusted auxiliary machine. Such a system is assumed to be composed of a RAM memory and a secure block (in practice a FPGA or a configurable microcontroller). The system is connected to an input/output interface and contains a Non Volatile Memory where the configuration data are stored. Here, except the secure block, all the blocks are exposed to attacks. At the registration stage of the first protocol, the MAC of both the secret and the configuration data, denoted M0 is computed by the mobile device without saving it then transmitted to the user in a secure environment. At the verification stage, the reader which is challenged with nonces sendsMACs / HMACs of both nonces and MAC M0 (to be recomputed), keyed with the secret. These responses are verified by the user through a trusted auxiliary MAC computer unit. Here the verifier does not need to tract a (long) list of challenge / response pairs. This makes the protocol tractable for a human verifier as its participation in the authentication process is increased. In counterpart the secret has to be shared with the auxiliary unit. This constraint is relaxed in a second protocol directly derived from Fiat-Shamir's scheme.

  2. A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

    Science.gov (United States)

    Nakamura, Kazuyuki; Sasao, Tsutomu; Matsuura, Munehiro; Tanaka, Katsumasa; Yoshizumi, Kenichi; Nakahara, Hiroki; Iguchi, Yukihiro

    2006-04-01

    A large-scale memory-technology-based programmable logic device (PLD) using a look-up table (LUT) cascade is developed in the 0.35-μm standard complementary metal oxide semiconductor (CMOS) logic process. Eight 64 K-bit synchronous SRAMs are connected to form an LUT cascade with a few additional circuits. The features of the LUT cascade include: 1) a flexible cascade connection structure, 2) multi phase pseudo asynchronous operations with synchronous static random access memory (SRAM) cores, and 3) LUT-bypass redundancy. This chip operates at 33 MHz in 8-LUT cascades at 122 mW. Benchmark results show that it achieves a comparable performance to field programmable gate array (FPGAs).

  3. Adult age differences in memory in relation to availability and accessibility of knowledge-based schemas.

    Science.gov (United States)

    Arbuckle, T Y; Vanderleck, V F; Harsany, M; Lapidus, S

    1990-03-01

    Three experiments investigated whether, over adulthood, the use of schemas to process and remember new information increases (developmental shift hypothesis), decreases (production deficiency hypothesis) or remains constant (age-invariance hypothesis). Effects of schema access were studied by having young, middle-aged, and old music experts and nonexperts recall information that was relevant or irrelevant to music (Experiment 1) and by comparing young and old participants' memory for prose passages when they knew or did not know the subject of the passage (Experiments 2 and 3). In each case, schema access facilitated memory equally across age levels, supporting the age-invariance hypothesis and implying that the basic structures and operations of memory do not necessarily change with age. Possible limits on the independence of age and schema utilization were considered in relation to the conditions under which each of the two alternative hypotheses might hold.

  4. emMAW: computing minimal absent words in external memory.

    Science.gov (United States)

    Héliou, Alice; Pissis, Solon P; Puglisi, Simon J

    2017-09-01

    The biological significance of minimal absent words has been investigated in genomes of organisms from all domains of life. For instance, three minimal absent words of the human genome were found in Ebola virus genomes. There exists an O(n) -time and O(n) -space algorithm for computing all minimal absent words of a sequence of length n on a fixed-sized alphabet based on suffix arrays. A standard implementation of this algorithm, when applied to a large sequence of length n , requires more than 20 n  bytes of RAM. Such memory requirements are a significant hurdle to the computation of minimal absent words in large datasets. We present emMAW, the first external-memory algorithm for computing minimal absent words. A free open-source implementation of our algorithm is made available. This allows for computation of minimal absent words on far bigger data sets than was previously possible. Our implementation requires less than 3 h on a standard workstation to process the full human genome when as little as 1 GB of RAM is made available. We stress that our implementation, despite making use of external memory, is fast; indeed, even on relatively smaller datasets when enough RAM is available to hold all necessary data structures, it is less than two times slower than state-of-the-art internal-memory implementations. https://github.com/solonas13/maw (free software under the terms of the GNU GPL). alice.heliou@lix.polytechnique.fr or solon.pissis@kcl.ac.uk. Supplementary data are available at Bioinformatics online. © The Author (2017). Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com

  5. [Effects of construct accessibility and self-schema on person memory].

    Science.gov (United States)

    Kitamura, H

    1991-10-01

    The present study investigated the relationship among construct accessibility, self-schema and person memory. Three hundred and thirty-four subjects received 40 behavioral descriptions of a stimulus person, consisting of eight specific behaviors on each of five trait-dimensions. Subjects also rated personality traits of their acquaintances and themselves on nine-point bipolar scales and ranked the importance of the five trait-dimensions. Weights, which subjects assigned to each of the five dimensions, were calculated as indices of construct accessibility. Self-schema scores of each subject were also calculated based on his/her ratings. Multiple regression analyses indicated that the dimensional weights and self-schema scores were positively correlated with the recall performance of the descriptions of the stimulus person. The schematics recalled significantly more descriptions than the aschematics, whether their self-schema was positive or negative. Subjects who had positive self-schema showed higher construct accessibility than the aschematics. It was argued that the relationship between construct accessibility and self-schema might be affected by motivational factors such as self-esteem.

  6. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    Science.gov (United States)

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  7. SEU ground and flight data in static random access memories

    International Nuclear Information System (INIS)

    Liu, J.; Duan, J.L.; Hou, M.D.; Sun, Y.M.; Yao, H.J.; Mo, D.; Zhang, Q.X.; Wang, Z.G.; Jin, Y.F.; Cai, J.R.; Ye, Z.H.; Han, J.W.; Lin, Y.L.; Huang, Z.

    2006-01-01

    This paper presents the vulnerabilities of single event effects (SEEs) simulated by heavy ions on ground and observed on SJ-5 research satellite in space for static random access memories (SRAMs). A single event upset (SEU) prediction code has been used to estimate the proton-induced upset rates based on the ground test curve of SEU cross-section versus heavy ion linear energy transfer (LET). The result agrees with that of the flight data

  8. Radiation response of two Harris semiconductor radiation hardened 1k CMOS RAMs

    International Nuclear Information System (INIS)

    Abare, W.E.; Huffman, D.D.; Moffett, G.E.

    1982-01-01

    This paper describes the testing of two types 1K CMOS static RAMs in various transient and steady state ionizing radiation environments. Type HM 6551R (256x4 bits) and type HM 6508R (1024x1 bit) RAMs were evaluated. The RAMs are radiation hardened versions of Harris' commercial RAMs. A brief description of the radiation hardened process is presented

  9. Evaluation of Recent Technologies of Nonvolatile RAM

    Science.gov (United States)

    Nuns, Thierry; Duzellier, Sophie; Bertrand, Jean; Hubert, Guillaume; Pouget, Vincent; Darracq, FrÉdÉric; David, Jean-Pierre; Soonckindt, Sabine

    2008-08-01

    Two types of recent nonvolatile random access memories (NVRAM) were evaluated for radiation effects: total dose and single event upset and latch-up under heavy ions and protons. Complementary irradiation with a laser beam provides information on sensitive areas of the devices.

  10. Resistive RAMs as analog trimming elements

    Science.gov (United States)

    Aziza, H.; Perez, A.; Portal, J. M.

    2018-04-01

    This work investigates the use of Resistive Random Access Memory (RRAM) as an analog trimming device. The analog storage feature of the RRAM cell is evaluated and the ability of the RRAM to hold several resistance states is exploited to propose analog trim elements. To modulate the memory cell resistance, a series of short programming pulses are applied across the RRAM cell allowing a fine calibration of the RRAM resistance. The RRAM non volatility feature makes the analog device powers up already calibrated for the system in which the analog trimmed structure is embedded. To validate the concept, a test structure consisting of a voltage reference is evaluated.

  11. Sharma, Dr Ram Swaroop

    Indian Academy of Sciences (India)

    Home; Fellowship. Fellow Profile. Elected: 1989 Section: Earth & Planetary Sciences. Sharma, Dr Ram Swaroop Ph.D. (Basel), FNA. Date of birth: 10 July 1937. Specialization: Metamorphic Petrology, Mineralogy and Precambrian Geology Address: 70/36, Pratapnagar, Sector 7, Sanganer (RHB), Jaipur 302 033, Rajasthan

  12. Nanoscale memory devices

    International Nuclear Information System (INIS)

    Chung, Andy; Deen, Jamal; Lee, Jeong-Soo; Meyyappan, M

    2010-01-01

    This article reviews the current status and future prospects for the use of nanomaterials and devices in memory technology. First, the status and continuing scaling trends of the flash memory are discussed. Then, a detailed discussion on technologies trying to replace flash in the near-term is provided. This includes phase change random access memory, Fe random access memory and magnetic random access memory. The long-term nanotechnology prospects for memory devices include carbon-nanotube-based memory, molecular electronics and memristors based on resistive materials such as TiO 2 . (topical review)

  13. Characterization of Bi and Fe co-doped PZT capacitors for FeRAM.

    Science.gov (United States)

    Cross, Jeffrey S; Kim, Seung-Hyun; Wada, Satoshi; Chatterjee, Abhijit

    2010-08-01

    Ferroelectric random access memory (FeRAM) has been in mass production for over 15 years. Higher polarization ferroelectric materials are needed for future devices which can operate above about 100 °C. With this goal in mind, co-doping of thin Pb(Zr 40 ,Ti 60 )O 3 (PZT) films with 1 at.% Bi and 1 at.% Fe was examined in order to enhance the ferroelectric properties as well as characterize the doped material. The XRD patterns of PZT-5% BiFeO 3 (BF) and PZT 140-nm thick films showed (111) orientation on (111) platinized Si wafers and a 30 °C increase in the tetragonal to cubic phase transition temperature, often called the Curie temperature, from 350 to 380 °C with co-doping, indicating that Bi and Fe are substituting into the PZT lattice. Raman spectra revealed decreased band intensity with Bi and Fe co-doping of PZT compared to PZT. Polarization hysteresis loops show similar values of remanent polarization, but square-shaped voltage pulse-measured net polarization values of PZT-BF were higher and showed higher endurance to repeated cycling up to 10 10 cycles. It is proposed that Bi and Fe are both in the +3 oxidation state and substituting into the perovskite A and B sites, respectively. Substitution of Bi and Fe into the PZT lattice likely creates defect dipoles, which increase the net polarization when measured by the short voltage pulse positive-up-negative-down (PUND) method.

  14. Identification of sexually low-response rams using a libido test and ...

    African Journals Online (AJOL)

    higher levels of sex-like behaviour with their pen mates. (monosexual groups) during rearing than did normal rams. These workers thus suggested that the poor performance of such rams when exposed to an oestrous ewe was due to their inability to interpret sexual cues correctly. Working with. Karakul rams, Le Roux and ...

  15. [Artificial intelligence meeting neuropsychology. Semantic memory in normal and pathological aging].

    Science.gov (United States)

    Aimé, Xavier; Charlet, Jean; Maillet, Didier; Belin, Catherine

    2015-03-01

    Artificial intelligence (IA) is the subject of much research, but also many fantasies. It aims to reproduce human intelligence in its learning capacity, knowledge storage and computation. In 2014, the Defense Advanced Research Projects Agency (DARPA) started the restoring active memory (RAM) program that attempt to develop implantable technology to bridge gaps in the injured brain and restore normal memory function to people with memory loss caused by injury or disease. In another IA's field, computational ontologies (a formal and shared conceptualization) try to model knowledge in order to represent a structured and unambiguous meaning of the concepts of a target domain. The aim of these structures is to ensure a consensual understanding of their meaning and a univariant use (the same concept is used by all to categorize the same individuals). The first representations of knowledge in the AI's domain are largely based on model tests of semantic memory. This one, as a component of long-term memory is the memory of words, ideas, concepts. It is the only declarative memory system that resists so remarkably to the effects of age. In contrast, non-specific cognitive changes may decrease the performance of elderly in various events and instead report difficulties of access to semantic representations that affect the semantics stock itself. Some dementias, like semantic dementia and Alzheimer's disease, are linked to alteration of semantic memory. We propose in this paper, using the computational ontologies model, a formal and relatively thin modeling, in the service of neuropsychology: 1) for the practitioner with decision support systems, 2) for the patient as cognitive prosthesis outsourced, and 3) for the researcher to study semantic memory.

  16. Dynamic focus scanning laser imaging (SLI) system: An old concept with a new approach

    International Nuclear Information System (INIS)

    Tomei, L.D.; Jagadeesh, J.M.

    1986-01-01

    A processor board was designed based on the Motorola 68020 32 bit microprocessor and 68881 floating point co-processor. It provides data collection at a burst rate of one million 12 bit words per second with 256K bytes on board memory accessible with no wait states. A dual-ported memory card was designed to support image updating via a random port while display is refreshed through a sequential port, each accessed independently. The RAM port features one megabyte dual-ported memory utilizing 32 64K x 4 RAM chips, two bit error detection and one bit error correction circuitry. The sequential (video) port features a row-access to memory providing four 1024 pixels/line data in internal buffer. With a newly designed VMEbus display controller board, several display options are provided for in the system: any continuous 8 bit display out of 16 bit video information available per pixel is under software control; two display formats are provided for, 512 x 480 and 1024 x 960; B/W and color displays are provided; pixel resolution user selectable 8-24 bits

  17. Proteomics analysis of ram sperm by heavy ion radiation

    International Nuclear Information System (INIS)

    He Yuxuan; Li Hongyan; Zhang Hong

    2013-01-01

    The objective of this study was to investigate the proteome changes induced by heavy ion radiation using irradiated ram sperm by a two-dimensional electrophoresis (2-DE) analysis. The 2D gels were stained with Coomassie Brilliant Blue. Differentially expressed proteins were detected by PDQuest 8.0 software and subjected to ion trap mass spectrometer equipped with a surveyor HPLC system, and differential protein spots were identified. Results showed there are five differential protein spots in irradiated sperm gels, four up-regulated protein spots and one spot missed. The differentially expressed protein spots were identified to be two up-regulated proteins including enolase, and enolase 1. It was concluded there was proteome changes induced by heavy ion radiation in ram sperm, which may be useful to clarify the physiology state of ram sperm in heavy ion radiation and provide a theoretical basis for radiation ram breeding. (authors)

  18. Influence of age on cognition and scopolamine induced memory impairment in rats measured in the radial maze paradigm.

    Science.gov (United States)

    Appenroth, Dorothea; Fleck, Christian

    2010-01-01

    The influence of age on (1) cognition and (2) scopolamine (CAS 51-34-3) induced memory impairment in female rats was measured in the radial maze paradigm (RAM). (1) First training trials were done with 3 and 12 months old rats. Rats were trained to find all eight food baits in the RAM without errors and within 1 min. Both 3- and 12-month old rats need about 15 trials for the first-time learning of the RAM task. After intervals of 3 6 months, respectively, initially young rats were re-trained with an age of 6 and 12 months. Surprisingly, re-trained rats successfully completed the maze runs already after one re-training trial. Thus the phenomenon of preserved spatial memory was approved for female rats. (2) Memory impairment by scopolamine in the RAM was tested for the time in rats with an age of 3 months. first rats with thesame After a control run,the rats received an i.p. injection of either scopolamine hydrochloride (0.05 mg/100 g b. wt.) or saline vehicle. The effect of scopolamine on working memory was measured 20 min after administration. Training procedure and scopolamine administration were repeated at an age of 6, 12, 18, and 24 months in the same manner. The cognition impairment after scopolamine (number of errors: control: <1; scopolamine: 5-6) remains constant between 3 and 24 months of age. The only significant difference was the increase in run time in rats older than 18 months caused by degenerative changes developing with age.

  19. Memory deficits associated with sublethal cyanide poisoning relative to cyanate toxicity in rodents.

    Science.gov (United States)

    Kimani, S; Sinei, K; Bukachi, F; Tshala-Katumbay, D; Maitai, C

    2014-03-01

    Food (cassava) linamarin is metabolized into neurotoxicants cyanide and cyanate, metabolites of which we sought to elucidate the differential toxicity effects on memory. Young 6-8 weeks old male rats were treated intraperitoneally with either 2.5 mg/kg body weight (bw) cyanide (NaCN), or 50 mg/kg bw cyanate (NaOCN), or 1 μl/g bw saline, daily for 6 weeks. Short-term and long-term memories were assessed using a radial arm maze (RAM) testing paradigm. Toxic exposures had an influence on short-term working memory with fewer correct arm entries (F(2, 19) = 4.57 p memory errors (WME) (F(2, 19) = 5.09, p RAM navigation time (F(2, 19) = 3.91, p memory was significantly impaired by cyanide with fewer correct arm entries (F(2, 19) = 7.45, p memory errors (F(2, 19) = 9.35 p memory was not affected by either cyanide or cyanate. Our study findings provide an experimental evidence for the biological plausibility that cassava cyanogens may induce cognition deficits. Differential patterns of memory deficits may reflect the differences in toxicity mechanisms of NaOCN relative to NaCN. Cognition deficits associated with cassava cyanogenesis may reflect a dual toxicity effect of cyanide and cyanate.

  20. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    Science.gov (United States)

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  1. The dynamics of access to groups in working memory.

    Science.gov (United States)

    Farrell, Simon; Lelièvre, Anna

    2012-11-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the temporal pattern at input merely suggesting a basis for the pattern of output buffering. Three experiments are presented here that disentangle input structure from output buffering in serial recall. In Experiment 1, participants were asked to recall a subset of visually presented digits from a temporally grouped list in their original order, where either within-group position or group position was kept constant. In Experiment 2, participants performed more standard serial recall of spoken digits, and input and output position were dissociated by asking participants to initiate recall from a post-cued position in the list. In Experiment 3, participants were asked to serially recall temporally grouped lists of visually presented digits where the grouping structure was unpredictable, under either articulatory suppression or silent conditions. The 3 experiments point to a tight linkage between implied memorial structures (i.e., the pattern of grouping at encoding) and the output structure implied by retrieval times and call into question a purely motoric account of the dynamics of recall.

  2. Cosmic and terrestrial single-event radiation effects in dynamic random access memories

    International Nuclear Information System (INIS)

    Massengill, L.W.

    1996-01-01

    A review of the literature on single-event radiation effects (SEE) on MOS integrated-circuit dynamic random access memories (DRAM's) is presented. The sources of single-event (SE) radiation particles, causes of circuit information loss, experimental observations of SE information upset, technological developments for error mitigation, and relationships of developmental trends to SE vulnerability are discussed

  3. Immigration, language proficiency, and autobiographical memories: Lifespan distribution and second-language access.

    Science.gov (United States)

    Esposito, Alena G; Baker-Ward, Lynne

    2016-08-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and (1)/5 of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports.

  4. The dependence of critical current density of GdFeCo layer on composition of thermally assisted STT-RAM

    Science.gov (United States)

    Dai, B.; Zhu, J.; Liu, K.; Yang, L.; Han, J.

    2017-07-01

    Amorphous rare earth-transitional metal (RETM) GdFeCo memory layer with RE- and TM-rich compositions was fabricated in stacks of GdFeCo (10 nm)/Cu (3 nm)/[Co(0.2 nm)/Pd(0.4 nm)]6. Their magnetic properties and spin transfer torque (STT) switching of magnetization were investigated. The maximum magneto-resistance (MR) was around 0.24% for the TM-rich Gd21.4 (Fe90Co10)78.6 memory layer and was -0.03% for the RE-rich Gd29.0 (Fe90Co10)71.0 memory layer. The critical current densities Jc to switch the GdFeCo memory layers are in the range of 1.4 × 107 A/cm2-4.5 × 107 A/cm2. The dependence of critical current density Jc and effective anisotropy constant Keff on Gd composition were also investigated. Both Jc and Keff have maximum values in the Gd composition range from 21-29 at.%, suitable for thermally assisted STT-RAM for storage density exceeding Gb/inch2.

  5. Modernization of the memory board of an x-ray spectrometer

    International Nuclear Information System (INIS)

    Dutra Neto, A.; Dias, A.G.; Marra, J.G.

    1992-01-01

    This paper presents the design of a constant memory board for the Rigaku X-ray fluorescence spectroscopy, model 3064. This spectroscopy has been used to mineral analysis and materials characterization. It has been applied for elements instrumental analysis in mineral, metallic, ceramic, environmental samples and alloys. The memory board stores the elements sequence to be analyzed in the samples. It allows the automatic continuous operation of the spectroscopy and can be used as temporary register by the system. The spectroscopy data memory was composed by two permanent memory board with magnetic cores. The new memory board has a solid state static RAM, a data bus buffer, control and a special circuit to supply continuous power to the memory. (author)

  6. Memory Forensics: Review of Acquisition and Analysis Techniques

    Science.gov (United States)

    2013-11-01

    Management Overview Processes running on modern multitasking operating systems operate on an abstraction of RAM, called virtual memory [7]. In these systems...information such as user names, email addresses and passwords [7]. Analysts also use tools such as WinHex to identify headers or other suspicious data within

  7. Ga-doped indium oxide nanowire phase change random access memory cells

    International Nuclear Information System (INIS)

    Jin, Bo; Lee, Jeong-Soo; Lim, Taekyung; Ju, Sanghyun; Latypov, Marat I; Kim, Hyoung Seop; Meyyappan, M

    2014-01-01

    Phase change random access memory (PCRAM) devices are usually constructed using tellurium based compounds, but efforts to seek other materials providing desirable memory characteristics have continued. We have fabricated PCRAM devices using Ga-doped In 2 O 3 nanowires with three different Ga compositions (Ga/(In+Ga) atomic ratio: 2.1%, 11.5% and 13.0%), and investigated their phase switching properties. The nanowires (∼40 nm in diameter) can be repeatedly switched between crystalline and amorphous phases, and Ga concentration-dependent memory switching behavior in the nanowires was observed with ultra-fast set/reset rates of 80 ns/20 ns, which are faster than for other competitive phase change materials. The observations of fast set/reset rates and two distinct states with a difference in resistance of two to three orders of magnitude appear promising for nonvolatile information storage. Moreover, we found that increasing the Ga concentration can reduce the power consumption and resistance drift; however, too high a level of Ga doping may cause difficulty in achieving the phase transition. (paper)

  8. Single-Chip Computers With Microelectromechanical Systems-Based Magnetic Memory

    NARCIS (Netherlands)

    Carley, L. Richard; Bain, James A.; Fedder, Gary K.; Greve, David W.; Guillou, David F.; Lu, Michael S.C.; Mukherjee, Tamal; Santhanam, Suresh; Abelmann, Leon; Min, Seungook

    This article describes an approach for implementing a complete computer system (CPU, RAM, I/O, and nonvolatile mass memory) on a single integrated-circuit substrate (a chip)—hence, the name "single-chip computer." The approach presented combines advances in the field of microelectromechanical

  9. A dense voltage-mode Josephson memory cell insensitive to systematic variations in critical current density

    International Nuclear Information System (INIS)

    Bradley, P.; Van Duzer, T.

    1985-01-01

    A destructive read-out (DRO) memory cell using three Josephson junctions has been devised whose operation depends only on the ratio of critical currents and application of the proper read/write voltages. The effects of run-to-run and across-thewafer variations in I /SUB c/ are minimized since all three junctions for a given cell are quite close to each other. Additional advantages are: immunity from flux trapping, high circuit density, and fast switching. Since destructive read-out is generally undesirable, a self-rewriting scheme is necessary. Rows and columns of cells with drivers and sense circuits, as well as small memory arrays and decoders have been simulated on SPICE. Power dissipation of cells and bias circuits for a 1K-bit RAM is estimated at about 2 mW. Inclusion of peripheral circuitry raises this by as much as a factor of five depending on the driving scheme and speed desired. Estimated access time is appreciably less than a nanosecond. Preliminary experimental investigations are reported

  10. Prenatal programming of sexual partner preference: the ram model.

    Science.gov (United States)

    Roselli, C E; Stormshak, F

    2009-03-01

    In our laboratory, the domestic ram is used as an experimental model to study the early programming of neural mechanisms underlying same-sex partner preference. This interest developed from the observation that approximately 8% of domestic rams are sexually attracted to other rams (male-oriented) in contrast to the majority of rams that are attracted to oestrous ewes (female-oriented). One prominent feature of sexual differentiation in many species is the presence of a sexually dimorphic nucleus (SDN) in the preoptic/anterior hypothalamus that is larger in males than in females. Lesion studies in rats and ferrets implicate the SDN in the expression of sexual preferences. We discovered an ovine SDN (oSDN) in the preoptic/anterior hypothalamus that is smaller in male- than in female-oriented rams and similar in size to the oSDN of ewes. Neurones of the oSDN show abundant aromatase expression that is also reduced in male-oriented compared to female-oriented rams. This observation suggests that sexual partner preferences are neurologically hard-wired and could be influenced by hormones. Aromatase-containing neurones constitute a nascent oSDN as early as day 60 of gestation, which becomes sexually dimorphic by day 135 of gestation when it is two-fold larger in males than in females. Exposure of fetal female lambs to exogenous testosterone from days 30-90 of gestation resulted in a masculinised oSDN. These data demonstrate that the oSDN develops prenatally and may influence adult sexual preferences. Surprisingly, inhibition of aromatase activity in the brain of ram foetuses during the critical period did not interfere with defeminisation of adult sexual partner preference or oSDN volume. These results fail to support an essential role for neural aromatase in the sexual differentiation of sheep brain and behaviour. Thus, we propose that oSDN morphology and male-typical partner preferences may instead be programmed through an androgen receptor mechanism not involving

  11. Encoding and Retrieval Processes Involved in the Access of Source Information in the Absence of Item Memory

    Science.gov (United States)

    Ball, B. Hunter; DeWitt, Michael R.; Knight, Justin B.; Hicks, Jason L.

    2014-01-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were "related" to the target item but never actually studied.…

  12. Rational mapping (RAM) of in-core data

    International Nuclear Information System (INIS)

    Bonalumi, R.A.; Kherani, N.P.

    1985-01-01

    A unique processing of in-core flux detector data is described and demonstrated, such that the detailed in-core power distribution can be derived with great accuracy by combining a speciall ''smoothed-out'' set of in-core data with neutron diffusion theory. Rational Mapping (RAM) is designed in such a way that erratic detector signals are recognized very efficiently and can be eliminated from the experimental data set: This is achieved by modal expansion of the difference between theoretical fluxes and experimental fluxes at the detector sites. Sensitivity studies have shown that RAM is quite stable, does not absorb the ''wild'' detector error in the mapping procedure, and results in mapped fluxes with errors about three times smaller than would be obtained by direct interpolation of detector readings. A new method is described to infer corrections to theoretical core parameters based on the difference between the RAM fluxes and the theoretical fluxes

  13. The Literary Criticism and Memoirs of Juan Ramón Jiménez

    Directory of Open Access Journals (Sweden)

    Allen W. Phillips

    1983-01-01

    Full Text Available Equally as demanding of others as he was of himself, Juan Ramón Jiménez conceived of literary criticism as a serious and exacting task. The critic and the poet, standing side by side, are devoted to complementary activities of mutual enrichment. However fragmentary and partial the critical opinions of Juan Ramón may be (also outspoken and polemical in nature, they are invaluable as a personal historical and aesthetic guide to about fifty or sixty years of Hispanic literary development (1900-1960. Not to take them into account is to fail to recognize a highly important aspect of his total artistic personality. These varied critical texts are a product of a first rate intelligence and the sensibility of a writer of consummate discrimination who was endowed not only with an excellent memory but also a very special talent for appreciating the authentic. Juan Ramón as a critic is quick to praise (San Juan, Bécquer, Dario, Unamuno and Machado and at the same time strong in his censure of certain contemporaries. Several recently collected volumes of miscellaneous critical materials have resolved the bibliographical muddle for the initial study of this fundamental aspect of the poet, but still particularly important are the expressionistic portraits of Españoles de tres mundos , longer tributes to Valle, Ortega and Villaespesa as well as the extensive lectures delivered in his latter years. Examination of these pages gives us an historical and creative overview of the period in which he lived and worked in addition to original considerations about the evolution of Hispanic poetry. Of course, one of the constant focal points of his literary criticism was the modernist epoch of his early days, a movement or attitude which he considered to be a modern twentieth century renaissance. Together with detailed study of these two areas of historical and aesthetic nature, in the ensuing pages some conclusions are pointed out as to the essence of poetry

  14. ViSA: a neurodynamic model for visuo-spatial working memory, attentional blink, and conscious access.

    Science.gov (United States)

    Simione, Luca; Raffone, Antonino; Wolters, Gezinus; Salmas, Paola; Nakatani, Chie; Belardinelli, Marta Olivetti; van Leeuwen, Cees

    2012-10-01

    Two separate lines of study have clarified the role of selectivity in conscious access to visual information. Both involve presenting multiple targets and distracters: one simultaneously in a spatially distributed fashion, the other sequentially at a single location. To understand their findings in a unified framework, we propose a neurodynamic model for Visual Selection and Awareness (ViSA). ViSA supports the view that neural representations for conscious access and visuo-spatial working memory are globally distributed and are based on recurrent interactions between perceptual and access control processors. Its flexible global workspace mechanisms enable a unitary account of a broad range of effects: It accounts for the limited storage capacity of visuo-spatial working memory, attentional cueing, and efficient selection with multi-object displays, as well as for the attentional blink and associated sparing and masking effects. In particular, the speed of consolidation for storage in visuo-spatial working memory in ViSA is not fixed but depends adaptively on the input and recurrent signaling. Slowing down of consolidation due to weak bottom-up and recurrent input as a result of brief presentation and masking leads to the attentional blink. Thus, ViSA goes beyond earlier 2-stage and neuronal global workspace accounts of conscious processing limitations. PsycINFO Database Record (c) 2012 APA, all rights reserved.

  15. Scandium doping brings speed improvement in Sb2Te alloy for phase change random access memory application.

    Science.gov (United States)

    Chen, Xin; Zheng, Yonghui; Zhu, Min; Ren, Kun; Wang, Yong; Li, Tao; Liu, Guangyu; Guo, Tianqi; Wu, Lei; Liu, Xianqiang; Cheng, Yan; Song, Zhitang

    2018-05-01

    Phase change random access memory (PCRAM) has gained much attention as a candidate for nonvolatile memory application. To develop PCRAM materials with better properties, especially to draw closer to dynamic random access memory (DRAM), the key challenge is to research new high-speed phase change materials. Here, Scandium (Sc) has been found it is helpful to get high-speed and good stability after doping in Sb 2 Te alloy. Sc 0.1 Sb 2 Te based PCRAM cell can achieve reversible switching by applying even 6 ns voltage pulse experimentally. And, Sc doping not only promotes amorphous stability but also improves the endurance ability comparing with pure Sb 2 Te alloy. Moreover, according to DFT calculations, strong Sc-Te bonds lead to the rigidity of Sc centered octahedrons, which may act as crystallization precursors in recrystallization process to boost the set speed.

  16. Semen Characteristics of the West African Dwarf Rams in The ...

    African Journals Online (AJOL)

    WAD) rams in Makurdi. Semen samples were collected from five rams twice a week by electroejaculation method over a period of two months (September to October). The ejaculate volume, concentration, mass activity, progressive motility, the ...

  17. Shape memory alloy fixator system for suturing tissue in minimal access surgery.

    Science.gov (United States)

    Xu, W; Frank, T G; Stockham, G; Cuschieri, A

    1999-01-01

    A new technique for suturing human tissue is described in which tissue closure is achieved by means of small fixators made from shape memory alloy. The aim of the development is to provide an alternative to thread suturing in minimal access surgery, which is quicker and requires less skill to achieve the required suturing quality. The design of the fixators is described in terms of the thermal shape recovery of shape memory alloy and a novel form of finite element analysis, which uses a nonlinear elastic element for the material property. Thermal analysis of the fixators and surrounding tissue is used to predict the temperature distribution during and after the application of electric current heating. This was checked in an in vitro experiment, which confirmed that deployment caused no detectable collateral damage to surrounding tissue. In vivo animal studies on the use of the shape memory alloy fixator for suturing tissue are ongoing to establish safety and healing effects.

  18. Ferroelectric memories: A possible answer to the hardened nonvolatile question

    International Nuclear Information System (INIS)

    Messenger, G.C.; Coppage, F.N.

    1988-01-01

    Ferroelectric memory cells have been fabricated using a process compatible with semiconductor VLSI (Very Large-Scale Integration) manufacturing techniques which are basically nonvolatile and radiation hard. The memory can be made NDRO (Nondestructive Readout) for strategic systems using several techniques; the most practical is probably a rapid read/restore in combination with EDAC software. This memory can replace plated wire and will have substantial advantages in cost, weight, size, power and speed. It provides a practical cost-competitive solution to the need for nonvolatile RAM in all hardened tactical, avionic, and space systems

  19. Performance of growing Yankasa rams Fed graded levels of ...

    African Journals Online (AJOL)

    A feeding trial which lasted eight (8) weeks was carried out to determine the intake and nutrient digestibility by growing Yankasa rams fed graded levels of Tamarindus indica leaves. Twelve Yankasa rams with average liveweight of 17.40kg were randomly allocated to three treatments of four replicates in a Randomized ...

  20. Preference of redear sunfish on zebra mussels and rams-horn snails

    Science.gov (United States)

    French, John R. P.; Morgan, Michael N.

    1995-01-01

    We tested prey preferences of adult (200- to 222-mm long) redear sunfish (Lepomis microlophus) on two size classes of zebra mussels (Dreissena polymorpha) and two-ridge rams-horns (Helisoma anceps) in experimental aquaria. We also tested physical limitations on consuming these mollusks and determined prey bioenergetic profitability. Redear sunfish strongly preferred rams-horns over zebra mussels, but they displayed no size preference for either prey. Ingestion was not physically limited since both prey species up to 15-mm long fit within the pharyngeal gapes of redear sunfish. Rams-horns were more bioenergetically profitable than zebra mussels and ingestion of rams-horn shell fragments was about three times less than zebra mussels. Rams-horns were somewhat more resistant to shell-crushing, but all size ranges of both prey species tested were crushable by redear sunfish. These studies suggested that the redear sunfish should not be considered a panacea for biological control of zebra mussels.

  1. Working memory capacity and controlled serial memory search.

    Science.gov (United States)

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. The Neurobiology of Sexual Partner Preferences in Rams

    Science.gov (United States)

    Roselli, Charles E.; Stormshak, Fred

    2009-01-01

    The question of what causes a male animal to seek out and choose a female as opposed to another male mating partner is unresolved and remains an issue of considerable debate. The most developed biologic theory is the perinatal organizational hypothesis, which states that perinatal hormone exposure mediates sexual differentiation of the brain. Numerous animal experiments have assessed the contribution of perinatal testosterone and/or estradiol exposure to the development of a male-typical mate preference, but almost all have used hormonally manipulated animals. In contrast, variations in sexual partner preferences occur spontaneously in domestic rams, with as many as 8% of the population exhibiting a preference for same-sex mating partners (male-oriented rams). Thus, the domestic ram is an excellent experimental model to study possible links between fetal neuroendocrine programming of neural mechanisms and adult sexual partner preferences. In this review, we present an overview of sexual differentiation in relation to sexual partner preferences. We then summarize results that test the relevance of the organizational hypothesis to expression of same-sex sexual partner preferences in rams. Finally, we demonstrate that the sexual differentiation of brain and behavior in sheep do not depend critically on aromatization of testosterone to estradiol. PMID:19446078

  3. The neurobiology of sexual partner preferences in rams.

    Science.gov (United States)

    Roselli, Charles E; Stormshak, Fred

    2009-05-01

    The question of what causes a male animal to seek out and choose a female as opposed to another male mating partner is unresolved and remains an issue of considerable debate. The most developed biologic theory is the perinatal organizational hypothesis, which states that perinatal hormone exposure mediates sexual differentiation of the brain. Numerous animal experiments have assessed the contribution of perinatal testosterone and/or estradiol exposure to the development of a male-typical mate preference, but almost all have used hormonally manipulated animals. In contrast, variations in sexual partner preferences occur spontaneously in domestic rams, with as many as 8% of the population exhibiting a preference for same-sex mating partners (male-oriented rams). Thus, the domestic ram is an excellent experimental model to study possible links between fetal neuroendocrine programming of neural mechanisms and adult sexual partner preferences. In this review, we present an overview of sexual differentiation in relation to sexual partner preferences. We then summarize results that test the relevance of the organizational hypothesis to expression of same-sex sexual partner preferences in rams. Finally, we demonstrate that the sexual differentiation of brain and behavior in sheep does not depend critically on aromatization of testosterone to estradiol.

  4. Experimental study on reactor neutron induced effect of deep sub-micron CMOS static random access memory

    International Nuclear Information System (INIS)

    Yang Shanchao; Guo Xiaoqiang; Lin Dongsheng; Chen Wei; Li Ruibin; Bai Xiaoyan; Wang Guizhen

    2010-01-01

    This paper investigates neutron irradiation effects of two kinds of commercial CMOS SRAM (static random access memory), of which one is 4M memory with the feature size of 0.25 μm and the other is 16M memory with the feature size of 0.13 μm. We designed a memory testing system of irradiation effects and performed the neutron irradiation experiment using the Xi'an Pulse Reactor. The upset of two kinds of memory cells did not present a threshold versus the increase of neutron fluence. The results showed that deep sub-micron SRAM behaved single-event upset (SEU) effect in neutron irradiation environment. The SEU effect of SRAM with smaller size and higher integrated level tends to upset is considered to be related to the reduction of the device feature size, and fewer charges for upsets of the memory cell also lead to the SEU effect. (authors)

  5. Solar Particle Induced Upsets in the TDRS-1 Attitude Control System RAM During the October 1989 Solar Particle Events

    Science.gov (United States)

    Croley, D. R.; Garrett, H. B.; Murphy, G. B.; Garrard,T. L.

    1995-01-01

    The three large solar particle events, beginning on October 19, 1989 and lasting approximately six days, were characterized by high fluences of solar protons and heavy ions at 1 AU. During these events, an abnormally large number of upsets (243) were observed in the random access memory of the attitude control system (ACS) control processing electronics (CPE) on-board the geosynchronous TDRS-1 (Telemetry and Data Relay Satellite). The RAM unit affected was composed of eight Fairchild 93L422 memory chips. The Galileo spacecraft, launched on October 18, 1989 (one day prior to the solar particle events) observed the fluxes of heavy ions experienced by TDRS-1. Two solid-state detector telescopes on-board Galileo, designed to measure heavy ion species and energy, were turned on during time periods within each of the three separate events. The heavy ion data have been modeled and the time history of the events reconstructed to estimate heavy ion fluences. These fluences were converted to effective LET spectra after transport through the estimated shielding distribution around the TDRS-1 ACS system. The number of single event upsets (SEU) expected was calculated by integrating the measured cross section for the Fairchild 93L422 memory chip with average effective LET spectrum. The expected number of heavy ion induced SEU's calculated was 176. GOES-7 proton data, observed during the solar particle events, were used to estimate the number of proton-induced SEU's by integrating the proton fluence spectrum incident on the memory chips, with the two-parameter Bendel cross section for proton SEU'S. The proton fluence spectrum at the device level was gotten by transporting the protons through the estimated shielding distribution. The number of calculated proton-induced SEU's was 72, yielding a total of 248 predicted SEU'S, very dose to the 243 observed SEU'S. These calculations uniquely demonstrate the roles that solar heavy ions and protons played in the production of SEU

  6. TSKT-ORAM: A Two-Server k-ary Tree Oblivious RAM without Homomorphic Encryption

    Directory of Open Access Journals (Sweden)

    Jinsheng Zhang

    2017-09-01

    Full Text Available This paper proposes TSKT-oblivious RAM (ORAM, an efficient multi-server ORAM construction, to protect a client’s access pattern to outsourced data. TSKT-ORAM organizes each of the server storages as a k-ary tree and adopts XOR-based private information retrieval (PIR and a novel delayed eviction technique to optimize both the data query and data eviction process. TSKT-ORAM is proven to protect the data access pattern privacy with a failure probability of 2 - 80 when system parameter k ≥ 128 . Meanwhile, given a constant-size local storage, when N (i.e., the total number of outsourced data blocks ranges from 2 16 – 2 34 , the communication cost of TSKT-ORAM is only 22–46 data blocks. Asymptotic analysis and practical comparisons are conducted to show that TSKT-ORAM incurs lower communication cost, storage cost and access delay in practical scenarios than the compared state-of-the-art ORAM schemes.

  7. Seasonal variation in semen quality of Dorper rams using different ...

    African Journals Online (AJOL)

    Seasonal variation in semen quality of Dorper rams using different collection ... libitum throughout the trial, and all rams being fed a 2.5 kg maintenance diet per day. ... quality collected by the AV versus the EE collection method was recorded.

  8. Low-power resistive random access memory by confining the formation of conducting filaments

    International Nuclear Information System (INIS)

    Huang, Yi-Jen; Lee, Si-Chen; Shen, Tzu-Hsien; Lee, Lan-Hsuan; Wen, Cheng-Yen

    2016-01-01

    Owing to their small physical size and low power consumption, resistive random access memory (RRAM) devices are potential for future memory and logic applications in microelectronics. In this study, a new resistive switching material structure, TiO_x/silver nanoparticles/TiO_x/AlTiO_x, fabricated between the fluorine-doped tin oxide bottom electrode and the indium tin oxide top electrode is demonstrated. The device exhibits excellent memory performances, such as low operation voltage (<±1 V), low operation power, small variation in resistance, reliable data retention, and a large memory window. The current-voltage measurement shows that the conducting mechanism in the device at the high resistance state is via electron hopping between oxygen vacancies in the resistive switching material. When the device is switched to the low resistance state, conducting filaments are formed in the resistive switching material as a result of accumulation of oxygen vacancies. The bottom AlTiO_x layer in the device structure limits the formation of conducting filaments; therefore, the current and power consumption of device operation are significantly reduced.

  9. Frontal cortex and hippocampus neurotransmitter receptor complex level parallels spatial memory performance in the radial arm maze.

    Science.gov (United States)

    Shanmugasundaram, Bharanidharan; Sase, Ajinkya; Miklosi, András G; Sialana, Fernando J; Subramaniyan, Saraswathi; Aher, Yogesh D; Gröger, Marion; Höger, Harald; Bennett, Keiryn L; Lubec, Gert

    2015-08-01

    Several neurotransmitter receptors have been proposed to be involved in memory formation. However, information on receptor complexes (RCs) in the radial arm maze (RAM) is missing. It was therefore the aim of this study to determine major neurotransmitter RCs levels that are modulated by RAM training because receptors are known to work in homo-or heteromeric assemblies. Immediate early gene Arc expression was determined by immunohistochemistry to show if prefrontal cortices (PFC) and hippocampi were activated following RAM training as these regions are known to be mainly implicated in spatial memory. Twelve rats per group, trained and untrained in the twelve arm RAM were used, frontal cortices and hippocampi were taken, RCs in membrane protein were quantified by blue-native PAGE immunoblotting. RCs components were characterised by co-immunoprecipitation followed by mass spectrometrical analysis and by the use of the proximity ligation assay. Arc expression was significantly higher in PFC of trained as compared to untrained rats whereas it was comparable in hippocampi. Frontal cortical levels of RCs containing AMPA receptors GluA1, GluA2, NMDA receptors GluN1 and GluN2A, dopamine receptor D1, acetylcholine nicotinic receptor alpha 7 (nAChR-α7) and hippocampal levels of RCs containing D1, GluN1, GluN2B and nAChR-α7 were increased in the trained group; phosphorylated dopamine transporter levels were decreased in the trained group. D1 and GluN1 receptors were shown to be in the same complex. Taken together, distinct RCs were paralleling performance in the RAM which is relevant for interpretation of previous and design of future work on RCs in memory studies. Copyright © 2015 Elsevier B.V. All rights reserved.

  10. Vehicle barrier with access delay

    Science.gov (United States)

    Swahlan, David J; Wilke, Jason

    2013-09-03

    An access delay vehicle barrier for stopping unauthorized entry into secure areas by a vehicle ramming attack includes access delay features for preventing and/or delaying an adversary from defeating or compromising the barrier. A horizontally deployed barrier member can include an exterior steel casing, an interior steel reinforcing member and access delay members disposed within the casing and between the casing and the interior reinforcing member. Access delay members can include wooden structural lumber, concrete and/or polymeric members that in combination with the exterior casing and interior reinforcing member act cooperatively to impair an adversarial attach by thermal, mechanical and/or explosive tools.

  11. 50 CFR 660.15 - Equipment requirements.

    Science.gov (United States)

    2010-10-01

    ... software requirements. (i) A personal computer with Pentium 75-MHz or higher. Random Access Memory (RAM... Graphics Adapter (VGA) or higher resolution monitor (super VGA is recommended). (ii) Microsoft Windows 2000...

  12. Resistive switching characteristics of solution-processed Al-Zn-Sn-O films annealed by microwave irradiation

    Science.gov (United States)

    Kim, Tae-Wan; Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    In this study, we employed microwave irradiation (MWI) at low temperature in the fabrication of solution-processed AlZnSnO (AZTO) resistive random access memory (ReRAM) devices with a structure of Ti/AZTO/Pt and compared the memory characteristics with the conventional thermal annealing (CTA) process. Typical bipolar resistance switching (BRS) behavior was observed in AZTO ReRAM devices treated with as-deposited (as-dep), CTA and MWI. In the low resistance state, the Ohmic conduction mechanism describes the dominant conduction of these devices. On the other hand, the trap-controlled space charge limited conduction (SCLC) mechanism predominates in the high resistance state. The AZTO ReRAM devices processed with MWI showed larger memory windows, uniform distribution of resistance state and operating voltage, stable DC durability (>103 cycles) and stable retention characteristics (>104 s). In addition, the AZTO ReRAM devices treated with MWI exhibited multistage storage characteristics by modulating the amplitude of the reset bias, and eight distinct resistance levels were obtained with stable retention capability.

  13. 16-bit error detection and correction (EDAC) controller design using FPGA for critical memory applications

    International Nuclear Information System (INIS)

    Misra, M.K.; Sridhar, N.; Krishnakumar, B.; Ilango Sambasivan, S.

    2002-01-01

    Full text: Complex electronic systems require the utmost reliability, especially when the storage and retrieval of critical data demands faultless operation, the system designer must strive for the highest reliability possible. Extra effort must be expended to achieve this reliability. Fortunately, not all systems must operate with these ultra reliability requirements. The majority of systems operate in an area where system failure is not hazardous. But the applications like nuclear reactors, medical and avionics are the areas where system failure may prove to have harsh consequences. High-density memories generate errors in their stored data due to external disturbances like power supply surges, system noise, natural radiation etc. These errors are called soft errors or transient errors, since they don't cause permanent damage to the memory cell. Hard errors may also occur on system memory boards. These hard errors occur if one RAM component or RAM cell fails and is stuck at either 0 or 1. Although less frequent, hard errors may cause a complete system failure. These are the major problems associated with memories

  14. Multiple social identities and stereotype threat: imbalance, accessibility, and working memory.

    Science.gov (United States)

    Rydell, Robert J; McConnell, Allen R; Beilock, Sian L

    2009-05-01

    In 4 experiments, the authors showed that concurrently making positive and negative self-relevant stereotypes available about performance in the same ability domain can eliminate stereotype threat effects. Replicating past work, the authors demonstrated that introducing negative stereotypes about women's math performance activated participants' female social identity and hurt their math performance (i.e., stereotype threat) by reducing working memory. Moving beyond past work, it was also demonstrated that concomitantly presenting a positive self-relevant stereotype (e.g., college students are good at math) increased the relative accessibility of females' college student identity and inhibited their gender identity, eliminating attendant working memory deficits and contingent math performance decrements. Furthermore, subtle manipulations in questions presented in the demographic section of a math test eliminated stereotype threat effects that result from women reporting their gender before completing the test. This work identifies the motivated processes through which people's social identities became active in situations in which self-relevant stereotypes about a stigmatized group membership and a nonstigmatized group membership were available. In addition, it demonstrates the downstream consequences of this pattern of activation on working memory and performance. Copyright (c) 2009 APA, all rights reserved.

  15. RAMS - Regional Atmospheric Modelling System for decision support system SWD-WJ/RODOS

    International Nuclear Information System (INIS)

    Borysiewicz, M.; Potemski, S.; Galkowski, A.; Zelazinska, B.

    1999-01-01

    Presented is report aimed at implementation of RAMS (Regional Atmospheric Modelling System) to the decision support system SWD-WJ/RODOS. A comprehensive analysis has been made of different meteorological codes, both local and regional. RAMS code, developed at Colorado State University and Aster Division of Mission Research Corporation, has been selected for reasons presented in this report. RAMS has been adjusted to Polish conditions. Necessary data as topography and surface characteristics (roughness, land percentage, see surface temperature, land cover, soil type, etc.) have been obtained from US Geological Survey and NOAA ARL, Washington DC. Numerical tests have been performed on two nested grids, one covering large part of Europe, the other one covering Polish territory. RAMS requires meteorological input from global model and/or from local meteorological stations. Use has been made of data from the Institute of Meteorology and Water Management, Warsaw, and from European Center for Medium Range Forecast (ECMWF, Reading). For the later RAMS acted operationally what means that global data were delivered every 12 h from Reading via Internet to Swierk and subsequently 12 h weather forecast was calculated by RAMS. In a separate experiment RAMS forecast has been benchmarked with a forecast by UMPL code operated routinely at Warsaw University. Results of all of those test are presented in the report. Finally, some suggestions concerning further work has been put forward. (author)

  16. Long-term treadmill exercise-induced neuroplasticity and associated memory recovery of streptozotocin-induced diabetic rats: an experimenter blind, randomized controlled study.

    Science.gov (United States)

    You, Joshua Sung H; Kim, Chung-Ju; Kim, Mee Young; Byun, Yong Gwon; Ha, So Young; Han, Bong Suk; Yoon, Bum Chul

    2009-01-01

    We investigated a long-term exercise-induced neuroplasticity and spatial memory recovery in 15 rats in a treadmill as follows: normal control rats (NC), streptozotocin (STZ)-induced diabetic control rats (DC), and STZ-induced diabetic rats exercising in a treadmill (DE). As per the DE group, the running exercise in a treadmill was administered for 30 minutes a day for 6 weeks. Neuronal immediate-early gene (IEG) expression (c-Fos) in the hippocampus and radial arm maze (RAM) tests were measured and revealed that the c-Fos levels in DE were significantly higher than those in NC and DC (p memory performance scores, obtained from the RAM test, were significantly different among the three groups (p memory scores of NC and DE were higher than those of DC (p memory. This is the first experimental evidence in literature that supports the efficacy of exercise-induced neuroplasticity and spatial motor memory in diabetes care.

  17. CONSTRUCTIVE MODEL OF ADAPTATION OF DATA STRUCTURES IN RAM. PART II. CONSTRUCTORS OF SCENARIOS AND ADAPTATION PROCESSES

    Directory of Open Access Journals (Sweden)

    V. I. Shynkarenko

    2016-04-01

    Full Text Available Purpose.The second part of the paper completes presentation of constructive and the productive structures (CPS, modeling adaptation of data structures in memory (RAM. The purpose of the second part in the research is to develop a model of process of adaptation data in a RAM functioning in different hardware and software environments and scenarios of data processing. Methodology. The methodology of mathematical and algorithmic constructionism was applied. In this part of the paper, changes were developed the constructors of scenarios and adaptation processes based on a generalized CPS through its transformational conversions. Constructors are interpreted, specialized CPS. Were highlighted the terminal alphabets of the constructor scenarios in the form of data processing algorithms and the constructor of adaptation – in the form of algorithmic components of the adaptation process. The methodology involves the development of substitution rules that determine the output process of the relevant structures. Findings. In the second part of the paper, system is represented by CPS modeling adaptation data placement in the RAM, namely, constructors of scenarios and of adaptation processes. The result of the implementation of constructor of scenarios is a set of data processing operations in the form of text in the language of programming C#, constructor of the adaptation processes – a process of adaptation, and the result the process of adaptation – the adapted binary code of processing data structures. Originality. For the first time proposed the constructive model of data processing – the scenario that takes into account the order and number of calls to the various elements of data structures and adaptation of data structures to the different hardware and software environments. At the same the placement of data in RAM and processing algorithms are adapted. Constructionism application in modeling allows to link data models and algorithms for

  18. 50 CFR 660.373 - Pacific whiting (whiting) fishery management.

    Science.gov (United States)

    2010-10-01

    ... Access Memory (RAM) must have sufficient megabyte (MB) space to run the operating system, plus an... drive with a Video Graphics Adapter(VGA) or higher resolution monitor (super VGA is recommended). (2...

  19. RAMS - Regional Atmospheric Modelling System for decision support system SWD-WJ/RODOS[34 refs, 26 figs, 4 tabs]; RAMS - numeryczne prognozowanie pogody dla systemu wspomagania decyzji SWD-WJ/RODOS

    Energy Technology Data Exchange (ETDEWEB)

    Borysiewicz, M; Potemski, S [Inst. of Atomic Energy, Otwock-Swierk (Poland); Galkowski, A [Inst. Fizyki Plazmy i Laserowej Mikrosyntezy, Warsaw (Poland); Zelazinska, B [Inst. of Geophysics, Warsaw University, Warsaw (Poland)

    1999-07-01

    Presented is report aimed at implementation of RAMS (Regional Atmospheric Modelling System) to the decision support system SWD-WJ/RODOS. A comprehensive analysis has been made of different meteorological codes, both local and regional. RAMS code, developed at Colorado State University and Aster Division of Mission Research Corporation, has been selected for reasons presented in this report. RAMS has been adjusted to Polish conditions. Necessary data as topography and surface characteristics (roughness, land percentage, see surface temperature, land cover, soil type, etc.) have been obtained from US Geological Survey and NOAA ARL, Washington DC. Numerical tests have been performed on two nested grids, one covering large part of Europe, the other one covering Polish territory. RAMS requires meteorological input from global model and/or from local meteorological stations. Use has been made of data from the Institute of Meteorology and Water Management, Warsaw, and from European Center for Medium Range Forecast (ECMWF, Reading). For the later RAMS acted operationally what means that global data were delivered every 12 h from Reading via Internet to Swierk and subsequently 12 h weather forecast was calculated by RAMS. In a separate experiment RAMS forecast has been benchmarked with a forecast by UMPL code operated routinely at Warsaw University. Results of all of those test are presented in the report. Finally, some suggestions concerning further work has been put forward. (author)

  20. The Effect of NUMA Tunings on CPU Performance

    Science.gov (United States)

    Hollowell, Christopher; Caramarcu, Costin; Strecker-Kellogg, William; Wong, Antonio; Zaytsev, Alexandr

    2015-12-01

    Non-Uniform Memory Access (NUMA) is a memory architecture for symmetric multiprocessing (SMP) systems where each processor is directly connected to separate memory. Indirect access to other CPU's (remote) RAM is still possible, but such requests are slower as they must also pass through that memory's controlling CPU. In concert with a NUMA-aware operating system, the NUMA hardware architecture can help eliminate the memory performance reductions generally seen in SMP systems when multiple processors simultaneously attempt to access memory. The x86 CPU architecture has supported NUMA for a number of years. Modern operating systems such as Linux support NUMA-aware scheduling, where the OS attempts to schedule a process to the CPU directly attached to the majority of its RAM. In Linux, it is possible to further manually tune the NUMA subsystem using the numactl utility. With the release of Red Hat Enterprise Linux (RHEL) 6.3, the numad daemon became available in this distribution. This daemon monitors a system's NUMA topology and utilization, and automatically makes adjustments to optimize locality. As the number of cores in x86 servers continues to grow, efficient NUMA mappings of processes to CPUs/memory will become increasingly important. This paper gives a brief overview of NUMA, and discusses the effects of manual tunings and numad on the performance of the HEPSPEC06 benchmark, and ATLAS software.

  1. Mohan Ram, Prof. Holenarasipur Yoganarasimham

    Indian Academy of Sciences (India)

    Mohan Ram, Prof. Holenarasipur Yoganarasimham Ph.D. (Delhi), FNA, FNASc, FNAAS Council Service: 1983-88; Vice-President: 1986-88. Date of birth: 24 September 1930. Specialization: Plant Growth & Development and Economic Botany Address: No. 174, SFS DDA Flats, Mukherjee Nagar, Delhi 110 009, U.T.

  2. Continuous exposure to sexually active rams extends estrous activity in ewes in spring.

    Science.gov (United States)

    Abecia, J A; Chemineau, P; Flores, J A; Keller, M; Duarte, G; Forcada, F; Delgadillo, J A

    2015-12-01

    Sexual activity in sheep is under photoperiodic control, which is the main environmental factor responsible for the seasonality of reproduction. However, other natural environmental factors such as presence of conspecifics can slightly influence the timing of onset and offset of the breeding season. In goats, we have found that the continuous presence of bucks that were rendered sexually active out of season by previous exposure to long days, prevented goats from displaying seasonal anestrus, which suggests that the relative contribution of photoperiod in controlling seasonal anestrus should be reevaluated in small ruminant species. The aim of this study was to assess whether the presence of sexually active rams that had been stimulated by artificial photoperiod and melatonin implants, reduces seasonal anestrus in sheep, by prolonging ovulatory activity in spring. Ewes were assigned to one of two groups (n = 16 and 15), which were housed in two separate barns, and kept in contact, either with the treated or the control rams between March and July. Vasectomized rams were either exposed to 2 months of long days followed by the insertion of three subcutaneous melatonin implants (treated rams, n = 8), or exposed to natural light conditions (control rams, n = 2). Estrus was monitored daily, and weekly plasma progesterone analyses indicated ovulatory activity. Ewes that were exposed to treated rams exhibited a higher proportion of monthly estrus than ewes exposed to the control rams (P ewes (one ewe was not considered because of the presence of persistent CL) exposed to stimulated rams exhibited estrous behavior in a cyclic manner. In contrast, all ewes exposed to control rams stopped estrous activity for a period of time during the study, such that this group exhibited a significantly longer anestrous season (mean ± standard error of the mean 89 ± 9 days) than did the ewes housed with treated rams (26 ± 10 days; P ewes housed with treated rams, 13 of

  3. Memory impairment due to fipronil pesticide exposure occurs at the GABAA receptor level, in rats.

    Science.gov (United States)

    Godinho, Antonio Francisco; de Oliveira Souza, Ana Carolina; Carvalho, Caio Cristóvão; Horta, Daniel França; De Fraia, Daniel; Anselmo, Fabio; Chaguri, João Leandro; Faria, Caique Aparecido

    2016-10-15

    Fipronil (F) a pesticide considered of second generation cause various toxic effects in target and non-target organisms including humans in which provoke neurotoxicity, having the antagonism of gamma-amino butyric acid (GABA) as their main mechanism for toxic action. GABAergic system has been involved in processes related to the memory formation and consolidation. The present work studied the importance of GABA to the mechanisms involved in the very early development of fipronil-induced memory impairment in rats. Memory behavior was assessed using new object recognition task (ORT) and eight radial arm maze task (8-RAM) to study effects on cognitive and spatial memory. Locomotor behavior was assessed using open field task (OF). The dose of fipronil utilized was studied through a pilot experiment. The GABA antagonist picrotoxin (P) was used to enhance fipronil effects on GABAergic system. Fipronil or picrotoxin decrease memory studied in ORT and 8-RAM tasks. Additionally, F and P co-exposure enhanced effects on memory compared to controls, F, and P, suggesting strongly a GABAergic effect. Weight gain modulation and fipronil in blood were utilized as animal's intoxication indicators. In conclusion, here we report that second-generation pesticides, such as fipronil, can have toxic interactions with the CNS of mammals and lead to memory impairment by modulating the GABAergic system. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  5. Memory device sensitivity trends in aircraft's environment; Evolution de la sensibilite de composants memoires en altitude avion

    Energy Technology Data Exchange (ETDEWEB)

    Bouchet, T.; Fourtine, S. [Aerospatiale-Matra Airbus, 31 - Toulouse (France); Calvet, M.C. [Aerospatiale-Matra Lanceur, 78 - Les Mureaux (France)

    1999-07-01

    The authors present the SEU (single event upset) sensitivity of 31 SRAM (static random access memory) and 8 DRAM (dynamic random access memory) according to their technologies. 2 methods have been used to compute the SEU rate: the NCS (neutron cross section) method and the BGR (burst generation rate) method, the physics data required by both methods have been either found in scientific literature or directly measured. The use of new technologies implies a quicker time response through a dramatic reduction of chip size and of the amount of energy representing 1 bit. The reduction of size makes less particles are likely to interact with the chip but the reduction of the critical charge implies that these interactions are more likely to damage the chip. The SEU sensitivity is then parted between these 2 opposed trends. Results show that for technologies beyond 0,18 {mu}m these 2 trends balance roughly. Nevertheless the feedback experience shows that the number of errors is increasing. This is due to the fact that avionics requires more and more memory to perform numerical functions, the number of bits is increasing so is the risk of errors. As far as SEU is concerned, RAM devices are less and less sensitive comparatively for 1 bit, and DRAM seem to be less sensitive than SRAM. (A.C.)

  6. The measurement and modeling of alpha-particle-induced charge collection in dynamic memories

    International Nuclear Information System (INIS)

    Oldiges, P.J.

    1989-01-01

    This thesis addresses the problem of α-particle-induced charge collection in high-density dynamic random access memories. A novel technique for the measurement of charge collection in high-density memory cells and bit lines due to α-particle strikes was developed. The technique involves D.C. tests on simple test structures with an α-particle source on the device package as a lid. The advantages of this new measurement technique are: the method allows for in-situ measurements of charge collection on both MOS capacitors and bit lines found in present-day memories; the on-chip measurement technique minimizes errors due to external probes loading the device under test; the measurements can be controlled by a personal computer, with the data being able to be reduced on the same machine. Results obtained using this new measurement technique show that the charge collection is found to depend upon test-structure size and the configuration of its neighbors. Results of two-dimensional simulations of charge flow along the surface of an MOS capacitor from current injection due to an α-particle strike indicate that a spatial potential variation of 0.5V may occur between the point of current injection and capacitor edge for a 1M dRAM capacitor

  7. Daily Access to Sucrose Impairs Aspects of Spatial Memory Tasks Reliant on Pattern Separation and Neural Proliferation in Rats

    Science.gov (United States)

    Reichelt, Amy C.; Morris, Margaret J.; Westbrook, Reginald Frederick

    2016-01-01

    High sugar diets reduce hippocampal neurogenesis, which is required for minimizing interference between memories, a process that involves "pattern separation." We provided rats with 2 h daily access to a sucrose solution for 28 d and assessed their performance on a spatial memory task. Sucrose consuming rats discriminated between objects…

  8. Aspects of Toxoplasma Infection on the Reproductive System of Experimentally Infected Rams (Ovis Aries

    Directory of Open Access Journals (Sweden)

    Welber Daniel Zanetti Lopes

    2009-01-01

    Full Text Available Eight reproductive rams with no prior reproductive disease were distributed into three groups of infection with T. gondii: GI, 3 rams, 2.0×105 P strain oocysts; GII, 3 rams, 1.0×106 RH strain tachyzoites; GIII, 2 control rams. Clinical parameters were measured and serological evaluations (IIF were performed. Presence of the parasite in the semen was investigated by PCR and bioassay techniques. The rams presented clinical alterations (hyperthermia and apathy related to toxoplasmosis in both groups infected with Toxoplasma gondii. All the inoculated rams responded to antigenic stimulus, producing antibodies against T. gondii from postinoculation day 5 onwards. In ovine groups I and II, the greatest titers observed were 1 : 4096 and 1 : 8192, respectively. In semen samples collected from these two groups, the presence of T. gondii was detected by bioassay and PCR. This coccidian was isolated (bioassay and PCR in tissue pools (testicles, epididymis, seminal vesicle, and prostrate from two rams infected presenting oocysts and in one presenting tachyzoites.

  9. Priority Queues Resilient to Memory Faults

    DEFF Research Database (Denmark)

    Jørgensen, Allan Grønlund; Moruz, Gabriel; Mølhave, Thomas

    2007-01-01

    In the faulty-memory RAM model, the content of memory cells can get corrupted at any time during the execution of an algorithm, and a constant number of uncorruptible registers are available. A resilient data structure in this model works correctly on the set of uncorrupted values. In this paper we...... introduce a resilient priority queue. The deletemin operation of a resilient priority queue returns either the minimum uncorrupted element or some corrupted element. Our resilient priority queue uses $O(n)$ space to store $n$ elements. Both insert and deletemin operations are performed in $O(\\log n...... queues storing only structural information in the uncorruptible registers between operations....

  10. Effect of heterologous seminal plasma and semen extenders on motility of frozen-thawed ram spermatozoa

    Directory of Open Access Journals (Sweden)

    G.A. Mataveia

    2010-05-01

    Full Text Available Ram seminal plasma increases the fertility of frozen-thawed ram spermatozoa deposited into the cervix. The aim of the current study was to compare the effect of ram seminal plasma to that of bull seminal plasma, dog prostatic fluid, protein-free TALP, TrilEq (Triladyl with 0.5 mℓ of Equex STM paste added to each 100 mℓ and heat-treated skim milk on longevity and percentages of progressively motile and aberrantly motile frozen-thawed ram spermatozoa. Three ejaculates from each of 6 rams were extended in TrilEq, pooled and frozen in straws as a single batch per ram. One hundred and eight straws (3 straws from each ram for each fluid were thawed in random order. Once thawed, a straw was emptied into a tube with 0.85mℓ of the appropriate fluid at 37 °C and kept at that temperature for 6 h. Motility was assessed at x200 magnification immediately (time zero and 2, 4 and 6 h after thawing. Progressive motility decreased from each time to the next (P < 0.05 and was 39.0% (0 h, 26.0% (2 h, 19.6% (4 h and 12.6% (6 h; SEM 1.24, n=108 for each group. Ram seminal plasma resulted in higher progressive motility than bull seminal plasma, lower than milk, and similar to the other fluids. Ram seminal plasma resulted in lower aberrant motility than protein-free TALP and similar aberrant motility to other fluids. The effect of ram seminal plasma and dog prostatic fluid was very similar. The effect of ram seminal plasma on the fertility of frozen-thawed ram spermatozoa deposited into the cervix is not due an exceptionally beneficial effect on the motility of spermatozoa.

  11. High ethanol and acetaldehyde impair spatial memory in mouse models: opposite effects of aldehyde dehydrogenase 2 and apolipoprotein E on memory.

    Science.gov (United States)

    Jamal, Mostofa; Ameno, Kiyoshi; Miki, Takanori; Tanaka, Naoko; Ono, Junichiro; Shirakami, Gotaro; Sultana, Ruby; Yu, Nakamura; Kinoshita, Hiroshi

    2012-05-01

    Aldehyde dehydrogenase 2 deficiency may directly contribute to excess acetaldehyde (AcH) accumulation after ethanol (EtOH) drinking and AcH mediates some of the behavioral effects of EtOH. Apolipoprotein E has been suggested to be involved in the alteration of attention and memory. We have chosen Aldh2-knockout (Aldh2-KO), ApoE-KO, and their wild-type (WT) control mice to examine the effects of EtOH and AcH on spatial memory and to compare the possible relationship between genetic deficiency and memory using two behavioral assessments. Mice were trained for 4 days, with EtOH (0.5, 1.0, 2.0 g/kg) being given intraperitoneally on day 4. A probe trial was given on day 5 in the non-EtOH state in the Morris water maze (MWM). The results showed that 2.0 g/kg EtOH increased errors, indicating memory impairment on the eight-arm radial maze (RAM) for all the mice studied. One gram per kilogram EtOH impaired the performance of Aldh2-KO and ApoE-KO mice, but not WT mice. We found similar effects of EtOH on the MWM performance, with 2.0 g/kg EtOH increasing the latencies. One gram per kilogram EtOH increased the latencies of Aldh2-KO and WT mice, but not ApoE-KO mice. The 2.0 g/kg EtOH-induced memory impairment in Aldh2-KO mice was greater, suggesting an AcH effect. Furthermore, time spent on the probe trial was shorter in mice that had previously received 2.0 g/kg EtOH. ApoE-KO mice learned more slowly, while Aldh2-KO mice learned more quickly. Both the RAM and MWM results suggest that high EtOH and AcH impair spatial memory in mice, while lower doses do not have consistent memory effects. In addition, we conclude that genetic differences might underlie some of EtOH's effects on memory. Copyright © 2012 Elsevier Inc. All rights reserved.

  12. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  13. Proposed Model for Integrating RAMS Method in the Design Process in Construction

    Directory of Open Access Journals (Sweden)

    Saad Al-Jibouri

    2010-05-01

    Full Text Available There is a growing trend in the Netherlands for outsourcing public construction activities to the private sector through the use of integrated contracts. There is also an increasing emphasis from public clients on the use of RAMS and life cycle costing (LCC in the design process of infrastructural projects to improve the performance of designed systems and optimize the project cost. RAMS is an acronym for `reliability, availability, maintainability and safety' and represents a collection of techniques to provide predictions of the performance targets of the required system. Increasingly, RAMS targets are being specified in invitation to tender or contract documents and the parties responsible for the design are required to provide evidence of its application in their design. Recent evidence from practice, complemented with a literature study, has shown that the knowledge and application of RAMS in infrastructural designs are in their infancy compared with other industrial sectors and many designers in construction do not have the necessary knowledge and experience to apply it. This paper describes a proposed model for the integration of RAMS and LCC into the design process in construction. A variation of the model for the application of RAMS in `design, build, finance and maintain' (DBFM contracts that include maintenance requirements is also proposed. The two models involve providing guidelines to simplify the application of RAMs by the designers. The model has been validated for its practicality and usefulness during a workshop by experienced designers. DOI: 10.3763/aedm.2008.0100 Published in the Journal AEDM - Volume 5, Number 4, 2009 , pp. 179-192(14

  14. Short-term spatial memory responses in aged Japanese quail selected for divergent adrenocortical stress responsiveness.

    Science.gov (United States)

    Suhr, C L; Schmidt, J B; Treese, S T; Satterlee, D G

    2010-04-01

    Stress-induced glucocorticoids can dampen learning and spatial memory via neuronal damage to the hippocampus. Cognition losses can be transient (associated with acute stress episodes) or permanent as in aged individuals who show chronic glucocorticoid-induced accelerated brain aging and neurodegeneration (dementia). Thus, chronic versus acute stress effects on spatial memory responses of quail selected for reduced (low stress, LS) or exaggerated (high stress, HS) plasma corticosterone (B) response to brief restraint were assessed. Aged food-motivated male LS and HS quail were tested for 10 min in a feed-baited 8-arm radial arm maze (RAM) 1) at 255 d of age (quail who had experienced lifelong management stressors but who were otherwise never intentionally stressed; that is, chronically stressed birds), 2) on the next day post-acute stressor treatment (5 min of restraint), and 3) on the next day without treatment (acute stress recovery). The RAM tests used the win-shift procedure in which visited arms were not rebaited. Radial arm maze performance was measured by determination of the total number of arm choices made, the number of correct entries made into baited arms out of the first 8 choices, the time required to make a choice, and the number of pellets eaten. Line effects (P LS), and number of pellets eaten (HS RAM testing nor its interaction with line further influenced these variables. Thus, although selection for divergent plasma B responsiveness to an acute stressor was found to be associated with severe impairment of spatial memory in aged male HS compared with LS quail, the observed spatial memory impairments (HS > LS) could not be further altered by acute stressor treatment. Line differences in cognition may reflect lifelong management-induced stress episodes that periodically produce higher plasma B responses in HS than LS quail, which underlie HS quail memory deficits, or other etiologies, or both.

  15. Spectrotemporal processing drives fast access to memory traces for spoken words.

    Science.gov (United States)

    Tavano, A; Grimm, S; Costa-Faidella, J; Slabu, L; Schröger, E; Escera, C

    2012-05-01

    The Mismatch Negativity (MMN) component of the event-related potentials is generated when a detectable spectrotemporal feature of the incoming sound does not match the sensory model set up by preceding repeated stimuli. MMN is enhanced at frontocentral scalp sites for deviant words when compared to acoustically similar deviant pseudowords, suggesting that automatic access to long-term memory traces for spoken words contributes to MMN generation. Does spectrotemporal feature matching also drive automatic lexical access? To test this, we recorded human auditory event-related potentials (ERPs) to disyllabic spoken words and pseudowords within a passive oddball paradigm. We first aimed at replicating the word-related MMN enhancement effect for Spanish, thereby adding to the available cross-linguistic evidence (e.g., Finnish, English). We then probed its resilience to spectrotemporal perturbation by inserting short (20 ms) and long (120 ms) silent gaps between first and second syllables of deviant and standard stimuli. A significantly enhanced, frontocentrally distributed MMN to deviant words was found for stimuli with no gap. The long gap yielded no deviant word MMN, showing that prior expectations of word form limits in a given language influence deviance detection processes. Crucially, the insertion of a short gap suppressed deviant word MMN enhancement at frontocentral sites. We propose that spectrotemporal point-wise matching constitutes a core mechanism for fast serial computations in audition and language, bridging sensory and long-term memory systems. Copyright © 2012 Elsevier Inc. All rights reserved.

  16. Encoding and retrieval processes involved in the access of source information in the absence of item memory.

    Science.gov (United States)

    Ball, B Hunter; DeWitt, Michael R; Knight, Justin B; Hicks, Jason L

    2014-09-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were related to the target item but never actually studied. In Experiments 1 and 2, participants studied 1 category member (e.g., onion) from a variety of different categories and at test were presented with an unstudied category label (e.g., vegetable) to probe memory for item and source information. In Experiments 3 and 4, 1 member of unidirectional (e.g., credit or card) or bidirectional (e.g., salt or pepper) associates was studied, whereas the other unstudied member served as a test probe. When recall failed, source information was accessible only when items were processed deeply during encoding (Experiments 1 and 2) and when there was strong forward associative strength between the retrieval cue and target (Experiments 3 and 4). These findings suggest that a retrieval probe diagnostic of semantically related item information reinstantiates information bound in memory during encoding that results in reactivation of associated contextual information, contingent upon sufficient learning of the item itself and the association between the item and its context information.

  17. Surface effects of electrode-dependent switching behavior of resistive random-access memory

    KAUST Repository

    Ke, Jr Jian; Wei, Tzu Chiao; Tsai, Dung Sheng; Lin, Chun-Ho; He, Jr-Hau

    2016-01-01

    of the oxygen chemisorption process was proposed to explain this electrode-dependent switching behavior. The temperature-dependent switching voltage demonstrates that the ReRAM devices fabricated with Pt electrodes have a lower activation energy

  18. Single Event Upset in Static Random Access Memories in Atmospheric Neutron Environments

    Science.gov (United States)

    Arita, Yutaka; Takai, Mikio; Ogawa, Izumi; Kishimoto, Tadafumi

    2003-07-01

    Single-event upsets (SEUs) in a 0.4 μm 4 Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476 m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using 252Cf.

  19. Materials selection for oxide-based resistive random access memories

    International Nuclear Information System (INIS)

    Guo, Yuzheng; Robertson, John

    2014-01-01

    The energies of atomic processes in resistive random access memories (RRAMs) are calculated for four typical oxides, HfO 2 , TiO 2 , Ta 2 O 5 , and Al 2 O 3 , to define a materials selection process. O vacancies have the lowest defect formation energy in the O-poor limit and dominate the processes. A band diagram defines the operating Fermi energy and O chemical potential range. It is shown how the scavenger metal can be used to vary the O vacancy formation energy, via controlling the O chemical potential, and the mean Fermi energy. The high endurance of Ta 2 O 5 RRAM is related to its more stable amorphous phase and the adaptive lattice rearrangements of its O vacancy

  20. Architecture of the sperm whale forehead facilitates ramming combat

    Directory of Open Access Journals (Sweden)

    Olga Panagiotopoulou

    2016-04-01

    Full Text Available Herman Melville’s novel Moby Dick was inspired by historical instances in which large sperm whales (Physeter macrocephalus L. sank 19th century whaling ships by ramming them with their foreheads. The immense forehead of sperm whales is possibly the largest, and one of the strangest, anatomical structures in the animal kingdom. It contains two large oil-filled compartments, known as the “spermaceti organ” and “junk,” that constitute up to one-quarter of body mass and extend one-third of the total length of the whale. Recognized as playing an important role in echolocation, previous studies have also attributed the complex structural configuration of the spermaceti organ and junk to acoustic sexual selection, acoustic prey debilitation, buoyancy control, and aggressive ramming. Of these additional suggested functions, ramming remains the most controversial, and the potential mechanical roles of the structural components of the spermaceti organ and junk in ramming remain untested. Here we explore the aggressive ramming hypothesis using a novel combination of structural engineering principles and probabilistic simulation to determine if the unique structure of the junk significantly reduces stress in the skull during quasi-static impact. Our analyses indicate that the connective tissue partitions in the junk reduce von Mises stresses across the skull and that the load-redistribution functionality of the former is insensitive to moderate variation in tissue material parameters, the thickness of the partitions, and variations in the location and angle of the applied load. Absence of the connective tissue partitions increases skull stresses, particularly in the rostral aspect of the upper jaw, further hinting of the important role the architecture of the junk may play in ramming events. Our study also found that impact loads on the spermaceti organ generate lower skull stresses than an impact on the junk. Nevertheless, whilst an impact on the

  1. Architecture of the sperm whale forehead facilitates ramming combat.

    Science.gov (United States)

    Panagiotopoulou, Olga; Spyridis, Panagiotis; Mehari Abraha, Hyab; Carrier, David R; Pataky, Todd C

    2016-01-01

    Herman Melville's novel Moby Dick was inspired by historical instances in which large sperm whales (Physeter macrocephalus L.) sank 19th century whaling ships by ramming them with their foreheads. The immense forehead of sperm whales is possibly the largest, and one of the strangest, anatomical structures in the animal kingdom. It contains two large oil-filled compartments, known as the "spermaceti organ" and "junk," that constitute up to one-quarter of body mass and extend one-third of the total length of the whale. Recognized as playing an important role in echolocation, previous studies have also attributed the complex structural configuration of the spermaceti organ and junk to acoustic sexual selection, acoustic prey debilitation, buoyancy control, and aggressive ramming. Of these additional suggested functions, ramming remains the most controversial, and the potential mechanical roles of the structural components of the spermaceti organ and junk in ramming remain untested. Here we explore the aggressive ramming hypothesis using a novel combination of structural engineering principles and probabilistic simulation to determine if the unique structure of the junk significantly reduces stress in the skull during quasi-static impact. Our analyses indicate that the connective tissue partitions in the junk reduce von Mises stresses across the skull and that the load-redistribution functionality of the former is insensitive to moderate variation in tissue material parameters, the thickness of the partitions, and variations in the location and angle of the applied load. Absence of the connective tissue partitions increases skull stresses, particularly in the rostral aspect of the upper jaw, further hinting of the important role the architecture of the junk may play in ramming events. Our study also found that impact loads on the spermaceti organ generate lower skull stresses than an impact on the junk. Nevertheless, whilst an impact on the spermaceti organ would

  2. Effects of electrodes on the properties of sol-gel PZT based capacitors in FeRAM

    Science.gov (United States)

    Zhang, Ming-Ming; Jia, Ze; Ren, Tian-Ling

    2009-05-01

    The effects of electrodes on the properties of capacitors applied in ferroelectric random access memories (FeRAM) are investigated in this work. Pt and Ir are used as bottom and top electrodes (BE and TE), respectively, in sol-gel Pb(Zr xTi 1-x)O 3 (PZT) based capacitors. Bottom electrodes are found to play a dominant role in the properties of PZT films and capacitors. Capacitors using Pt as bottom electrode have larger remnant polarization (2Pr) than those using Ir which may result from the different orientations of PZT films. The higher Schottky barrier, more dense film and smaller roughness are believed to be the reasons for the better leakage performance of capacitors using Pt as bottom electrodes. Different vacancies types and interface conditions are believed to be the main reasons for the better fatigue (less than 10% initial 2Pr loss after 10 11 fatigue cycles) and better imprint properties of TE/PZT/Ir capacitors. Top electrodes are found to have smaller impact on the properties of capacitors compared with bottom electrodes. A decrease in 2Pr is found when Ir is used as top electrode instead of Pt for PZT/Pt, which is believed to be caused by the stress resulting from lattice mismatch. The different thermal processes that top and bottom electrodes suffered are believed to be the reason for the different impacts they have on capacitors.

  3. Ram-pressure feeding of supermassive black holes.

    Science.gov (United States)

    Poggianti, Bianca M; Jaffé, Yara L; Moretti, Alessia; Gullieuszik, Marco; Radovich, Mario; Tonnesen, Stephanie; Fritz, Jacopo; Bettoni, Daniela; Vulcani, Benedetta; Fasano, Giovanni; Bellhouse, Callum; Hau, George; Omizzolo, Alessandro

    2017-08-16

    When a supermassive black hole at the centre of a galaxy accretes matter, it gives rise to a highly energetic phenomenon: an active galactic nucleus. Numerous physical processes have been proposed to account for the funnelling of gas towards the galactic centre to feed the black hole. There are also several physical processes that can remove gas from a galaxy, one of which is ram-pressure stripping by the hot gas that fills the space between galaxies in galaxy clusters. Here we report that six out of a sample of seven 'jellyfish' galaxies-galaxies with long 'tentacles' of material that extend for dozens of kiloparsecs beyond the galactic disks-host an active nucleus, and two of them also have galactic-scale ionization cones. The high incidence of nuclear activity among heavily stripped jellyfish galaxies may be due to ram pressure causing gas to flow towards the centre and triggering the activity, or to an enhancement of the stripping caused by energy injection from the active nucleus, or both. Our analysis of the galactic position and velocity relative to the cluster strongly supports the first hypothesis, and puts forward ram pressure as another possible mechanism for feeding the central supermassive black hole with gas.

  4. The Effect of NUMA Tunings on CPU Performance

    International Nuclear Information System (INIS)

    Hollowell, Christopher; Caramarcu, Costin; Strecker-Kellogg, William; Wong, Antonio; Zaytsev, Alexandr

    2015-01-01

    Non-Uniform Memory Access (NUMA) is a memory architecture for symmetric multiprocessing (SMP) systems where each processor is directly connected to separate memory. Indirect access to other CPU's (remote) RAM is still possible, but such requests are slower as they must also pass through that memory's controlling CPU. In concert with a NUMA-aware operating system, the NUMA hardware architecture can help eliminate the memory performance reductions generally seen in SMP systems when multiple processors simultaneously attempt to access memory.The x86 CPU architecture has supported NUMA for a number of years. Modern operating systems such as Linux support NUMA-aware scheduling, where the OS attempts to schedule a process to the CPU directly attached to the majority of its RAM. In Linux, it is possible to further manually tune the NUMA subsystem using the numactl utility. With the release of Red Hat Enterprise Linux (RHEL) 6.3, the numad daemon became available in this distribution. This daemon monitors a system's NUMA topology and utilization, and automatically makes adjustments to optimize locality.As the number of cores in x86 servers continues to grow, efficient NUMA mappings of processes to CPUs/memory will become increasingly important. This paper gives a brief overview of NUMA, and discusses the effects of manual tunings and numad on the performance of the HEPSPEC06 benchmark, and ATLAS software. (paper)

  5. Isotretinoin (13-cis-retinoic acid) alters learning and memory, but not anxiety-like behavior, in the adult rat.

    Science.gov (United States)

    Dopheide, Marsha M; Morgan, Russell E

    2008-12-01

    Isotretinoin (ISO, 13-cis-retinoic acid) is commonly prescribed as Accutane for the treatment of acne. ISO is a known teratogen and the physical side effects of the drug have been well documented. However, possible psychological risks associated with the drug have yet to be determined. Retinoid receptors are abundant in the striatum and hippocampus, brain structures involved in implicit and explicit memory processes, respectively. The current study examined whether ISO influenced implicit or explicit memory processes using a two-stage radial-arm maze (RAM) task. The two stages were identical, except for the method of presenting arm choices to the rats: one at a time (Stage 1) or in pairs (Stage 2). Male rats (n=12/group) were tested on both stages of the RAM during chronic oral treatment with ISO (0, 5, 10, or 15 mg/kg/day). Performance indicated that ISO impaired explicit memory in Stage 2, but retention tests one month after ISO exposure ended, indicated recovery from this explicit memory impairment and evidence of enhanced implicit memory in the 10 mg and 15 mg ISO rats. These data indicate extensive, enduring memory effects from oral ISO treatment at doses likely to produce serum levels within the range typically used to treat acne in humans.

  6. Design and analysis of hydraulic ram water pumping system

    Science.gov (United States)

    Hussin, N. S. M.; Gamil, S. A.; Amin, N. A. M.; Safar, M. J. A.; Majid, M. S. A.; Kazim, M. N. F. M.; Nasir, N. F. M.

    2017-10-01

    The current pumping system (DC water pump) for agriculture is powered by household electricity, therefore, the cost of electricity will be increased due to the higher electricity consumption. In addition, the water needs to be supplied at different height of trees and different places that are far from the water source. The existing DC water pump can pump the water to 1.5 m height but it cost money for electrical source. The hydraulic ram is a mechanical water pump that suitable used for agriculture purpose. It can be a good substitute for DC water pump in agriculture use. The hydraulic ram water pumping system has ability to pump water using gravitational energy or the kinetic energy through flowing source of water. This project aims to analyze and develop the water ram pump in order to meet the desired delivery head up to 3 meter height with less operation cost. The hydraulic ram is designed using CATIA software. Simulation work has been done using ANSYS CFX software to validate the working concept. There are three design were tested in the experiment study. The best design reached target head of 3 m with 15% efficiency and flow rate of 11.82l/min. The results from this study show that the less diameter of pressure chamber and higher supply head will create higher pressure.

  7. Hybrid caches: design and data management

    OpenAIRE

    Valero Bresó, Alejandro

    2013-01-01

    Cache memories have been usually implemented with Static Random-Access Memory (SRAM) technology since it is the fastest electronic memory technology. However, this technology consumes a high amount of leakage currents, which is a major design concern because leakage energy consumption increases as the transistor size shrinks. Alternative technologies are being considered to reduce this consumption. Among them, embedded Dynamic RAM (eDRAM) technology provides minimal area and le...

  8. Random access dynamic memory device with capacity of 4Kx16 bytes

    International Nuclear Information System (INIS)

    Damatov, Ya.M.; Nikityuk, N.M.; Nomokonova, A.I.

    1980-01-01

    Random access dynamic memory devjce with capacity of 4Kx16 bytes is described. A block diagram, time diagrams and a general view of a unit are presented. Regimes os unit operation and ways of data regeneration are described. The analyser regime and a possibility of recording data from ''R'' buses of CAMAC dataway permit to use the unit efficiency in spectrometrical channels with a high intensity of experimental events arrival. The unit is developed on the basis of using large integral circuits

  9. Twin-bit via resistive random access memory in 16 nm FinFET logic technologies

    Science.gov (United States)

    Shih, Yi-Hong; Hsu, Meng-Yin; King, Ya-Chin; Lin, Chrong Jung

    2018-04-01

    A via resistive random access memory (RRAM) cell fully compatible with the standard CMOS logic process has been successfully demonstrated for high-density logic nonvolatile memory (NVM) modules in advanced FinFET circuits. In this new cell, the transition metal layers are formed on both sides of a via, given two storage bits per via. In addition to its compact cell area (1T + 14 nm × 32 nm), the twin-bit via RRAM cell features a low operation voltage, a large read window, good data retention, and excellent cycling capability. As fine alignments between mask layers become possible, the twin-bit via RRAM cell is expected to be highly scalable in advanced FinFET technology.

  10. Single event upset in static random access memories in atmospheric neutron environments

    CERN Document Server

    Arita, Y; Ogawa, I; Kishimoto, T

    2003-01-01

    Single-event upsets (SEUs) in a 0.4 mu m 4Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using sup 2 sup 5 sup 2 Cf. (author)

  11. La Palabra de Ramón Frediani: Las Retenciones

    Directory of Open Access Journals (Sweden)

    Marcelo Coser

    2008-04-01

    Full Text Available En el marco del programa "Prisma Económico" del Instituto de Economía y Finanzas (IEF, Marcelo Coser, su conductor, consulta al Profesor Ramón Osvaldo Frediani, docente de la asignatura “Economía Regional y Urbana” e investigadordel Instituto. Durante la nota, Ramón Frediani nos da sus conceptos sobre las debatidas retenciones y los propósitos que éstas persiguen, brindando su visión respecto al accionar del Gobierno Nacional.

  12. Direct access inter-process shared memory

    Science.gov (United States)

    Brightwell, Ronald B; Pedretti, Kevin; Hudson, Trammell B

    2013-10-22

    A technique for directly sharing physical memory between processes executing on processor cores is described. The technique includes loading a plurality of processes into the physical memory for execution on a corresponding plurality of processor cores sharing the physical memory. An address space is mapped to each of the processes by populating a first entry in a top level virtual address table for each of the processes. The address space of each of the processes is cross-mapped into each of the processes by populating one or more subsequent entries of the top level virtual address table with the first entry in the top level virtual address table from other processes.

  13. Computer hardware for radiologists: Part I

    International Nuclear Information System (INIS)

    Indrajit, IK; Alam, A

    2010-01-01

    Computers are an integral part of modern radiology practice. They are used in different radiology modalities to acquire, process, and postprocess imaging data. They have had a dramatic influence on contemporary radiology practice. Their impact has extended further with the emergence of Digital Imaging and Communications in Medicine (DICOM), Picture Archiving and Communication System (PACS), Radiology information system (RIS) technology, and Teleradiology. A basic overview of computer hardware relevant to radiology practice is presented here. The key hardware components in a computer are the motherboard, central processor unit (CPU), the chipset, the random access memory (RAM), the memory modules, bus, storage drives, and ports. The personnel computer (PC) has a rectangular case that contains important components called hardware, many of which are integrated circuits (ICs). The fiberglass motherboard is the main printed circuit board and has a variety of important hardware mounted on it, which are connected by electrical pathways called “buses”. The CPU is the largest IC on the motherboard and contains millions of transistors. Its principal function is to execute “programs”. A Pentium ® 4 CPU has transistors that execute a billion instructions per second. The chipset is completely different from the CPU in design and function; it controls data and interaction of buses between the motherboard and the CPU. Memory (RAM) is fundamentally semiconductor chips storing data and instructions for access by a CPU. RAM is classified by storage capacity, access speed, data rate, and configuration

  14. Computer hardware for radiologists: Part I

    Directory of Open Access Journals (Sweden)

    Indrajit I

    2010-01-01

    Full Text Available Computers are an integral part of modern radiology practice. They are used in different radiology modalities to acquire, process, and postprocess imaging data. They have had a dramatic influence on contemporary radiology practice. Their impact has extended further with the emergence of Digital Imaging and Communications in Medicine (DICOM, Picture Archiving and Communication System (PACS, Radiology information system (RIS technology, and Teleradiology. A basic overview of computer hardware relevant to radiology practice is presented here. The key hardware components in a computer are the motherboard, central processor unit (CPU, the chipset, the random access memory (RAM, the memory modules, bus, storage drives, and ports. The personnel computer (PC has a rectangular case that contains important components called hardware, many of which are integrated circuits (ICs. The fiberglass motherboard is the main printed circuit board and has a variety of important hardware mounted on it, which are connected by electrical pathways called "buses". The CPU is the largest IC on the motherboard and contains millions of transistors. Its principal function is to execute "programs". A Pentium® 4 CPU has transistors that execute a billion instructions per second. The chipset is completely different from the CPU in design and function; it controls data and interaction of buses between the motherboard and the CPU. Memory (RAM is fundamentally semiconductor chips storing data and instructions for access by a CPU. RAM is classified by storage capacity, access speed, data rate, and configuration.

  15. Progress of ram acceleration with ISL's RAMAC 30

    Energy Technology Data Exchange (ETDEWEB)

    Seiler, F.; Patz, G.; Smeets, G.; Srulijes, J. [French-German Res. Inst., Saint-Louis (France)

    2000-11-01

    G. Smeets (1988) published a new concept for a ram accelerator with guiding tube rails for firing rail stabilized projectiles. This concept replaces fin stabilized projectiles accelerated in a cylindrical bore. The rail tube idea offers some advantages, e.g., no sabot is necessary as required for fin guided projectiles, simple projectile geometry, and possibility of varying the inner tube geometry. This principle was tested in 1993 and 1994 in rail tube version I and is now again under investigation since the beginning of 1997 in our RAMAC 30 in version II. In the rail tube concept, circular and finless projectiles are guided in a ram-tube equipped with five inner rails. At the moment we use a ram-section with a length of about 4.8 meters. A conventional powder gun serves as pre-accelerator. In the gun tube with a length of 2.8 meters, projectiles of about 150 grams are accelerated to a muzzle velocity of approximately 1800 m/s which is the initial velocity at the entrance of the ram-section. For successful operating a ram accelerator, the heat release must be limited to avoid ''thermal choking'' followed by an ''unstart''. This choking phenomenon will be investigated in detail in this paper from the gasdynamic point of view in order to predict the right mixture for the given flow conditions around the ram projectile. Moreover, to avoid a firing failure, the material point of view must also be considered. Some recent firings have been done using aluminium, titanium and steel as test materials and its behaviour is discussed herein in detail. The first outcome is for example, for a given projectile geometry and a given gas mixture with a steel cowling no ignition occurs, whereas with aluminium or titanium as combustor surface material the ignition starts well followed by a projectile acceleration. (orig.)

  16. RAtional Mapping (RAM) of in-core data

    International Nuclear Information System (INIS)

    Bonalumi, R.A.; Kherani, N.P.

    1983-01-01

    The paper describes and demonstrates a unique processing of in-core flux detector data, such that the detailed in-core power distribution can be derived with great accuracy by combining a specially 'smoothed-out' set of in-core data with neutron diffusion theory. RAM is designed in such a way that erratic detector signals are recognized very efficiently and can be eliminated from the experimental data set: this is achieved by modal expansion of the difference between theoretical fluxes and experimental fluxes at the detector sites. Sensitivity studies have shown that RAM is quite stable, does not absorb the 'wild' detector errors in the mapping procedure and results in mapped fluxes with errors about three times smaller than would be obtained by direct interpolation of detector readings

  17. PERFORMANCE OF FAT-TAILED RAMS FED COMPLETE FEED BASED OIL PALM FRONDS

    Directory of Open Access Journals (Sweden)

    A. Hamidah

    2014-10-01

    Full Text Available The aim of this experiment was to evaluate the performance of fat-tailed rams fed complete feedbased oil palm fronds (OPF. This experiment was arranged in Completely Randomized Design (CRDwith 5 treatments and 4 replications i.e. 0, 25, 50, 75 and 100% of OPF substituting fields grass incomplete feed for A, B, C, D and E treatments, respectively. Twenty fat-tailed rams were fed twice at08.00 and 14.00 with pellet of complete feed for 4 months. The result of this experiment showed thatOPF significantly influenced nutrient consumption, average daily gain (ADG and feed efficiency ratio(FER. Oil palm fronds significantly affected (P<0.05 fat-tailed rams’s dry matter intake (DMI, organicmatter intake (OMI, crude protein intake (CPI, ADG and FER. The highest DMI, OMI, CPI, ADG, andFER of fat-tailed rams was in C treatment and significantly different from the others. It was concludedthat C treatment was the best treatment which can give the best fat-tailed rams performance. The OPFcan be used to replace the field grass as feed up to 75% proportion in complete feed and could increasethe performance and productivity of fat-tailed rams.

  18. Spatially resolved analysis of resistive switching in transition metal oxide thin films

    OpenAIRE

    Landrock, Ruth Christine

    2011-01-01

    The quest for a non-volatile, small and fast computer memory calls for new memory concepts. Resistive Random Access Memory (ReRAM) based on transition metal oxides is an attractive candidate for future computer memories, because it has the potential of a low power consumption combined with fast switching speeds and good scalability. While in general, switching in such systems relies on a local redox reaction, many details are still unknown or under intense discussion. Especially the geometric...

  19. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    Science.gov (United States)

    Zheng, Qi-Wen; Yu, Xue-Feng; Cui, Jiang-Wei; Guo, Qi; Ren, Di-Yuan; Cong, Zhong-Chao; Zhou, Hang

    2014-10-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device.

  20. A Ramón Valdés, In Memoriam.

    Directory of Open Access Journals (Sweden)

    Joan Prat

    2012-06-01

    Full Text Available I. Cuando Verena Stolcke y poco después Aurelio Díaz me invitaron a participar en este acto de homenaje y memoria a Ramón Valdés del Toro pensé, en primer lugar,que prepararía algo sobre el papel de Ramón en los inicios de la Antropología española.Comencé a escribirlo pero resultaba un texto demasiado erudito, aburrido y difícil de digerir. En esta situación de stand by agradecí un correo electrónico de Verena en el que se nos instaba a “intervenir a vuestro aire en torno a vuestros recuerdos personales del magisterio y de la obra de Ramón”. Respiré aliviado ya que esto me resultaba mucho más cómodo y gratificante. En las líneas que siguen no he dedicado mucho esfuerzo a disimular el tono coloquial que tuvo la intervención oral.

  1. Single memory with multiple shift register functionality

    NARCIS (Netherlands)

    2010-01-01

    The present invention relates to a memory device comprising a memory (EM) having at least two predetermined register memory sections addressable by respective address ranges AS1-ASz) and at least one access port (P1-PZ) for providing access to said memory (EM). Furthermore, access control means (A)

  2. Design of ternary clocked adiabatic static random access memory

    International Nuclear Information System (INIS)

    Wang Pengjun; Mei Fengna

    2011-01-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions. (semiconductor integrated circuits)

  3. Design of ternary clocked adiabatic static random access memory

    Science.gov (United States)

    Pengjun, Wang; Fengna, Mei

    2011-10-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions.

  4. Materials selection for oxide-based resistive random access memories

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Yuzheng; Robertson, John [Engineering Department, Cambridge University, Cambridge CB2 1PZ (United Kingdom)

    2014-12-01

    The energies of atomic processes in resistive random access memories (RRAMs) are calculated for four typical oxides, HfO{sub 2}, TiO{sub 2}, Ta{sub 2}O{sub 5}, and Al{sub 2}O{sub 3}, to define a materials selection process. O vacancies have the lowest defect formation energy in the O-poor limit and dominate the processes. A band diagram defines the operating Fermi energy and O chemical potential range. It is shown how the scavenger metal can be used to vary the O vacancy formation energy, via controlling the O chemical potential, and the mean Fermi energy. The high endurance of Ta{sub 2}O{sub 5} RRAM is related to its more stable amorphous phase and the adaptive lattice rearrangements of its O vacancy.

  5. Memory for Recently Accessed Visual Attributes

    Science.gov (United States)

    Jiang, Yuhong V.; Shupe, Joshua M.; Swallow, Khena M.; Tan, Deborah H.

    2016-01-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's…

  6. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  7. The contribution to immediate serial recall of rehearsal, search speed, access to lexical memory, and phonological coding: an investigation at the construct level.

    Science.gov (United States)

    Tehan, Gerald; Fogarty, Gerard; Ryan, Katherine

    2004-07-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the participant population, have suggested other contributors to span performance. In the present research, we used structural equation modeling to explore, at the construct level, individual differences in immediate serial recall with respect to rehearsal, search, phonological coding, and speed of access to lexical memory. We replicated standard short-term phenomena; we showed that the variables that influence children's span performance influence adult performance in the same way; and we showed that speed of access to lexical memory and facility with phonological codes appear to be more potent sources of individual differences in immediate memory than is either rehearsal speed or search factors.

  8. Investigating EMIC Wave Dynamics with RAM-SCB-E

    Science.gov (United States)

    Jordanova, V. K.; Fu, X.; Henderson, M. G.; Morley, S.; Welling, D. T.; Yu, Y.

    2017-12-01

    The distribution of ring current ions and electrons in the inner magnetosphere depends strongly on their transport in realistic electric (E) and magnetic (B) fields and concurrent energization or loss. To investigate the high variability of energetic particle (H+, He+, O+, and electron) fluxes during storms selected by the GEM Surface Charging Challenge, we use our kinetic ring current model (RAM) two-way coupled with a 3-D magnetic field code (SCB). This model was just extended to include electric field calculations, making it a unique, fully self-consistent, anisotropic ring current-atmosphere interactions model, RAM-SCB-E. Recently we investigated electromagnetic ion cyclotron (EMIC) instability in a local plasma using both linear theory and nonlinear hybrid simulations and derived a scaling formula that relates the saturation EMIC wave amplitude to initial plasma conditions. Global dynamic EMIC wave maps obtained with our RAM-SCB-E model using this scaling will be presented and compared with statistical models. These plasma waves can affect significantly both ion and electron precipitation into the atmosphere and the subsequent patterns of ionospheric conductance, as well as the global ring current dynamics.

  9. Accessibility of observable and unobservable characteristics in autobiographical memories of recent and distant past.

    Science.gov (United States)

    Karylowski, Jerzy J; Mrozinski, Blazej

    2017-02-01

    Self-reports regarding how people visualise themselves during events that occurred in the past show that for events from the distant past individuals report assuming a more external perspective than for events from the recent past [Nigro, G., & Neisser, U. (1983). Point of view in personal memories. Cognitive Psychology, 15, 467-482; Pronin, E., & Ross, L. (2006). Temporal differences in trait self-ascription. Journal of Personality & Social Psychology, 90, 197-209]. Thus it appears that, with the passage of time, representations of self embodied in memories of past events lose their position of an insider and assume a more ordinary position of self as an object seen from the perspective of an outside observer. The purpose of the present experiment was to examine this shift using a performance-based measure of accessibility. Results showed that self-judgements regarding unobservable, covert characteristics were faster for recent-compared to more distant-autobiographical events. However, self-judgements regarding observable, overt characteristics were faster for more distant events. This suggests an accessibility-based mechanism underlying the shift from internal to the relatively more external perspective in forming self-images related to the distant past.

  10. RANGE RAM: a long-term planning method for managing grazing lands

    Science.gov (United States)

    Henricus C. Jansen

    1976-01-01

    Range RAM (Resource Allocation Method) is a computerized planning method designed to assist range managers in developing and selecting alternatives in spatial and temporal allocation of resources. The technique is applicable at the frest or district management levels, or their equivalents. Range RAM can help formulate plans that maximize the production of range outputs...

  11. A Simplified Model of an Offset Ram Press for Sunflower Oil ...

    African Journals Online (AJOL)

    This paper presents the theoretical analysis of a simplified model of a ram press. The design of the ram press is based on the classical slider-crank mechanism. A force analysis of the press was performed for several successive positions of the driving lever (handle) and for a range of values of certain dimensionless ...

  12. Working memory span capacity improved by a D2 but not D1 receptor family agonist.

    Science.gov (United States)

    Tarantino, Isadore S; Sharp, Richard F; Geyer, Mark A; Meves, Jessica M; Young, Jared W

    2011-06-01

    Patients with schizophrenia exhibit poor working memory (WM). Although several subcomponents of WM can be measured, evidence suggests the primary subcomponent affected in schizophrenia is span capacity (WMC). Indeed, the NIMH-funded MATRICS initiative recommended assaying the WMC when assessing the efficacy of a putative therapeutic for FDA approval. Although dopamine D1 receptor agonists improve delay-dependent memory in animals, evidence for improvements in WMC due to dopamine D1 receptor activation is limited. In contrast, the dopamine D2-family agonist bromocriptine improves WMC in humans. The radial arm maze (RAM) can be used to assess WMC, although complications due to ceiling effects or strategy confounds have limited its use. We describe a 12-arm RAM protocol designed to assess whether the dopamine D1-family agonist SKF 38393 (0, 1, 3, and 10 mg/kg) or bromocriptine (0, 1, 3, and 10 mg/kg) could improve WMC in C57BL/6N mice (n=12) in cross-over designs. WMC increased and strategy usage decreased with training. The dopamine D1 agonist SKF 38393 had no effect on WMC or long-term memory. Bromocriptine decreased WMC errors, without affecting long-term memory, consistent with human studies. These data confirm that WMC can be measured in mice and reveal drug effects that are consistent with reported effects in humans. Future research is warranted to identify the subtype of the D2-family of receptors responsible for the observed improvement in WMC. Finally, this RAM procedure may prove useful in developing animal models of deficient WMC to further assess putative treatments for the cognitive deficits in schizophrenia. Copyright © 2011 Elsevier B.V. All rights reserved.

  13. Comparison of sexual performance and testicular characteristics of melatonin treated Kivircik and Charollais rams during the non-breeding season

    Directory of Open Access Journals (Sweden)

    M. Cevik

    Full Text Available ABSTRACT This study was conducted to investigate the effects of melatonin implantation on basic testicular characteristics and reproductive performance of Kivircik and Charollais rams and ewes during the non-breeding season. In this study, 8 Kivircik and 6 Charollais rams were used. Rams were implanted with 54 mg melatonin according to the manufacturer's instructions. At melatonin implantation and at ram introduction the reproductive performance and testicular characteristics were evaluated. Throughout the experimental period, rams were permanently kept outdoors under conditions of natural day length and at ambient temperature. The effects of exogenous melatonin treatments on the reproductive performances of rams and ewes, estrus response, pregnancy rate, litter size and twinning rate of ewes were evaluated in all groups. Libido values were significantly higher in Charollais rams compared to Kivircik rams (P<0.001. Testicular volume (TV was increased in both ram breeds. Scrotal length (SL was also increased in both Kivircik and Charollais rams (P<0.01. In conclusion, we showed that the treatment of rams with slow release melatonin implants increased scrotal diameters and testicular volumes in both Kivircik and Charollais rams. Furthermore, melatonin implantation improved the reproductive performances of ewes naturally mated with these melatonin implanted rams during non-breeding season.

  14. 76 FR 2336 - Dynamic Random Access Memory Semiconductors From the Republic of Korea: Final Results of...

    Science.gov (United States)

    2011-01-13

    ... Semiconductors From the Republic of Korea: Final Results of Countervailing Duty Administrative Review AGENCY... administrative review of the countervailing duty order on dynamic random access memory semiconductors from the... to a change in the net subsidy rate. The final net subsidy rate for Hynix Semiconductor, Inc. is...

  15. A study of environmental radon levels in rammed earth dwellings in the south west of Western Australia

    International Nuclear Information System (INIS)

    Walsh, M.; Jennings, P.

    2002-01-01

    Indoor radon and thoron progeny Equilibrium Equivalent Concentrations (EEC) were measured with a portable 'Radon Sniffer' in 10 rammed earth dwellings and 10 conventional dwellings in Margaret River in the South West of Western Australia. Natural background gamma radiation was also measured within the dwellings. The results of this study show that the mean indoor radon progeny concentrations in rammed earth and non-rammed earth dwellings was 24 Bq m -3 EEC and 9.3 Bq m -3 EEC respectively. The mean indoor thoron progeny concentrations in rammed earth and non-rammed earth dwellings was 3.9 Bq m -3 EEC and 0.8 Bq m -3 EEC respectively. The ranges of combined indoor radon and thoron progeny concentrations in rammed earth and non-rammed earth dwellings were between 2.1 and 76 Bq m -3 EEC and 2.0 and 27 Bq m -3 EEC respectively. The mean annual effective radiation dose equivalents from combined indoor radon and thoron progeny concentrations EEC using UNSCEAR's (1982) conversion factors in rammed earth and non-rammed earth dwellings were 4.1 mSv y -1 and 2.2 mSv y -1 respectively. Copyright (2002) Australasian Radiation Protection Society Inc

  16. Expression of basal cell marker revealed by RAM11 antibody during epithelial regeneration in rabbits.

    Directory of Open Access Journals (Sweden)

    Tadeusz Cichocki

    2010-06-01

    Full Text Available RAM11 is a mouse monoclonal anti-rabbit macrophage antibody recognizing connective tissue and vascular macrophages. Our previous report showed that RAM11 reacted with basal cells of stratified squamous epithelia of rabbit skin, oral mucosa and esophagus. The aim of the present study was to follow the appearance of RAM11 immunoreactivity in basal cells of regenerating oral epithelium in rabbits. No RAM11 immunostaining was observed in the regenerating epithelium examined on days 1 and 3 of wound healing. A weak immunofluorescence first appeared on day 7 in single basal cells and 32% of RAM11- positive basal cells were observed on day 14. These findings indicate that expression of the antigen recognized by RAM11 antibody is a transient event in the differentiation of oral keratinocytes which not always occurs during epithelial repair, although it is a constant feature of epithelial turnover in mature epithelium. Therefore this antigen can be regarded as basal cell marker only in mature stratified squamous epithelia.

  17. Using Oblivious RAM in Genomic Studies

    NARCIS (Netherlands)

    Karvelas, Nikolaos P.; Peter, Andreas; Katzenbeisser, Stefan; Garcia-Alfaro, Joaquin; Navarro-Aribas, Guillermo; Hartenstein, Hannes; Herrera-Joancomarti, Jordi

    2017-01-01

    Since the development of tree-based Oblivious RAMs by Shi et al. it has become apparent that privacy preserving outsourced storage can be practical. Although most current constructions follow a client-server model, in many applications, such as Genome Wide Association Studies (GWAS), it is desirable

  18. Enabling Highly-Scalable Remote Memory Access Programming with MPI-3 One Sided

    Directory of Open Access Journals (Sweden)

    Robert Gerstenberger

    2014-01-01

    Full Text Available Modern interconnects offer remote direct memory access (RDMA features. Yet, most applications rely on explicit message passing for communications albeit their unwanted overheads. The MPI-3.0 standard defines a programming interface for exploiting RDMA networks directly, however, it's scalability and practicability has to be demonstrated in practice. In this work, we develop scalable bufferless protocols that implement the MPI-3.0 specification. Our protocols support scaling to millions of cores with negligible memory consumption while providing highest performance and minimal overheads. To arm programmers, we provide a spectrum of performance models for all critical functions and demonstrate the usability of our library and models with several application studies with up to half a million processes. We show that our design is comparable to, or better than UPC and Fortran Coarrays in terms of latency, bandwidth and message rate. We also demonstrate application performance improvements with comparable programming complexity.

  19. PERFORMANCE OF FAT-TAILED RAMS FED COMPLETE FEED BASED OIL PALM FRONDS

    Directory of Open Access Journals (Sweden)

    A. Hamidah

    2011-09-01

    Full Text Available The aim of this experiment was to evaluate the performance of fat-tailed rams fed complete feed based oil palm fronds (OPF. This experiment was arranged in Completely Randomized Design (CRD with 5 treatments and 4 replications i.e. 0, 25, 50, 75 and 100% of OPF substituting fields grass in complete feed for A, B, C, D and E treatments, respectively. Twenty fat-tailed rams were fed twice at 08.00 and 14.00 with pellet of complete feed for 4 months. The result of this experiment showed that OPF significantly influenced nutrient consumption, average daily gain (ADG and feed efficiency ratio (FER. Oil palm fronds significantly affected (P<0.05 fat-tailed rams’s dry matter intake (DMI, organic matter intake (OMI, crude protein intake (CPI, ADG and FER. The highest DMI, OMI, CPI, ADG, and FER of fat-tailed rams was in C treatment and significantly different from the others. It was concluded that C treatment was the best treatment which can give the best fat-tailed rams performance. The OPF can be used to replace the field grass as feed up to 75% proportion in complete feed and could increase the performance and productivity of fat-tailed rams.

  20. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    International Nuclear Information System (INIS)

    Zheng Qi-Wen; Yu Xue-Feng; Cui Jiang-Wei; Guo Qi; Ren Di-Yuan; Cong Zhong-Chao; Zhou Hang

    2014-01-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device. (condensed matter: structural, mechanical, and thermal properties)

  1. Multilevel resistive information storage and retrieval

    Science.gov (United States)

    Lohn, Andrew; Mickel, Patrick R.

    2016-08-09

    The present invention relates to resistive random-access memory (RRAM or ReRAM) systems, as well as methods of employing multiple state variables to form degenerate states in such memory systems. The methods herein allow for precise write and read steps to form multiple state variables, and these steps can be performed electrically. Such an approach allows for multilevel, high density memory systems with enhanced information storage capacity and simplified information retrieval.

  2. A Novel Heterocyclic Compound CE-104 Enhances Spatial Working Memory in the Radial Arm Maze in Rats and Modulates the Dopaminergic System.

    Science.gov (United States)

    Aher, Yogesh D; Subramaniyan, Saraswathi; Shanmugasundaram, Bharanidharan; Sase, Ajinkya; Saroja, Sivaprakasam R; Holy, Marion; Höger, Harald; Beryozkina, Tetyana; Sitte, Harald H; Leban, Johann J; Lubec, Gert

    2016-01-01

    Various psychostimulants targeting monoamine neurotransmitter transporters (MATs) have been shown to rescue cognition in patients with neurological disorders and improve cognitive abilities in healthy subjects at low doses. Here, we examined the effects upon cognition of a chemically synthesized novel MAT inhibiting compound 2-(benzhydrylsulfinylmethyl)-4-methylthiazole (named as CE-104). The efficacy of CE-104 in blocking MAT [dopamine transporter (DAT), serotonin transporter (SERT), and norepinephrine transporter] was determined using in vitro neurotransmitter uptake assay. The effect of the drug at low doses (1 and 10 mg/kg) on spatial memory was studied in male rats in the radial arm maze (RAM). Furthermore, the dopamine receptor and transporter complex levels of frontal cortex (FC) tissue of trained and untrained animals treated either with the drug or vehicle were quantified on blue native PAGE (BN-PAGE). The drug inhibited dopamine (IC50: 27.88 μM) and norepinephrine uptake (IC50: 160.40 μM), but had a negligible effect on SERT. In the RAM, both drug-dose groups improved spatial working memory during the performance phase of RAM as compared to vehicle. BN-PAGE Western blot quantification of dopamine receptor and transporter complexes revealed that D1, D2, D3, and DAT complexes were modulated due to training and by drug effects. The drug's ability to block DAT and its influence on DAT and receptor complex levels in the FC is proposed as a possible mechanism for the observed learning and memory enhancement in the RAM.

  3. A novel heterocyclic compound CE-104 enhances spatial working memory in the radial arm maze in rats and modulates the dopaminergic system

    Directory of Open Access Journals (Sweden)

    Yogesh D Aher

    2016-02-01

    Full Text Available Various psychostimulants targeting monoamine neurotransmitter transporters (MAT have been shown to rescue cognition in patients with neurological disorders and improve cognitive abilities in healthy subjects at low doses. Here, we examined the effects upon cognition of a chemically synthetized novel MAT inhibiting compound 2-(benzhydrylsulfinylmethyl-4-methylthiazole (named as CE-104. The efficacy of CE-104 in blocking MAT (DAT – dopamine transporter, SERT – serotonin transporter and NET – norepinephrine transporter was determined using in vitro neurotransmitter uptake assay. The effect of the drug at low doses (1 and 10mg/kg on spatial memory was studied in male rats in the radial arm maze (RAM. Furthermore, the dopamine receptor and transporter complex levels of frontal cortex (FC tissue of trained and untrained animals treated either with the drug or vehicle were quantified on blue native PAGE (BN-PAGE. The drug inhibited dopamine (IC50: 27.88µM and norepinephrine uptake (IC50: 160.40µM, but had a negligible effect on SERT. In the RAM, both drug-dose groups improved spatial working memory during the performance phase of RAM as compared to vehicle. BN-PAGE western blot quantification of dopamine receptor and transporter complexes revealed that D1, D2, D3 and DAT complexes were modulated due to training and by drug effects. The drug’s ability to block DAT and its influence on dopamine transporter and receptor complex levels in the FC is proposed as a possible mechanism for the observed learning and memory enhancement in the RAM.

  4. Finite temperature simulation studies of spin-flop magnetic random access memory devices

    International Nuclear Information System (INIS)

    Chui, S.T.; Chang, C.-R.

    2006-01-01

    Spin-flop structures are currently being developed for magnetic random access memory devices. We report simulation studies of this system. We found the switching involves an intermediate edge-pinned domain state, similar to that observed in the single layer case. This switching scenario is quite different from that based on the coherent rotation picture. A significant temperature dependence of the switching field is observed. Our result suggests that the interplane coupling and thus the switching field has to be above a finite threshold for the spin-flop switching to be better than conventional switching methods

  5. the effect of freezing method on the survival of ram spermatozoa

    African Journals Online (AJOL)

    Sci.2l, 351. SALAIIiON, S., 1970. The survival of ram spermatozoa following pellet freezing below-79oC. Aust- .1. hiol..n'r. 13. 459. SALAMON, S. & BRANDON, M.R., l97l . Effect of compcition of thawing solution on sunrival of ram spernntozoa iroznn by the pellet method. Aust. J. biol. Sci. 24,355. SALAMON, S. & VISSER, D., ...

  6. Robust training attenuates TBI-induced deficits in reference and working memory on the radial 8-arm maze

    Directory of Open Access Journals (Sweden)

    Veronica eSebastian

    2013-05-01

    Full Text Available Globally, it is estimated that nearly 10 million people sustain severe brain injuries leading to hospitalization and/or death every year. Amongst survivors, traumatic brain injury (TBI results in a wide variety of physical, emotional and cognitive deficits. The most common cognitive deficit associated with TBI is memory loss, involving impairments in spatial reference and working memory. However, the majority of research thus far has characterized the deficits associated with TBI on either reference or working memory systems separately, without investigating how they interact within in a single task. Thus we examined the effects of TBI on short-term working and long-term reference memory using the radial 8-arm maze (RAM with a sequence of 4 baited and 4 unbaited arms. Subjects were given 10 daily trials for 6 days followed by a memory retrieval test two weeks after training. Multiple training trials not only provide robust training, but also test the subjects’ ability to frequently update short-term memory while learning the reference rules of the task. Our results show that TBI significantly impaired short-term working memory function on previously acquired spatial information but has little effect on long-term reference memory. Additionally, TBI significantly increased working memory errors during acquisition and reference memory errors during retention testing two weeks later. With a longer recovery period after TBI, the robust RAM training mitigated the reference memory deficit in retention but not the short-term working memory deficit during acquisition. These results identify the resiliency and vulnerabilities of short-term working and long-term reference memory to TBI in the context of robust training. The data highlight the role of cognitive training and other behavioral remediation strategies implicated in attenuating deficits associated with TBI.

  7. Robust training attenuates TBI-induced deficits in reference and working memory on the radial 8-arm maze.

    Science.gov (United States)

    Sebastian, Veronica; Diallo, Aissatou; Ling, Douglas S F; Serrano, Peter A

    2013-01-01

    Globally, it is estimated that nearly 10 million people sustain severe brain injuries leading to hospitalization and/or death every year. Amongst survivors, traumatic brain injury (TBI) results in a wide variety of physical, emotional and cognitive deficits. The most common cognitive deficit associated with TBI is memory loss, involving impairments in spatial reference and working memory. However, the majority of research thus far has characterized the deficits associated with TBI on either reference or working memory systems separately, without investigating how they interact within a single task. Thus, we examined the effects of TBI on short-term working and long-term reference memory using the radial 8-arm maze (RAM) with a sequence of four baited and four unbaited arms. Subjects were given 10 daily trials for 6 days followed by a memory retrieval test 2 weeks after training. Multiple training trials not only provide robust training, but also test the subjects' ability to frequently update short-term memory while learning the reference rules of the task. Our results show that TBI significantly impaired short-term working memory function on previously acquired spatial information but has little effect on long-term reference memory. Additionally, TBI significantly increased working memory errors during acquisition and reference memory errors during retention testing 2 weeks later. With a longer recovery period after TBI, the robust RAM training mitigated the reference memory deficit in retention but not the short-term working memory deficit during acquisition. These results identify the resiliency and vulnerabilities of short-term working and long-term reference memory to TBI in the context of robust training. The data highlight the role of cognitive training and other behavioral remediation strategies implicated in attenuating deficits associated with TBI.

  8. Effect of acute pesticide exposure on bee spatial working memory using an analogue of the radial-arm maze

    Science.gov (United States)

    Samuelson, Elizabeth E. W.; Chen-Wishart, Zachary P.; Gill, Richard J.; Leadbeater, Ellouise

    2016-12-01

    Pesticides, including neonicotinoids, typically target pest insects by being neurotoxic. Inadvertent exposure to foraging insect pollinators is usually sub-lethal, but may affect cognition. One cognitive trait, spatial working memory, may be important in avoiding previously-visited flowers and other spatial tasks such as navigation. To test this, we investigated the effect of acute thiamethoxam exposure on spatial working memory in the bumblebee Bombus terrestris, using an adaptation of the radial-arm maze (RAM). We first demonstrated that bumblebees use spatial working memory to solve the RAM by showing that untreated bees performed significantly better than would be expected if choices were random or governed by stereotyped visitation rules. We then exposed bees to either a high sub-lethal positive control thiamethoxam dose (2.5 ng-1 bee), or one of two low doses (0.377 or 0.091 ng-1) based on estimated field-realistic exposure. The high dose caused bees to make more and earlier spatial memory errors and take longer to complete the task than unexposed bees. For the low doses, the negative effects were smaller but statistically significant, and dependent on bee size. The spatial working memory impairment shown here has the potential to harm bees exposed to thiamethoxam, through possible impacts on foraging efficiency or homing.

  9. Response of the Ubiquitin-Proteasome System to Memory Retrieval After Extended-Access Cocaine or Saline Self-Administration.

    Science.gov (United States)

    Werner, Craig T; Milovanovic, Mike; Christian, Daniel T; Loweth, Jessica A; Wolf, Marina E

    2015-12-01

    The ubiquitin-proteasome system (UPS) has been implicated in the retrieval-induced destabilization of cocaine- and fear-related memories in Pavlovian paradigms. However, nothing is known about its role in memory retrieval after self-administration of cocaine, an operant paradigm, or how the length of withdrawal from cocaine may influence retrieval mechanisms. Here, we examined UPS activity after an extended-access cocaine self-administration regimen that leads to withdrawal-dependent incubation of cue-induced cocaine craving. Controls self-administered saline. In initial experiments, memory retrieval was elicited via a cue-induced seeking/retrieval test on withdrawal day (WD) 50-60, when craving has incubated. We found that retrieval of cocaine- and saline-associated memories produced similar increases in polyubiquitinated proteins in the nucleus accumbens (NAc), compared with rats that did not undergo a seeking/retrieval test. Measures of proteasome catalytic activity confirmed similar activation of the UPS after retrieval of saline and cocaine memories. However, in a subsequent experiment in which testing was conducted on WD1, proteasome activity in the NAc was greater after retrieval of cocaine memory than saline memory. Analysis of other brain regions confirmed that effects of cocaine memory retrieval on proteasome activity, relative to saline memory retrieval, depend on withdrawal time. These results, combined with prior studies, suggest that the relationship between UPS activity and memory retrieval depends on training paradigm, brain region, and time elapsed between training and retrieval. The observation that mechanisms underlying cocaine memory retrieval change depending on the age of the memory has implications for development of memory destabilization therapies for cue-induced relapse in cocaine addicts.

  10. Fast, Accurate Memory Architecture Simulation Technique Using Memory Access Characteristics

    OpenAIRE

    小野, 貴継; 井上, 弘士; 村上, 和彰

    2007-01-01

    This paper proposes a fast and accurate memory architecture simulation technique. To design memory architecture, the first steps commonly involve using trace-driven simulation. However, expanding the design space makes the evaluation time increase. A fast simulation is achieved by a trace size reduction, but it reduces the simulation accuracy. Our approach can reduce the simulation time while maintaining the accuracy of the simulation results. In order to evaluate validity of proposed techniq...

  11. Ferroelectric Thin Films Basic Properties and Device Physics for Memory Applications

    CERN Document Server

    Okuyama, Masanori

    2005-01-01

    Ferroelectric thin films continue to attract much attention due to their developing, diverse applications in memory devices, FeRAM, infrared sensors, piezoelectric sensors and actuators. This book, aimed at students, researchers and developers, gives detailed information about the basic properties of these materials and the associated device physics. All authors are acknowledged experts in the field.

  12. Nigella sativa Oil Enhances the Spatial Working Memory Performance of Rats on a Radial Arm Maze.

    Science.gov (United States)

    Sahak, Mohamad Khairul Azali; Mohamed, Abdul Majid; Hashim, Noor Hashida; Hasan Adli, Durriyyah Sharifah

    2013-01-01

    Nigella sativa, an established historical and religion-based remedy for a wide range of health problems, is a herbal medicine known to have antioxidant and neuroprotective effects. This present study investigated the effect of Nigella sativa oil (NSO) administration on the spatial memory performance (SMP) of male adult rats using eight-arm radial arm maze (RAM). Twelve Sprague Dawley rats (7-9 weeks old) were force-fed daily with 6.0  μ L/100 g body weight of Nigella sativa oil (NSO group; n = 6) or 0.1 mL/100 g body weight of corn oil (control) (CO group; n = 6) for a period of 20 consecutive weeks. For each weekly evaluation of SMP, one day food-deprived rats were tested by allowing each of them 3 minutes to explore the RAM for food as their rewards. Similar to the control group, the SMP of the treated group was not hindered, as indicated by the establishment of the reference and working memory components of the spatial memory. The results demonstrated that lesser mean numbers of error were observed for the NSO-treated group in both parameters as compared to the CO-treated group. NSO could therefore enhance the learning and memory abilities of the rats; there was a significant decrease in the overall mean number of working memory error (WME) in the NSO-treated group.

  13. Recognition of a heritage in danger: rammed-earth architecture in Lyon city, France

    Science.gov (United States)

    Alex, Dorothée

    2018-04-01

    Historically, man has built with what he had underfoot: if the earthen construction is a tradition of 11 000 years old, the technique of the rammed earth (earth rammed in a formwork) is relatively new since it appeared for the first time in Tunisia in 814 BC. Exported in France, rammed earth is established mainly in Rhône-Alpes Region which has an ideal soil, rich in gravel, sand, silt and clay. Traditionally associated with the rural world, rammed earth heritage is also present in urban centers. The city of Lyon is one of the rare European cities to concentrate a large proportion of rammed earth buildings: the inventories made show that they are present in almost all the districts as well as in the suburban areas. This high density could be explained by the phenomenon of rural exodus experienced by Lyon during the nineteenth century. The agricultural populations, attracted by the prosperity of the city, then settled at the doors of this city, bringing their know-how by building with the cheaper and easier material available: earth. Rammed earth buildings are therefore located on bounder areas between the countryside and the city. They are thus found on lands that were once outside the city walls. With the advent of the industrial era at the end of the 19th century and the appearance of concrete, rammed earth constructions gradually disappeared. The constructions that we see today are therefore prior to 1900. Varied, ranging from detached houses to the 6-storey buildings, they stand as a testimony to a know-how that finds a particular resonance today, while the environmental and economic concerns are at the forefront. Little known, they constitute a heritage in danger that should be rediscovered.

  14. Dissociating Measures of Consciousness from Measures of Short-Term Memory

    DEFF Research Database (Denmark)

    Sørensen, Thomas Alrik; Ásgeirsson, Árni Gunnar; Staugaard, Camilla Funch

    Often, the contents of consciousness are equated with the contents of short-term memory (or working memory), sometimes to a point where they are treated as identical entities. In the present study we aimed to investigate whether they may be modulated independently and thus dissociated from each...... if conscious content simply can be reduced to a cognitive process like short-term memory. In two experiments, we combined two different measures of short-term memory capacity to investigate how manipulations of set-size affect performance in observers with the Perceptual Awareness Scale (PAS) to measure...... conscious experience of the stimulus in every trial (Ramsøy & Overgaard, 2004; Overgaard & Sørensen, 2004). We trained observers to report their experience of a visual target stimulus on the four-point PAS scale; ranging from “no experience” to “clear experience”. To measure short-term memory we used...

  15. RAM R-200 - A Portable Ruggedized Radiation Monitoring System

    International Nuclear Information System (INIS)

    Wengrowicz, U.; Mazor, T.; Assido, H.; Kadmon, Y.; Tirosh, D.; Shani, G.

    1999-01-01

    RAM R-200, a new generation of ruggedized portable radiation-monitoring systems, is presented. The system which is a result of interdisciplinary research, was developed at the NRCN in collaboration with Ben-Gurion University. It consists of RAM R-200 - a portable radiation meter, and a variety of external probes for wide range gamma radiation fields and beta-gamma contamination detection and measurement. The meter or each one of the external probes can be used as a portable system or a stand-alone radiation measurement station. All the system's components were specially designed to meet severe environmental conditions

  16. A Time-predictable Memory Network-on-Chip

    DEFF Research Database (Denmark)

    Schoeberl, Martin; Chong, David VH; Puffitsch, Wolfgang

    2014-01-01

    To derive safe bounds on worst-case execution times (WCETs), all components of a computer system need to be time-predictable: the processor pipeline, the caches, the memory controller, and memory arbitration on a multicore processor. This paper presents a solution for time-predictable memory...... arbitration and access for chip-multiprocessors. The memory network-on-chip is organized as a tree with time-division multiplexing (TDM) of accesses to the shared memory. The TDM based arbitration completely decouples processor cores and allows WCET analysis of the memory accesses on individual cores without...

  17. Development of a novel neutron detector for imaging and analysis

    International Nuclear Information System (INIS)

    Darambara, D.G.; Beach, A.C.; Spyrou, N.M.

    1993-01-01

    A hardware system employing dynamic Random Access Memory (dRAM) has been designed to make possible the detection of neutrons. One recognised difficulty with dynamic memory devices is the alpha-particle problem. That is alpha-particle 'contamination' present within the dRAM encapsulating material may interact sufficiently as to corrupt stored data. These corruptions, 'known as soft errors', may be induced in dRAMs by the interaction of charged particles with the chip itself as a basis for system function. A preliminary feasibility study has been carried out to use dynamic RAMs as alpha-particle detectors. The initial system tests provide information upon detection efficiency, soft error reading rate, energy dependence of the soft error rate and the soft error reading rate, energy dependence of the soft error rate and the soft error operating bias relationship. These findings highlight the usefulness of such a device in neutron dosimetry, imaging and analysis, by using a neutron converter with a high cross section for the (n, α) capture reaction. (author) 20 refs.; 8 figs

  18. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  19. Ageing and memory effects in the weak random anisotropy magnets amorphous NdGdFe and HoGdFe

    International Nuclear Information System (INIS)

    Saito, Toshiaki; Emura, Ai; Hanashima, Koji

    2007-01-01

    We experimentally examined the ageing phenomena in typical weak random anisotropy magnets (weak RAMs), amorphous NdGdFe and HoGdFe, with a small ratio of the random anisotropy (D) to the ferromagnetic exchange (J) (D/J). These weak RAMs have very long average relaxation time, two or three orders longer than that of spin glasses (SGs) around the transition temperature, and also have a very large ac excitation field (h 0 ) dependence of the ac susceptibility. Measuring the imaginary part of the ac susceptibility at frequency of 0.5 Hz and h 0 of 0.3 Oe by using two temperature-change protocols, we observed the memory and rejuvenation effects as reported in SGs, but the effects are weaker in the present weak RAMs, suggesting that the picture of the hierarchical structure of the free energy space is also effective in weak RAMs as in SGs, but it may have smaller barrier heights than those of SGs

  20. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  1. The content of visual working memory alters processing of visual input prior to conscious access: Evidence from pupillometry

    NARCIS (Netherlands)

    Gayet, S.; Paffen, C.L.E.; Guggenmos, M.; Sterzer, P.; Stigchel, S. van der

    2017-01-01

    Visual working memory (VWM) allows for keeping relevant visual information available after termination of its sensory input. Storing information in VWM, however, affects concurrent conscious perception of visual input: initially suppressed visual input gains prioritized access to consciousness when

  2. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    Science.gov (United States)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I.-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays.

  3. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    International Nuclear Information System (INIS)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays

  4. Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator

    Science.gov (United States)

    Nishikata, Daisuke; Ali, Mohammad Alimudin Bin Mohd; Hosoda, Kento; Matsumoto, Hiroshi; Nakamura, Kazuyuki

    2018-04-01

    A 36-bit × 32-entry fully digital ternary content addressable memory (TCAM) using the ratioless static random access memory (RL-SRAM) technology and fully complementary hierarchical-AND matching comparators (HAMCs) was developed. Since its fully complementary and digital operation enables the effect of device variabilities to be avoided, it can operate with a quite low supply voltage. A test chip incorporating a conventional TCAM and a proposed 24-transistor ratioless TCAM (RL-TCAM) cells and HAMCs was developed using a 0.18 µm CMOS process. The minimum operating voltage of 0.25 V of the developed RL-TCAM, which is less than half of that of the conventional TCAM, was measured via the conventional CMOS push–pull output buffers with the level-shifting and flipping technique using optimized pull-up voltage and resistors.

  5. Enhanced oxygen vacancy diffusion in Ta2O5 resistive memory devices due to infinitely adaptive crystal structure

    Science.gov (United States)

    Jiang, Hao; Stewart, Derek A.

    2016-04-01

    Metal oxide resistive memory devices based on Ta2O5 have demonstrated high switching speed, long endurance, and low set voltage. However, the physical origin of this improved performance is still unclear. Ta2O5 is an important archetype of a class of materials that possess an adaptive crystal structure that can respond easily to the presence of defects. Using first principles nudged elastic band calculations, we show that this adaptive crystal structure leads to low energy barriers for in-plane diffusion of oxygen vacancies in λ phase Ta2O5. Identified diffusion paths are associated with collective motion of neighboring atoms. The overall vacancy diffusion is anisotropic with higher diffusion barriers found for oxygen vacancy movement between Ta-O planes. Coupled with the fact that oxygen vacancy formation energy in Ta2O5 is relatively small, our calculated low diffusion barriers can help explain the low set voltage in Ta2O5 based resistive memory devices. Our work shows that other oxides with adaptive crystal structures could serve as potential candidates for resistive random access memory devices. We also discuss some general characteristics for ideal resistive RAM oxides that could be used in future computational material searches.

  6. RAMs: the problem of transient errors due to alpha radiation

    International Nuclear Information System (INIS)

    Goujon, Pierre.

    1980-01-01

    Errors that remained unexplained for a long time have occurred with dynamic random access memories. It has been known since 1978 that they are due to stray alpha radiation. A good understanding of this phenomenon enables its effects to be neutralized and the reliability of the products to be guarantied [fr

  7. Structural analysis of anodic porous alumina used for resistive random access memory

    International Nuclear Information System (INIS)

    Lee, Jeungwoo; Nigo, Seisuke; Kato, Seiichi; Kitazawa, Hideaki; Kido, Giyuu; Nakano, Yoshihiro

    2010-01-01

    Anodic porous alumina with duplex layers exhibits a voltage-induced switching effect and is a promising candidate for resistive random access memory. The nanostructural analysis of porous alumina is important for understanding the switching effect. We investigated the difference between the two layers of an anodic porous alumina film using transmission electron microscopy and electron energy-loss spectroscopy. Diffraction patterns showed that both layers are amorphous, and the electron energy-loss spectroscopy indicated that the inner layer contains less oxygen than the outer layer. We speculate that the conduction paths are mostly located in the oxygen-depleted area.

  8. The manufacture of system for testing static random access memory radiation effect

    International Nuclear Information System (INIS)

    Chen Rui; Yang Chen

    2008-01-01

    Space radiation effects will lead to single event upset, event latch up and other phenomena in SRAM devices. This paper introduces the hardware, software composition and related testing technology of SRAM radiation effect testing device. Through to the SRAM chip current detection and power protection, it has solved the SRAM chip damage question in the SRAM experiment. It has accessed to the expected experimental data by using the device in different source of radiation conducted on SRAM Experimental study of radiation effects. It provides important references in the assessment of operational life and reinforcement of the memory carried in the satellites. (authors)

  9. An 'ADC-Memory' system based on a new principle in data access

    International Nuclear Information System (INIS)

    Pan Dajing; Wu Yongqing; Wang Shibo

    1990-01-01

    A new kind of 'ADC-Memory' (ADC-M) with real time correction of counting loss in dead time is now used in a multiuser data acquisition and processing system based on DUAL/68000 microcomputer. In data access, it replaces the 'DMA + 1' in classical MCA with the new method 'DMA + N', where N is weight factor of correction. The new method is based on the principle of virtual pulse generator. This method is superior to the correction by the software because the correction needn't take the computer time. Thus, this ADC-M can be used in the counting of high rate pulses

  10. On the interplay between working memory consolidation and attentional selection in controlling conscious access : Parallel processing at a cost-a comment on 'The interplay of attention and consciousness in visual search, attentional blink and working memory consolidation'

    NARCIS (Netherlands)

    Wyble, Brad; Bowman, Howard; Nieuwenstein, Mark

    On the interplay between working memory consolidation and attentional selection in controlling conscious access: parallel processing at a cost-a comment on 'The interplay of attention and consciousness in visual search, attentional blink and working memory consolidation'

  11. NUMA obliviousness through memory mapping

    NARCIS (Netherlands)

    Gawade, M.; Kersten, M.; Pandis, I.; Kersten, M.

    2015-01-01

    With the rise of multi-socket multi-core CPUs a lot of effort is being put into how to best exploit their abundant CPU power. In a shared memory setting the multi-socket CPUs are equipped with their own memory module, and access memory modules across sockets in a non-uniform access pattern (NUMA).

  12. Effect of Cell Phone Radiation (940 MHz on the Learning and Memory of Balb/c mice

    Directory of Open Access Journals (Sweden)

    J Baharara

    2009-07-01

    After behavioral studies, fetal heads were collected, fixed in 10% paraformaldehyde and paraffine embedded. Results: Microwave-exposed mice were slower than sham-exposed, and cage control in swim speed (WM. Error Analyses rates reveal significant exposure effect in RAM and MWM. However, in this study the exposed group had not significantly lost their hippocampal CA3 neurons comparing to controls or sham exposed group. Conclusion: Increased time to locate a submerged in water maze, reference memory (entries into unbaited arms, working memory (repeated entries into baited arms, show that acute exposure to pulsed microwaves caused a deficit in spatial reference memory in the mouse. Keywords: Mobile phone, learning, memory, hippocampus

  13. Dimensional effects and scalability of Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs

    Science.gov (United States)

    Hubert, A.; Bawedin, M.; Cristoloveanu, S.; Ernst, T.

    2009-12-01

    The difficult scaling of bulk Dynamic Random Access Memories (DRAMs) has led to various concepts of capacitor-less single-transistor (1T) architectures based on SOI transistor floating-body effects. Amongst them, the Meta-Stable Dip RAM (MSDRAM), which is a double-gate Fully Depleted SOI transistor, exhibits attractive performances. The Meta-Stable Dip effect results from the reduced junction leakage current and the long carrier generation lifetime in thin silicon film transistors. In this study, various devices with different gate lengths, widths and silicon film thicknesses have been systematically explored, revealing the impact of transistor dimensions on the MSD effect. These experimental results are discussed and validated by two-dimensional numerical simulations. It is found that MSD is maintained for small dimensions even in standard SOI MOSFETs, although specific optimizations are expected to enhance MSDRAM performances.

  14. Random Access to Grammar-Compressed Strings and Trees

    DEFF Research Database (Denmark)

    Bille, Philip; Landau, Gad M.; Raman, Rajeev

    2015-01-01

    representations of S achieving O(log N) random access time, and either O(n · αk(n)) construction time and space on the pointer machine model, or O(n) construction time and space on the RAM. Here, αk(n) is the inverse of the kth row of Ackermann's function. Our representations also efficiently support...

  15. Naringenin improves learning and memory in an Alzheimer's disease rat model: Insights into the underlying mechanisms.

    Science.gov (United States)

    Ghofrani, Saeed; Joghataei, Mohammad-Taghi; Mohseni, Simin; Baluchnejadmojarad, Tourandokht; Bagheri, Maryam; Khamse, Safoura; Roghani, Mehrdad

    2015-10-05

    Alzheimer's disease (AD) is one of the prevalent neurological disorders of the central nervous system hallmarked by increased beta-amyloid (Aβ) deposition and ensuing learning and memory deficit. In the present study, the beneficial effect of naringenin on improvement of learning and memory was evaluated in an Alzheimer's disease rat model. The Aβ-injected rats showed a lower alternation score in Y-maze task, impairment of retention and recall capability in passive avoidance test, and lower correct choices and higher errors in radial arm maze (RAM) task as compared to sham group in addition to enhanced oxidative stress and apoptosis. Naringenin, but not a combination of naringenin and fulvestrant (an estrogenic receptor antagonist) significantly improved the performance of Aβ-injected rats in passive avoidance and RAM tasks. Naringenin pretreatment of Aβ-injected rats also lowered hippocampal malondialdehyde (MDA) with no significant effect on nitrite and superoxide dismutase (SOD) activity in addition to lowering apoptosis. These results suggest naringenin pretreatment attenuates Aβ-induced impairment of learning and memory through mitigation of lipid peroxidation and apoptosis and its beneficial effect is somewhat mediated via estrogenic pathway. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. Radiation Dosimetry Using Three-Dimensional Optical Random Access Memories

    International Nuclear Information System (INIS)

    Moscovitch, M.

    2001-01-01

    The ability to determine particle type and energy plays an important role in the dosimetry of heavy charged particles (HCP) and neutrons. A new approach to radiation dosimetry is presented, which is shown to be capable of particle type and energy discrimination. This method is based on utilizing radiation induced changes in the digital information stored on three-dimensional optical random access memories (3D ORAM). 3D ORAM is a small cube (a few mm 3 ) composed of poly(methyl methacrylate) doped with a photochromic dye, and it was originally proposed as a memory device in high speed parallel computers. A Nd:YAG laser system is used to write and read binary information (bits) on the ORAM, which functions as a charged particle detector. Both the read and the write processes use two laser beams that simultaneously strike the material to cause a color change at their intersection (similar to the darkening of light-sensitive sunglasses when exposed to sunlight.) The laser produces color changes in the ORAM, which then reverts to the original color (''bit-flips'') at sites where energy is deposited from interaction with incident HCP or neutron-recoil protons. The feasibility of this approach was demonstrated both theoretically and experimentally. Calculations based on track structure theory (TST) predict that when HCP interact with the ORAM material, the local energy deposition is capable of inducing measurable ''bit-flips''. These predictions were recently confirmed experimentally using two types of ORAM systems, one based on spirobenzopyran and the other on anthracene, as the photochromic dyes

  17. Radiation dosimetry using three-dimensional optical random access memories

    International Nuclear Information System (INIS)

    Moscovitch, M.; Phillips, G.W.; Cullum, B.M.; Mobley, J.; Bogard, J.S.; Emfietzoglou, D.; Vo-Dinh, T.

    2002-01-01

    The ability to determine particle type and energy plays an important role in the dosimetry of heavy charged particles (HCP) and neutrons. A new approach to radiation dosimetry is presented, which is shown to be capable of particle type and energy discrimination. This method is based on utilising radiation induced changes in the digital information stored on three-dimensional optical random access memories (3D ORAM). 3D ORAM is a small cube (a few mm 3 ) composed of poly(methyl methacrylate) doped with a photochromic dye, and it was originally proposed as a memory device in high speed parallel computers. A Nd:YAG laser system is used to write and read binary information (bits) on the ORAM, which functions as a charged particle detector. Both the read and the write processes use two laser beams that simultaneously strike the material to cause a colour change at their intersection (similar to the darkening of light-sensitive sunglasses when exposed to sunlight). The laser produces colour changes in the ORAM, which then reverts to the original colour ('bit-flips') at sites where energy is deposited from interaction with incident HCP or neutron-recoil protons. The feasibility of this approach was demonstrated both theoretically and experimentally. Calculations based on track structure theory predict that when HCP interact with the ORAM material, the local energy deposition is capable of inducing measurable 'bit-flips'. These predictions were recently confirmed experimentally using two types of ORAM systems, one based on spirobenzopyran and the other on anthracene, as the photochromic dyes. (author)

  18. Tyrosine hydroxylase in the ventral tegmental area of rams with high or low libido-A role for dopamine.

    Science.gov (United States)

    Kramer, A C; Mirto, A J; Austin, K J; Roselli, C E; Alexander, B M

    2017-12-01

    Dopamine synthesis in the ventral tegmental area (VTA) is necessary for the reinforcement of sexual behavior. The objective of this study determined if sexual stimuli initiates reward, and whether reward is attenuated in sexually inactive rams. Sexually active rams were exposed to urine from estrous (n=4) or ovariectomized (n=3) ewes with inactive rams (n=3) exposed to urine from estrous ewes. Following exposure, rams were exsanguinated and brains perfused. Alternating sections of the VTA were stained for Fos related antigens (FRA), tyrosine hydroxylase, and dopamine beta-hydroxylase activity. Forebrain tissue, mid-sagittal ventral to the anterior corpus callosum, was stained for dopamine D 2 receptors. Concentrations of cortisol was determined prior to and following exposure. Exposure to ovariectomized-ewe urine in sexually active rams did not influence (P=0.6) FRA expression, but fewer (PSexually inactive rams had fewer (Psexually active rams following exposure to estrous ewe urine. VTA neurons staining positive for dopamine beta-hydroxylase did not differ by sexual activity (P=0.44) or urine exposure (P=0.07). Exposure to stimulus did not influence (P=0.46) numbers of forebrain neurons staining positive for dopamine D2 receptors in sexually active rams, but fewer (P=0.04) neurons stain positive in inactive rams. Serum concentrations of cortisol did not differ (P≥0.52) among rams prior to or following stimulus. In conclusion sexual inactivity is unlikely due to stress, but may be partially a result of decreased tyrosine hydroxylase and/or the response to dopamine. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Consideraciones sobre Infortunios de Alonso Ramírez

    Directory of Open Access Journals (Sweden)

    Leonor Taiano

    2010-12-01

    Full Text Available This article attempts to provide new perspectives for approaching the study of Infortunios de Alonso Ramírez. Several researches about the text have given to Sigüenza y Góngora a character of strangeness to it, which would reduce him to the role of mere amanuensis, indicating that the discursive elaboration belongs to Ramirez. In view of that, I have performed a textual analysis, supported on the book's title and the final passage of the account, that I consider important elements that can offer a new perception on this issue. I base my research, additionally, on the study of its context of publication under the patronage of the New Spain viceroy, as well as the similarities of Infortunios de Alonso Ramírez with other contemporary texts.

  20. A model for Intelligent Random Access Memory architecture (IRAM) cellular automata algorithms on the Associative String Processing machine (ASTRA)

    CERN Document Server

    Rohrbach, F; Vesztergombi, G

    1997-01-01

    In the near future, the computer performance will be completely determined by how long it takes to access memory. There are bottle-necks in memory latency and memory-to processor interface bandwidth. The IRAM initiative could be the answer by putting Processor-In-Memory (PIM). Starting from the massively parallel processing concept, one reached a similar conclusion. The MPPC (Massively Parallel Processing Collaboration) project and the 8K processor ASTRA machine (Associative String Test bench for Research \\& Applications) developed at CERN \\cite{kuala} can be regarded as a forerunner of the IRAM concept. The computing power of the ASTRA machine, regarded as an IRAM with 64 one-bit processors on a 64$\\times$64 bit-matrix memory chip machine, has been demonstrated by running statistical physics algorithms: one-dimensional stochastic cellular automata, as a simple model for dynamical phase transitions. As a relevant result for physics, the damage spreading of this model has been investigated.

  1. Heart rate patterns during courtship and mating in rams and in estrous and nonestrous ewes ().

    Science.gov (United States)

    Orihuela, A; Omaña, J C; Ungerfeld, R

    2016-02-01

    The aim of this study was to compare the heart rate (HR) patterns in rams mated with estrous or nonestrous ewes and in mated estrous and nonestrous ewes () during courtship and mating. For this purpose, HR and behavior were recorded using a radio telemetry recording system and a closed-circuit television system with video recording, respectively. Rams were joined with either an estrous ( = 10) or a nonestrous ( = 10) ewe that was restrained in a stanchion by the neck. Data were continuously recorded until each ram performed 3 ejaculations. Eight days later, the HR of the 10 estrous and 10 nonestrous ewes was recorded during mating. Although the time between entrance into the yard and the first ejaculation was similar across rams, rams that mounted estrous ewes were faster at attaining their second (3min5s ± 17 s vs. 5min28s ± 18 s) and third (7min58s ± 45 s vs. 12 min ± 1min14s) ejaculations (all ewes. In all cases, HR reached maximum values immediately after each ejaculation and the HR pattern was similar across ejaculations (first, second, and third). Although HR was similar between estrous and nonestrous ewes before mating, nonestrous ewes had higher HR ( ewes displayed shorter interejaculation periods but HR did not differ between groups of rams during any ejaculation (first, second, or third), 2) HR for both groups of rams peaked shortly after each ejaculation, and 3) HR increased more in nonestrous than in estrous ewes while mating.

  2. Nigella sativa Oil Enhances the Spatial Working Memory Performance of Rats on a Radial Arm Maze

    Directory of Open Access Journals (Sweden)

    Mohamad Khairul Azali Sahak

    2013-01-01

    Full Text Available Nigella sativa, an established historical and religion-based remedy for a wide range of health problems, is a herbal medicine known to have antioxidant and neuroprotective effects. This present study investigated the effect of Nigella sativa oil (NSO administration on the spatial memory performance (SMP of male adult rats using eight-arm radial arm maze (RAM. Twelve Sprague Dawley rats (7–9 weeks old were force-fed daily with 6.0 μL/100 g body weight of Nigella sativa oil (NSO group; n=6 or 0.1 mL/100 g body weight of corn oil (control (CO group; n=6 for a period of 20 consecutive weeks. For each weekly evaluation of SMP, one day food-deprived rats were tested by allowing each of them 3 minutes to explore the RAM for food as their rewards. Similar to the control group, the SMP of the treated group was not hindered, as indicated by the establishment of the reference and working memory components of the spatial memory. The results demonstrated that lesser mean numbers of error were observed for the NSO-treated group in both parameters as compared to the CO-treated group. NSO could therefore enhance the learning and memory abilities of the rats; there was a significant decrease in the overall mean number of working memory error (WME in the NSO-treated group.

  3. Effect of semen extender and storage temperature on ram sperm motility over time

    Science.gov (United States)

    Storage of ram semen for long period of time depends on a number of factors, including type of extender and storage temperature. A study compared the effect of semen extender and storage temperature on motility of ram semen stored for 72 h. Semen collected via electroejaculator from 5 mature Katahd...

  4. Iconographic dental typography. A dental character font for computer graphics.

    Science.gov (United States)

    McCormack, J

    1991-06-08

    The recent massive increase in available memory for microcomputers now allows multiple font faces to be stored in computer RAM memory for instant access to the screen and for printed output. Fonts can be constructed in which the characters are not just letters or numbers, but are miniature graphic icons--in this instance pictures of teeth. When printed on an appropriate laser printer, this produces printed graphics of publishing quality.

  5. Growth performance and hematology of Djallonké rams fed haulms of four varieties of groundnut (Arachis hypogaea L.

    Directory of Open Access Journals (Sweden)

    Terry Ansah

    2017-12-01

    Full Text Available The study was conducted to assess the chemical composition of the haulms of 4 dual-purpose groundnut (Arachis hypogaea L. varieties and their effects on the growth and hematology of Djallonké rams. The groundnut varieties were ICGV 97049 (Obolo, ICGX SM 87057 (Yenyawoso, RMP 12 (Azivivi and Manipinta. Rams (live weight 15.0 ± 3.0 kg were randomly assigned to 4 sole groundnut haulm meal (GHM treatments, with 4 rams each in an individual pen per treatment (total n = 16 rams. Samples of the groundnut haulms were milled and analyzed for crude protein (CP, neutral detergent fiber (NDF and acid detergent fiber (ADF. The CP concentration was higher (P  0.05 when the Djallonké rams were fed the haulms. However, significant differences were observed in final live weight and average daily live weight gain. Rams fed the Yenyawoso variety had higher (P < 0.05 final live weight and average daily live weight gain compared with those fed Obolo and Azivivi varieties. Consumption of any of the 4 varieties of groundnut haulms by Djallonké rams did not have any harmful effect on their red and white blood cell numbers and hemoglobin concentration. The study revealed that the different varieties of groundnut haulms differ in nutrient composition and also affect the growth performance of the rams. The Yenyawoso variety may be used as a sole diet for fattening Djallonké rams.

  6. In-flight and ground testing of single event upset sensitivity in static RAMs

    International Nuclear Information System (INIS)

    Johansson, K.; Dyreklev, P.; Granbom, B.; Calvet, C.; Fourtine, S.; Feuillatre, O.

    1998-01-01

    This paper presents the results from in-flight measurements of single event upsets (SEU) in static random access memories (SRAM) caused by the atmospheric radiation environment at aircraft altitudes. The memory devices were carried on commercial airlines at high altitude and mainly high latitudes. The SEUs were monitored by a Component Upset Test Equipment (CUTE), designed for this experiment. The in flight results are compared to ground based testing with neutrons from three different sources

  7. Random access memory immune to single event upset using a T-resistor

    Science.gov (United States)

    Ochoa, Jr., Agustin

    1989-01-01

    In a random access memory cell, a resistance "T" decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell.

  8. Purification of beta-acetylglucosaminase and beta-galactosidase from ram testis.

    Science.gov (United States)

    Caygill, J C; Roston, C P; Jevons, F R

    1966-02-01

    1. The presence of beta-galactosidase (EC 3.2.1.23) in an acetic acid extract of ram testis is reported. Some properties of the crude enzyme preparation were studied. 2. The purification of beta-acetylglucosaminase (EC 3.2.1.30) and of beta-galactosidase from the ram-testis extract by ammonium sulphate precipitation and chromatography on a CM-cellulose column is described. 3. The final purifications of the separated enzymes achieved were for the beta-acetylglucosaminase 35 times and for the beta-galactosidase 99 times. 4. The possibility of using DEAE-cellulose and Sephadex G-200 to purify the enzymes was investigated.

  9. Evaluation of Data Retention Characteristics for Ferroelectric Random Access Memories (FRAMs)

    Science.gov (United States)

    Sharma, Ashok K.; Teverovsky, Alexander

    2001-01-01

    Data retention and fatigue characteristics of 64 Kb lead zirconate titanate (PZT)-based Ferroelectric Random Access Memories (FRAMs) microcircuits manufactured by Ramtron were examined over temperature range from -85 C to +310 C for ceramic packaged parts and from -85 C to +175 C for plastic parts, during retention periods up to several thousand hours. Intrinsic failures, which were caused by a thermal degradation of the ferroelectric cells, occurred in ceramic parts after tens or hundreds hours of aging at temperatures above 200 C. The activation energy of the retention test failures was 1.05 eV and the extrapolated mean-time-to-failure (MTTF) at room temperature was estimated to be more than 280 years. Multiple write-read cycling (up to 3x10(exp 7)) during the fatigue testing of plastic and ceramic parts did not result in any parametric or functional failures. However, operational currents linearly decreased with the logarithm of number of cycles thus indicating fatigue process in PZT films. Plastic parts, that had more recent date code as compared to ceramic parts, appeared to be using die with improved process technology and showed significantly smaller changes in operational currents and data access times.

  10. Working and reference memory across the estrous cycle of rat: a long-term study in gonadally intact females.

    Science.gov (United States)

    Pompili, Assunta; Tomaz, Carlos; Arnone, Benedetto; Tavares, Maria Clotilde; Gasbarri, Antonella

    2010-11-12

    The results of many studies conducted over the past two decades suggested a role of estrogen on mammal's ability to learn and remember. In the present paper, we analyzed the influence that the endogenous fluctuation of estrogen, naturally present across the different phases of estrous cycle of female rats, can exert over the performance of tasks utilized to assess memory. In particular, we analyzed the performances in an eight arms radial maze task, dependent upon working memory, and in a water maze (WM) task, dependent upon spatial reference memory. The water maze is aversively motivated by the desire to escape onto a safe platform, whereas the radial arm maze (RAM) is motivated by food reward. The difference in reinforcement may affect the speed of learning, the strategy adopted and the necessity for accurate navigation. Therefore, coherent results obtained through the two different tasks can be due to mnemonic factors. The study was conducted during a long period of time, 14 months, utilizing gonadally intact females, without pharmacological and surgical treatments. In order to evaluate the post-acquisition phase we first trained the animals to reach the criterion in performing tasks, and then we submitted them to experimental phase. Our results show that estrogen can have an effect on memory processes, and that this effect may be different in relation to different kinds of memory. In fact, in our study, estrogen selectively improved working memory, but not reference memory, during post-acquisition performance of a RAM task with four baited and four un-baited arms. Moreover, WM performances showed that estrogen have a negative effect on spatial reference memory. (c) 2010 Elsevier B.V. All rights reserved.

  11. Context-dependent activation of reduced autobiographical memory specificity as an avoidant coping style.

    Science.gov (United States)

    Debeer, Elise; Raes, Filip; Williams, J Mark G; Hermans, Dirk

    2011-12-01

    According to the affect-regulation hypothesis (Williams et al., 2007), reduced autobiographical memory specificity (rAMS) or overgeneral memory (OGM) might be considered a cognitive avoidance strategy; that is, people learn to avoid the emotionally painful consequences associated with the retrieval of specific negative memories. Based on this hypothesis, one would predict significant negative associations between AMS and avoidant coping. However, studies investigating this prediction have led to equivocal results. In the present study we tested a possible explanation for these contradictory findings. It was hypothesized that rAMS (in part) reflects an avoidant coping strategy, which might only become apparent under certain conditions, that is, conditions that signal the possibility of 'danger.' To test this hypothesis, we assessed AMS and behavioral avoidance but experimentally manipulated the instructions. In the neutral condition, two parallel versions of the Autobiographical Memory Test (AMT) were presented under neutral instructions. In the threat condition, the first AMT was presented under neutral instructions, while the second AMT was presented under 'threat instructions.' Results showed no significant correlations between avoidance and OGM under neutral conditions but significant and markedly stronger correlations under threat conditions, with more avoidance being associated with fewer specific and more categoric memories. In addition, high avoiders showed a stronger reduction in AMS in the threat condition as compared with the neutral condition, while low avoiders showed no such difference between conditions. The data confirm that OGM can be considered as part of a broader avoidant coping style. However, more importantly, they show that, at least in nonclinical individuals, the activation of this coping style may depend on the context. (c) 2011 APA, all rights reserved.

  12. Kinematics of ram filter feeding and beat-glide swimming in the northern anchovy Engraulis mordax.

    Science.gov (United States)

    Carey, Nicholas; Goldbogen, Jeremy A

    2017-08-01

    In the dense aquatic environment, the most adept swimmers are streamlined to reduce drag and increase the efficiency of locomotion. However, because they open their mouth to wide gape angles to deploy their filtering apparatus, ram filter feeders apparently switch between diametrically opposite swimming modes: highly efficient, streamlined 'beat-glide' swimming, and ram filter feeding, which has been hypothesized to be a high-cost feeding mode because of presumed increased drag. Ram filter-feeding forage fish are thought to play an important role in the flux of nutrients and energy in upwelling ecosystems; however, the biomechanics and energetics of this feeding mechanism remain poorly understood. We quantified the kinematics of an iconic forage fish, the northern anchovy, Engraulis mordax , during ram filter feeding and non-feeding, mouth-closed beat-glide swimming. Although many kinematic parameters between the two swimming modes were similar, we found that swimming speeds and tailbeat frequencies were significantly lower during ram feeding. Rather than maintain speed with the school, a speed which closely matches theoretical optimum filter-feeding speeds was consistently observed. Beat-glide swimming was characterized by high variability in all kinematic parameters, but variance in kinematic parameters was much lower during ram filter feeding. Under this mode, body kinematics are substantially modified, and E. mordax swims more slowly and with decreased lateral movement along the entire body, but most noticeably in the anterior. Our results suggest that hydrodynamic effects that come with deployment of the filtering anatomy may limit behavioral options during foraging and result in slower swimming speeds during ram filtration. © 2017. Published by The Company of Biologists Ltd.

  13. High density submicron magnetoresistive random access memory (invited)

    Science.gov (United States)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  14. Selective memory retrieval can impair and improve retrieval of other memories.

    Science.gov (United States)

    Bäuml, Karl-Heinz T; Samenieh, Anuscheh

    2012-03-01

    Research from the past decades has shown that retrieval of a specific memory (e.g., retrieving part of a previous vacation) typically attenuates retrieval of other memories (e.g., memories for other details of the event), causing retrieval-induced forgetting. More recently, however, it has been shown that retrieval can both attenuate and aid recall of other memories (K.-H. T. Bäuml & A. Samenieh, 2010). To identify the circumstances under which retrieval aids recall, the authors examined retrieval dynamics in listwise directed forgetting, context-dependent forgetting, proactive interference, and in the absence of any induced memory impairment. They found beneficial effects of selective retrieval in listwise directed forgetting and context-dependent forgetting but detrimental effects in all the other conditions. Because context-dependent forgetting and listwise directed forgetting arguably reflect impaired context access, the results suggest that memory retrieval aids recall of memories that are subject to impaired context access but attenuates recall in the absence of such circumstances. The findings are consistent with a 2-factor account of memory retrieval and suggest the existence of 2 faces of memory retrieval. 2012 APA, all rights reserved

  15. Searching for New Double Stars with a Computer

    Science.gov (United States)

    Bryant, T. V.

    2015-04-01

    The advent of computers with large amounts of RAM memory and fast processors, as well as easy internet access to large online astronomical databases, has made computer searches based on astrometric data practicable for most researchers. This paper describes one such search that has uncovered hitherto unrecognized double stars.

  16. On the robustness of bucket brigade quantum RAM

    Science.gov (United States)

    Arunachalam, Srinivasan; Gheorghiu, Vlad; Jochym-O'Connor, Tomas; Mosca, Michele; Varshinee Srinivasan, Priyaa

    2015-12-01

    We study the robustness of the bucket brigade quantum random access memory model introduced by Giovannetti et al (2008 Phys. Rev. Lett.100 160501). Due to a result of Regev and Schiff (ICALP ’08 733), we show that for a class of error models the error rate per gate in the bucket brigade quantum memory has to be of order o({2}-n/2) (where N={2}n is the size of the memory) whenever the memory is used as an oracle for the quantum searching problem. We conjecture that this is the case for any realistic error model that will be encountered in practice, and that for algorithms with super-polynomially many oracle queries the error rate must be super-polynomially small, which further motivates the need for quantum error correction. By contrast, for algorithms such as matrix inversion Harrow et al (2009 Phys. Rev. Lett.103 150502) or quantum machine learning Rebentrost et al (2014 Phys. Rev. Lett.113 130503) that only require a polynomial number of queries, the error rate only needs to be polynomially small and quantum error correction may not be required. We introduce a circuit model for the quantum bucket brigade architecture and argue that quantum error correction for the circuit causes the quantum bucket brigade architecture to lose its primary advantage of a small number of ‘active’ gates, since all components have to be actively error corrected.

  17. Influence of Shape Anisotropy on Magnetization Dynamics Driven by Spin Hall Effect

    Directory of Open Access Journals (Sweden)

    X. G. Li

    2016-01-01

    Full Text Available As the lateral dimension of spin Hall effect based magnetic random-access memory (SHE-RAM devices is scaled down, shape anisotropy has varied influence on both the magnetic field and the current-driven switching characteristics. In this paper, we study such influences on elliptic film nanomagnets and theoretically investigate the switching characteristics for SHE-RAM element with in-plane magnetization. The analytical expressions for critical current density are presented and the results are compared with those obtained from macrospin and micromagnetic simulation. It is found that the key performance indicators for in-plane SHE-RAM, including thermal stability and spin torque efficiency, are highly geometry dependent and can be effectively improved by geometric design.

  18. HyRAM Testing Script.

    Energy Technology Data Exchange (ETDEWEB)

    Parkins, Owen

    2014-12-01

    The testing script is to provide a method of inspections to HyRAM (v1.0.0.244 Alpha) application features. This document will lead participants through the use of the application to make sure the application performs as designed. If a feature of the application becomes non-working, this script will relay useful information back to the designers of the application so that the feature can be fixed. This is essential to keep the application updated and performing as designed so that the users of this program can be satisfied. There will be frequent updates of this document to ensure proper testing of future application versions.

  19. Nonvolatile memory design magnetic, resistive, and phase change

    CERN Document Server

    Li, Hai

    2011-01-01

    The manufacture of flash memory, which is the dominant nonvolatile memory technology, is facing severe technical barriers. So much so, that some emerging technologies have been proposed as alternatives to flash memory in the nano-regime. Nonvolatile Memory Design: Magnetic, Resistive, and Phase Changing introduces three promising candidates: phase-change memory, magnetic random access memory, and resistive random access memory. The text illustrates the fundamental storage mechanism of these technologies and examines their differences from flash memory techniques. Based on the latest advances,

  20. Role of the GRAS transcription factor ATA/RAM1 in the transcriptional reprogramming of arbuscular mycorrhiza in Petunia hybrida.

    Science.gov (United States)

    Rich, Mélanie K; Courty, Pierre-Emmanuel; Roux, Christophe; Reinhardt, Didier

    2017-08-08

    Development of arbuscular mycorrhiza (AM) requires a fundamental reprogramming of root cells for symbiosis. This involves the induction of hundreds of genes in the host. A recently identified GRAS-type transcription factor in Petunia hybrida, ATA/RAM1, is required for the induction of host genes during AM, and for morphogenesis of the fungal endosymbiont. To better understand the role of RAM1 in symbiosis, we set out to identify all genes that depend on activation by RAM1 in mycorrhizal roots. We have carried out a transcript profiling experiment by RNAseq of mycorrhizal plants vs. non-mycorrhizal controls in wild type and ram1 mutants. The results show that the expression of early genes required for AM, such as the strigolactone biosynthetic genes and the common symbiosis signalling genes, is independent of RAM1. In contrast, genes that are involved at later stages of symbiosis, for example for nutrient exchange in cortex cells, require RAM1 for induction. RAM1 itself is highly induced in mycorrhizal roots together with many other transcription factors, in particular GRAS proteins. Since RAM1 has previously been shown to be directly activated by the common symbiosis signalling pathway through CYCLOPS, we conclude that it acts as an early transcriptional switch that induces many AM-related genes, among them genes that are essential for the development of arbuscules, such as STR, STR2, RAM2, and PT4, besides hundreds of additional RAM1-dependent genes the role of which in symbiosis remains to be explored. Taken together, these results indicate that the defect in the morphogenesis of the fungal arbuscules in ram1 mutants may be an indirect consequence of functional defects in the host, which interfere with nutrient exchange and possibly other functions on which the fungus depends.

  1. Information matching the content of visual working memory is prioritized for conscious access.

    Science.gov (United States)

    Gayet, Surya; Paffen, Chris L E; Van der Stigchel, Stefan

    2013-12-01

    Visual working memory (VWM) is used to retain relevant information for imminent goal-directed behavior. In the experiments reported here, we found that VWM helps to prioritize relevant information that is not yet available for conscious experience. In five experiments, we demonstrated that information matching VWM content reaches visual awareness faster than does information not matching VWM content. Our findings suggest a functional link between VWM and visual awareness: The content of VWM is recruited to funnel down the vast amount of sensory input to that which is relevant for subsequent behavior and therefore requires conscious access.

  2. Synergistic effects of total ionizing dose on single event upset sensitivity in static random access memory under proton irradiation

    International Nuclear Information System (INIS)

    Xiao Yao; Guo Hong-Xia; Zhang Feng-Qi; Zhao Wen; Wang Yan-Ping; Zhang Ke-Ying; Ding Li-Li; Luo Yin-Hong; Wang Yuan-Ming; Fan Xue

    2014-01-01

    Synergistic effects of the total ionizing dose (TID) on the single event upset (SEU) sensitivity in static random access memories (SRAMs) were studied by using protons. The total dose was cumulated with high flux protons during the TID exposure, and the SEU cross section was tested with low flux protons at several cumulated dose steps. Because of the radiation-induced off-state leakage current increase of the CMOS transistors, the noise margin became asymmetric and the memory imprint effect was observed. (interdisciplinary physics and related areas of science and technology)

  3. A comparison of RAM importance measures

    International Nuclear Information System (INIS)

    Atwood, C.L.; Wolford, A.J.; Wright, R.E.

    1989-01-01

    In this paper measures of importance of components and cut sets of a system are reviewed. The measures considered are based on reliability, availability, and maintainability, the three elements of the acronym RAM. They follow the approaches of Fussell and Vesely and of Birnbaum. A new Birnbaum-type unmaintainability importance measure is proposed. The measures are compared in a simple example, and the appropriate use of unmaintainability importance is discussed

  4. Purification of β-acetylglucosaminase and β-galactosidase from ram testis

    Science.gov (United States)

    Caygill, J. C.; Roston, Christine P. J.; Jevons, F. R.

    1966-01-01

    1. The presence of β-galactosidase (EC 3.2.1.23) in an acetic acid extract of ram testis is reported. Some properties of the crude enzyme preparation were studied. 2. The purification of β-acetylglucosaminase (EC 3.2.1.30) and of β-galactosidase from the ram-testis extract by ammonium sulphate precipitation and chromatography on a CM-cellulose column is described. 3. The final purifications of the separated enzymes achieved were for the β-acetylglucosaminase 35 times and for the β-galactosidase 99 times. 4. The possibility of using DEAE-cellulose and Sephadex G-200 to purify the enzymes was investigated. PMID:5949569

  5. Methamphetamine-induced short-term increase and long-term decrease in spatial working memory affects protein Kinase M zeta (PKMζ), dopamine, and glutamate receptors.

    Science.gov (United States)

    Braren, Stephen H; Drapala, Damian; Tulloch, Ingrid K; Serrano, Peter A

    2014-01-01

    Methamphetamine (MA) is a toxic, addictive drug shown to modulate learning and memory, yet the neural mechanisms are not fully understood. We investigated the effects of 2 weekly injections of MA (30 mg/kg) on working memory using the radial 8-arm maze (RAM) across 5 weeks in adolescent-age mice. MA-treated mice show a significant improvement in working memory performance 1 week following the first MA injection compared to saline-injected controls. Following 5 weeks of MA abstinence mice were re-trained on a reference and working memory version of the RAM to assess cognitive flexibility. MA-treated mice show significantly more working memory errors without effects on reference memory performance. The hippocampus and dorsal striatum were assessed for expression of glutamate receptors subunits, GluA2 and GluN2B; dopamine markers, dopamine 1 receptor (D1), dopamine transporter (DAT) and tyrosine hydroxylase (TH); and memory markers, protein kinase M zeta (PKMζ) and protein kinase C zeta (PKCζ). Within the hippocampus, PKMζ and GluA2 are both significantly reduced after MA supporting the poor memory performance. Additionally, a significant increase in GluN2B and decrease in D1 identifies dysregulated synaptic function. In the striatum, MA treatment increased cytosolic DAT and TH levels associated with dopamine hyperfunction. MA treatment significantly reduced GluN2B while increasing both PKMζ and PKCζ within the striatum. We discuss the potential role of PKMζ/PKCζ in modulating dopamine and glutamate receptors after MA treatment. These results identify potential underlying mechanisms for working memory deficits induced by MA.

  6. GTL Based Internet of Things Enable Processor Specific RAM Design on 65nm FPGA

    DEFF Research Database (Denmark)

    Moudgil, Aditi; Garg, Kanika; Pandey, Bishwajeet

    2015-01-01

    In this work, we are making Energy Efficient Internet of Things (IoTs) Enable RAM. In order to make it energy efficient, we are using Gunning Transceiver Logic (GTL) IO Standard and Gunning Transceiver Logic Plus (GTLP). We are using the 4 different members of GTL and GTLP IO standards family...... and searching the most energy efficient among them. We observed that when we use 3.6 GHz operating frequency, there is 90.2% reduction in I/O power when we used GTL instead of GTLP_DCI. We are inserting 128-bit IP address in RAM to make internet of things enable RAM. Finally, we are operating our IOTs Enable...

  7. Spin Orbit Interaction Engineering for beyond Spin Transfer Torque memory

    Science.gov (United States)

    Wang, Kang L.

    Spin transfer torque memory uses electron current to transfer the spin torque of electrons to switch a magnetic free layer. This talk will address an alternative approach to energy efficient non-volatile spintronics through engineering of spin orbit interaction (SOC) and the use of spin orbit torque (SOT) by the use of electric field to improve further the energy efficiency of switching. I will first discuss the engineering of interface SOC, which results in the electric field control of magnetic moment or magneto-electric (ME) effect. Magnetic memory bits based on this ME effect, referred to as magnetoelectric RAM (MeRAM), is shown to have orders of magnitude lower energy dissipation compared with spin transfer torque memory (STTRAM). Likewise, interests in spin Hall as a result of SOC have led to many advances. Recent demonstrations of magnetization switching induced by in-plane current in heavy metal/ferromagnetic heterostructures have been shown to arise from the large SOC. The large SOC is also shown to give rise to the large SOT. Due to the presence of an intrinsic extraordinarily strong SOC and spin-momentum lock, topological insulators (TIs) are expected to be promising candidates for exploring spin-orbit torque (SOT)-related physics. In particular, we will show the magnetization switching in a chromium-doped magnetic TI bilayer heterostructure by charge current. A giant SOT of more than three orders of magnitude larger than those reported in heavy metals is also obtained. This large SOT is shown to come from the spin-momentum locked surface states of TI, which may further lead to innovative low power applications. I will also describe other related physics of SOC at the interface of anti-ferromagnetism/ferromagnetic structure and show the control exchange bias by electric field for high speed memory switching. The work was in part supported by ERFC-SHINES, NSF, ARO, TANMS, and FAME.

  8. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.

    2011-09-29

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS)analysis has been carried out to identify the distribution and the role played by Ti in the RRAM stack. Our results show that Ti out-diffusion into the HfOx layer is the chemical cause of forming-free behavior. Moreover, the capability of Ti to change its ionic state in HfOx eases the reduction-oxidation (redox) reaction, thus lead to the RRAM devices performance improvements.

  9. National RAM transport regulations implementation in Russia

    International Nuclear Information System (INIS)

    Gubanov, V.A.

    1995-01-01

    A brief review is given of the main provisions of the state regulation and safety assurance of RAM transport in Russia. This appears to be useful to various persons and organisations abroad, concerned with such transport in Russia. Two aspects of the system are presented - regulatory documents (normative-technical documentation) and regulatory and control activities of state organisations. (Author)

  10. Auxiliary Library Explorer (ALEX) Development

    Science.gov (United States)

    2016-02-01

    Implementation RAM random access memory RSG Research Services Group SQL Structured Query Language TIFF Tagged Image File Format TF-IDF Term Frequency–Inverse...Document Frequency UNCLASSIFIED vii DST-Group–TN–1492 UNCLASSIFIED UCS Unified Computing System UTF-8 UCS Transformation Format 8-bit VBA Visual Basic...four language columns. In order to access these subjects more easily, a consolidation across these columns is performed. UNCLASSIFIED 3 DST-Group–TN

  11. An Innovative Approach for the Development of Future Marine Corps Amphibious Capability

    Science.gov (United States)

    2015-06-01

    ABBREVIATIONS A2/AD anti- access /area-denial AAV Amphibious Assault Vehicle ACE Aviation Combat Element ACV Amphibious Combat Vehicle ADA air defense...reaction force RAM random- access memory RGB red, green, and blue ROMO range of military operations RWS remote weapon station SAR search and rescue...United States Marine Corps USS United States Ship VBA visual basic for applications VBSS visit, board, search, and seizure VMM Marine Medium

  12. Semen characteristics of pubertal Yankasa rams fed Zingiber ...

    African Journals Online (AJOL)

    Ginger (Zingiber officinale) is consumed by humans and has been reported to possess medicinal uses. It possesses androgenic property with significant increase on male reproductive parameters. This study assessed the reproductive performance of Yankasa rams fed diets supplemented with different levels of ginger ...

  13. Effects of breed and ram exposure on Spring estrous behavior and Summer fertility in domestic ewes

    OpenAIRE

    Nugent, Russell A., III

    1987-01-01

    The present studies were conducted to check the effects of acute ram introduction into a flock of anestrus ewes in Virginia. Ewes were bled via jugular venipuncture twice weekly and serum samples were radioimmunoassayed for progesterone (P4) content as an indicator of estrous activity. All rams were fitted with crayon equipped marking harnesses for use as an indicator of mating behavior in ewes. The first study tested the effects of introduction of vasectomized rams in...

  14. Main Memory

    NARCIS (Netherlands)

    P.A. Boncz (Peter); L. Liu (Lei); M. Tamer Özsu

    2008-01-01

    htmlabstractPrimary storage, presently known as main memory, is the largest memory directly accessible to the CPU in the prevalent Von Neumann model and stores both data and instructions (program code). The CPU continuously reads instructions stored there and executes them. It is also called Random

  15. Fast memory with direct access for nuclear physics

    International Nuclear Information System (INIS)

    Alexandre, B.; Riou, C.; Veler, J.C.

    1967-07-01

    This memory with thin ferromagnetic layers initially devoted to code the spatial position of sparkles in a sonic chamber must allow to give a more general interest in nuclear physics. We study the organisation of the memory and we present a summary of its technical characteristics [fr

  16. Memory, microprocessor, and ASIC

    CERN Document Server

    Chen, Wai-Kai

    2003-01-01

    System Timing. ROM/PROM/EPROM. SRAM. Embedded Memory. Flash Memories. Dynamic Random Access Memory. Low-Power Memory Circuits. Timing and Signal Integrity Analysis. Microprocessor Design Verification. Microprocessor Layout Method. Architecture. ASIC Design. Logic Synthesis for Field Programmable Gate Array (EPGA) Technology. Testability Concepts and DFT. ATPG and BIST. CAD Tools for BIST/DFT and Delay Faults.

  17. Taxing Working Memory during Retrieval of Emotional Memories Does Not Reduce Memory Accessibility When Cued with Reminders

    NARCIS (Netherlands)

    van Schie, Kevin; Engelhard, Iris M; van den Hout, Marcel A

    2015-01-01

    Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task [e.g., playing Tetris, mental arithmetic, making eye movements (EM)], this reduces self-reported vividness and emotionality of the memory. These effects have been found up to

  18. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  19. Single event upset test programs

    International Nuclear Information System (INIS)

    Russen, L.C.

    1984-11-01

    It has been shown that the heavy ions in cosmic rays can give rise to single event upsets in VLSI random access memory devices (RAMs). Details are given of the programs written to test 1K, 4K, 16K and 64K memories during their irradiation with heavy charged ions, in order to simulate the effects of cosmic rays in space. The test equipment, which is used to load the memory device to be tested with a known bit pattern, and subsequently interrogate it for upsets, or ''flips'', is fully described. (author)

  20. A random access memory immune to single event upset using a T-Resistor

    Science.gov (United States)

    Ochoa, A. Jr.

    1987-10-28

    In a random access memory cell, a resistance ''T'' decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell. 4 figs.

  1. Ageing of fibre reinforced polymer composite selected as a bearing material for Rams of 540 MWe fuelling machine

    International Nuclear Information System (INIS)

    Limaye, P.K.; Soni, N.L.; Agrawal, R.G.

    2006-01-01

    Fibre-reinforced-polymer-composite material has been suggested as a bearing material to overcome tribological problems witnessed during the testing of Ram assembly of the 540 MWe fuelling machine at RTD. After successful trials at B-Ram the composite material has been adapted for B-RAM, C-Ram and RDB head at fuelling machines being tested at RTD, Hall 7 and at Tarapur. Laboratory evaluations were also carried out at Tribology Lab RTD to study effect of radiation on the composite. Paper deals with the various aspects of life prediction of this material in term of wear and radiation damage. (author)

  2. SOLID-STATE STORAGE DEVICE FLASH TRANSLATION LAYER

    DEFF Research Database (Denmark)

    2017-01-01

    Embodiments of the present invention include a method for storing a data page d on a solid-state storage device, wherein the solid-state storage device is configured to maintain a mapping table in a Log-Structure Merge (LSM) tree having a C0 component which is a random access memory (RAM) device...

  3. Prevalence of gastrointestinal parasites of rams brought into ...

    African Journals Online (AJOL)

    In an effort to gain a better understanding into the role played by food animals in the epidemiology of gastrointestinal parasites, we assessed the prevalence of gastrointestinal parasites in different breeds of rams brought into Abeokuta during a festive season by ... The only protozoan parasite identified was Eimeria spp.

  4. Detecting danger labels with RAM-based neural networks

    DEFF Research Database (Denmark)

    Jørgensen, T.M.; Christensen, S.S.; Andersen, A.W.

    1996-01-01

    An image processing system for the automatic location of danger labels on the back of containers is presented. The system uses RAM-based neural networks to locate and classify labels after a pre-processing step involving specially designed non-linear edge filters and RGB-to-HSV conversion. Result...

  5. Performance and carcass characteristics of Yankasa ram fed with ...

    African Journals Online (AJOL)

    Remember me ... and 50% maize and wheat offal mixture, were better when compared to the control (B0) and other test diet in terms of performance and carcass characteristics. ... Key words: Performance, carcass, biscuit waste, Yankasa ram.

  6. Memory states in small arrays of Josephson junctions

    Energy Technology Data Exchange (ETDEWEB)

    Braiman, Yehuda [ORNLOak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Neschke, Brendan [ORNLOak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Nair, Niketh S. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Imam, Neena [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computing and Computational Science Directorat; Glowinski, R. [Univ. of Houston, TX (United States). Dept. of Mathematics

    2017-11-30

    Here, we study memory states of a circuit consisting of a small inductively coupled Josephson junction array and introduce basic (write, read, and reset) memory operations logics of the circuit. The presented memory operation paradigm is fundamentally different from conventional single quantum flux operation logics. We calculate stability diagrams of the zero-voltage states and outline memory states of the circuit. We also calculate access times and access energies for basic memory operations.

  7. The Influence of Retrieval Practice Versus Delayed Judgments of Learning on Memory: Resolving a Memory-Metamemory Paradox.

    Science.gov (United States)

    Tauber, Sarah K Uma; Dunlosky, John; Rawson, Katherine A

    2015-01-01

    The positive effect of delayed retrieval practice on subsequent test performance is robust; by contrast, making delayed judgments of learning (JOLs) encourages covert retrieval but has a minor influence on final test performance. In three experiments, we experimentally established and explored this memory-metamemory paradox. After initial study of paired associates (e.g., husky - ram), participants either were explicitly tested (husky - ?) or made a JOL. In Experiment 1, we adopted the standard JOL method, using a short retention interval, whereas in Experiments 2 and 3, we used a common testing-effect method involving a longer retention interval. Delayed JOLs did not boost test performance, but explicit delayed tests boosted memory after a longer retention interval. As important, participants spent less time to make JOLs than to retrieve responses. These data indicate that differences in the dynamics of retrieval for practice tests versus delayed JOLs are responsible for the paradox.

  8. Soft-error tolerance and energy consumption evaluation of embedded computer with magnetic random access memory in practical systems using computer simulations

    Science.gov (United States)

    Nebashi, Ryusuke; Sakimura, Noboru; Sugibayashi, Tadahiko

    2017-08-01

    We evaluated the soft-error tolerance and energy consumption of an embedded computer with magnetic random access memory (MRAM) using two computer simulators. One is a central processing unit (CPU) simulator of a typical embedded computer system. We simulated the radiation-induced single-event-upset (SEU) probability in a spin-transfer-torque MRAM cell and also the failure rate of a typical embedded computer due to its main memory SEU error. The other is a delay tolerant network (DTN) system simulator. It simulates the power dissipation of wireless sensor network nodes of the system using a revised CPU simulator and a network simulator. We demonstrated that the SEU effect on the embedded computer with 1 Gbit MRAM-based working memory is less than 1 failure in time (FIT). We also demonstrated that the energy consumption of the DTN sensor node with MRAM-based working memory can be reduced to 1/11. These results indicate that MRAM-based working memory enhances the disaster tolerance of embedded computers.

  9. High energy hadron-induced errors in memory chips

    Energy Technology Data Exchange (ETDEWEB)

    Peterson, R.J. [University of Colorado, Boulder, CO (United States)

    2001-09-01

    We have measured probabilities for proton, neutron and pion beams from accelerators to induce temporary or soft errors in a wide range of modern 16 Mb and 64 Mb dRAM memory chips, typical of those used in aircraft electronics. Relations among the cross sections for these particles are deduced, and failure rates for aircraft avionics due to cosmic rays are evaluated. Measurement of alpha pha particle yields from pions on aluminum, as a surrogate for silicon, indicate that these reaction products are the proximate cause of the charge deposition resulting in errors. Heavy ions can cause damage to solar panels and other components in satellites above the atmosphere, by the heavy ionization trails they leave. However, at the earth's surface or at aircraft altitude it is known that cosmic rays, other than heavy ions, can cause soft errors in memory circuit components. Soft errors are those confusions between ones and zeroes that cause wrong contents to be stored in the memory, but without causing permanent damage to the circuit. As modern aircraft rely increasingly upon computerized and automated systems, these soft errors are important threats to safety. Protons, neutrons and pions resulting from high energy cosmic ray bombardment of the atmosphere pervade our environment. These particles do not induce damage directly by their ionization loss, but rather by reactions in the materials of the microcircuits. We have measured many cross sections for soft error upsets (SEU) in a broad range of commercial 16 Mb and 64 Mb dRAMs with accelerator beams. Here we define {sigma} SEU = induced errors/number of sample bits x particles/cm{sup 2}. We compare {sigma} SEU to find relations among results for these beams, and relations to reaction cross sections in order to systematize effects. We have modelled cosmic ray effects upon the components we have studied. (Author)

  10. High energy hadron-induced errors in memory chips

    International Nuclear Information System (INIS)

    Peterson, R.J.

    2001-01-01

    We have measured probabilities for proton, neutron and pion beams from accelerators to induce temporary or soft errors in a wide range of modern 16 Mb and 64 Mb dRAM memory chips, typical of those used in aircraft electronics. Relations among the cross sections for these particles are deduced, and failure rates for aircraft avionics due to cosmic rays are evaluated. Measurement of alpha pha particle yields from pions on aluminum, as a surrogate for silicon, indicate that these reaction products are the proximate cause of the charge deposition resulting in errors. Heavy ions can cause damage to solar panels and other components in satellites above the atmosphere, by the heavy ionization trails they leave. However, at the earth's surface or at aircraft altitude it is known that cosmic rays, other than heavy ions, can cause soft errors in memory circuit components. Soft errors are those confusions between ones and zeroes that cause wrong contents to be stored in the memory, but without causing permanent damage to the circuit. As modern aircraft rely increasingly upon computerized and automated systems, these soft errors are important threats to safety. Protons, neutrons and pions resulting from high energy cosmic ray bombardment of the atmosphere pervade our environment. These particles do not induce damage directly by their ionization loss, but rather by reactions in the materials of the microcircuits. We have measured many cross sections for soft error upsets (SEU) in a broad range of commercial 16 Mb and 64 Mb dRAMs with accelerator beams. Here we define σ SEU = induced errors/number of sample bits x particles/cm 2 . We compare σ SEU to find relations among results for these beams, and relations to reaction cross sections in order to systematize effects. We have modelled cosmic ray effects upon the components we have studied. (Author)

  11. Chronic epigallocatechin-3-gallate ameliorates learning and memory deficits in diabetic rats via modulation of nitric oxide and oxidative stress.

    Science.gov (United States)

    Baluchnejadmojarad, Tourandokht; Roghani, Mehrdad

    2011-10-31

    Due to anti-diabetic and antioxidant activity of green tea epigallocatechin gallate (EGCG) and the existence of evidence for its beneficial effect on cognition and memory, this research study was conducted to evaluate, for the first time, the efficacy of chronic EGCG on alleviation of learning and memory deficits in streptozotocin (STZ)-diabetic rats. Male Wistar rats were divided into control, diabetic, EGCG-treated-control and -diabetic groups. EGCG was administered at a dose of 20 and 40 mg/kg/day for 7 weeks. Learning and memory was evaluated using Y maze, passive avoidance, and radial 8-arm maze (RAM) tests. Oxidative stress markers and involvement of nitric oxide system were also evaluated. Alternation score of the diabetic rats in Y maze was lower than that of control and a significant impairment was observed in retention and recall in passive avoidance test (pRAM task and EGCG (40 mg/kg) significantly ameliorated these changes (pmemory respectively. Meanwhile, increased levels of malondialdehyde (MDA) and nitrite in diabetic rats significantly reduced due to EGCG treatment (pmemory deficits in STZ-diabetic rats through attenuation of oxidative stress and modulation of NO. Copyright © 2011 Elsevier B.V. All rights reserved.

  12. Integrated approach for combining sustainability and safety into a RAM analysis, RAM2S (Reliability, Availability, Maintainability, Sustainability and Safety) towards greenhouse gases emission targets

    Energy Technology Data Exchange (ETDEWEB)

    Alvarenga, Tobias V. [Det Norske Veritas (DNV), Hovik, Oslo (Norway)

    2009-07-01

    This paper aims to present an approach to integrate sustainability and safety concerns on top of a typical RAM Analysis to support new enterprises to find alternatives to align themselves to the greenhouse gases emission targets, measured as CO{sub 2} (carbon dioxide) equivalent. This approach can be used to measure the impact of the potential CO{sub 2} equivalent emission levels mainly related to new enterprises with high CO{sub 2} content towards environment and production, as per example, the extraction of oil and gas from the Brazilian Pre-salt layers. In this sense, this integrated approach, combining Sustainability and Safety into a RAM analysis, RAM2S (Reliability, Availability, Maintainability, Sustainability and Safety), can be used to assess the impact of CO{sub 2} 'production' along the entire enterprise life-cycle, including the impact of possible facility shutdown due to emission restrictions limits, as well as due to the occurrence of additional failures modes related to CO{sub 2} corrosion capabilities. Thus, at the end, this integrated approach would allow companies to find out a more cost-effective alternative to adapt their business into the global warming reality, overcoming the inherent threats of greenhouse gases. (author)

  13. Low-energy neutron-induced single-event upsets in static random access memory

    International Nuclear Information System (INIS)

    Guo Xiaoqiang; Guo Hongxia; Wang Guizhen; Ling Dongsheng; Chen Wei; Bai Xiaoyan; Yang Shanchao; Liu Yan

    2009-01-01

    The visual analysis method of data process was provided for neutron-induced single-event upset(SEU) in static random access memory(SRAM). The SEU effects of six CMOS SRAMs with different feature size(from 0.13 μm to 1.50 μm) were studied. The SEU experiments were performed using the neutron radiation environment at Xi'an pulsed reactor. And the dependence of low-energy neutron-induced SEU cross section on SRAM's feature size was given. The results indicate that the decreased critical charge is the dominant factor for the increase of single event effect sensitivity of SRAM devices with decreased feature size. Small-sized SRAM devices are more sensitive than large-sized ones to single event effect induced by low-energy neutrons. (authors)

  14. Affect, accessibility of material in memory, and behavior: a cognitive loop?

    Science.gov (United States)

    Isen, A M; Shalker, T E; Clark, M; Karp, L

    1978-01-01

    Two studies investigated the effect of good mood on cognitive processes. In the first study, conducted in a shopping mall, a positive feeling state was induced by giving subjects a free gift, and good mood, thus induced, was found to improve subjects' evaluations of the performance and service records of products they owned. In the second study, in which affect was induced by having subjects win or lose a computer game in a laboratory setting, subjects who had won the game were found to be better able to recall positive material in memory. The results of the two studies are discussed in terms of the effect that feelings have on accessibility of cognitions. In addition, the nature of affect and the relationship between good mood and behavior (such as helping) are discussed in terms of this proposed cognitive process.

  15. Design of data transportation based on dual-port RAM in IMS system

    International Nuclear Information System (INIS)

    Zhang Guohui; Li Yongping

    2010-01-01

    Ion mobility spectroscopy (IMS) is a rugged, portable, sensitive, low cost, field instrumental technique capable of trace organic detection and monitoring for environmental pollutants, pesticides, explosives, narcotics, and other analytes, hence it is of great significance to social security and stability. High rate data transmission mechanism between DSP processor and ARM core is required in the electronic system of IMS. After careful comparison of UART and dual port RAM, a new design based on dual port RAM that can be applied to other similar systems. (authors)

  16. Performance modeling in critical engineering systems using RAM analysis

    International Nuclear Information System (INIS)

    Sharma, Rajiv Kumar; Kumar, Sunand

    2008-01-01

    Reliability, availability and maintainability (RAM) analysis of system is helpful in carrying out design modifications, if any, required to achieve minimum failures or to increase mean time between failures (MTBF) and thus to plan maintainability requirements, optimize reliability and maximize equipment availability. To this effect, the paper presents the application of RAM analysis in a process industry. Markovian approach is used to model the system behavior. For carrying out analysis, transition diagrams for various subsystems are drawn and differential equations associated with them are formulated. After obtaining the steady state solution the corresponding values of reliability and maintainability are estimated at different mission times. The computed results are presented to plant personnel for their active consideration. The results proved helpful to them for analyzing the system behavior and thereby to improve the system performance considerably by adopting and practicing suitable maintenance policies/strategies

  17. Uudised : Hortus Musicus Moskvas. TPÜ naiskoor koorikonkursil. RAM samal laval Mark Knopfleriga. "Tuuleveskid. Kerkokell" / Joosep Sang

    Index Scriptorium Estoniae

    Sang, Joosep

    2000-01-01

    Hortus Musicus esines 23. ja 24. nov. Moskvas. TPÜnaiskoor võitis II preemia XVII rahvusvahelisel F.Schuberti nim. koorikonkursil Austrias. RAM esineb 8. dets. Helsingis Hartwall Areenas heategevuskontserdil. RAM esineb 6. dets. Estonia kontserdisaalis kavaga "Tuuleveskid. Kerkokell"

  18. Stream specificity and asymmetries in feature binding and content-addressable access in visual encoding and memory.

    Science.gov (United States)

    Huynh, Duong L; Tripathy, Srimant P; Bedell, Harold E; Ögmen, Haluk

    2015-01-01

    Human memory is content addressable-i.e., contents of the memory can be accessed using partial information about the bound features of a stored item. In this study, we used a cross-feature cuing technique to examine how the human visual system encodes, binds, and retains information about multiple stimulus features within a set of moving objects. We sought to characterize the roles of three different features (position, color, and direction of motion, the latter two of which are processed preferentially within the ventral and dorsal visual streams, respectively) in the construction and maintenance of object representations. We investigated the extent to which these features are bound together across the following processing stages: during stimulus encoding, sensory (iconic) memory, and visual short-term memory. Whereas all features examined here can serve as cues for addressing content, their effectiveness shows asymmetries and varies according to cue-report pairings and the stage of information processing and storage. Position-based indexing theories predict that position should be more effective as a cue compared to other features. While we found a privileged role for position as a cue at the stimulus-encoding stage, position was not the privileged cue at the sensory and visual short-term memory stages. Instead, the pattern that emerged from our findings is one that mirrors the parallel processing streams in the visual system. This stream-specific binding and cuing effectiveness manifests itself in all three stages of information processing examined here. Finally, we find that the Leaky Flask model proposed in our previous study is applicable to all three features.

  19. Hydraulic ram analysis = Analyse du bélier hydraulique

    NARCIS (Netherlands)

    Verspuy, C.; Tijsseling, A.S.

    1993-01-01

    A simple mathematical model describing the operation of a hydraulic ram is presented. Predictions of the model are compared with measurements done in an earlier stage of the project. The model is used to perform a parameter variation study.

  20. Methamphetamine-induced short-term increase and long-term decrease in spatial working memory affects Protein Kinase M zeta (PKMζ, dopamine, and glutamate receptors

    Directory of Open Access Journals (Sweden)

    Stephen H Braren

    2014-12-01

    Full Text Available Methamphetamine (MA is a toxic, addictive drug shown to modulate learning and memory, yet the neural mechanisms are not fully understood. We investigated the effects of 2 weekly injections of MA (30 mg/kg on working memory using the radial 8-arm maze (RAM across 5 weeks in adolescent-age mice. MA-treated mice show a significant improvement in working memory performance 1 week following the first MA injection compared to saline-injected controls. Following 5 weeks of MA abstinence mice were re-trained on a reference and working memory version of the RAM to assess cognitive flexibility. MA-treated mice show significantly more working memory errors without effects on reference memory performance. The hippocampus and dorsal striatum were assessed for expression of glutamate receptors subunits, GluA2 and GluN2B; dopamine markers, dopamine 1 receptor (D1, dopamine transporter (DAT and tyrosine hydroxylase (TH; and memory markers, protein kinase M zeta (PKMζ and protein kinase C zeta (PKCζ. Within the hippocampus, PKMζ and GluA2 are both significantly reduced after MA supporting the poor memory performance. Additionally, a significant increase in GluN2B and decrease in D1 identifies dysregulated synaptic function. In the striatum, MA treatment increased cytosolic DAT and TH levels associated with dopamine hyperfunction. MA treatment significantly reduced GluN2B while increasing both PKMζ and PKCζ within the striatum. We discuss the potential role of PKMζ/PKCζ in modulating dopamine and glutamate receptors after MA treatment. These results identify potential underlying mechanisms for working memory deficits induced by MA.

  1. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  2. Un discurso de Ramón y Cajal sobre El Quijote

    Directory of Open Access Journals (Sweden)

    González Quirós, José Luis

    2006-04-01

    Full Text Available The article is a brief study to a lecture by Santiago Ramon y Cajal, entitled Psichology of Don Quixote and Quixotism. It is a rather unknown text, as well as one of the main works regarding the topic produced at the time of the 3rd centennary of Don Quixote. The lecture was commissioned by the Medical College of San Carlos, and was read on May 9th, 1905. The existing editions contain quite a few printing errors. Our analysis sets out Cajal’s ideas regarding the situation of Spanish science and the necessary measures that need to be taken. We also put these ideas in comparison with those of Unamuno and Ortega, the two main Spanish authors to write about the same topic in the same years. We emphasize the agreement between Unamuno and Cajal, as well as the radical difference we can find between Ortega’s and Cajal’s approaches to the subject of science.El artículo es un breve análisis del discurso de Santiago Ramón y Cajal titulado Psicología de Don Quijote y el quijotismo, un texto poco conocido que fue una de las piezas principales producida en torno al tema con motivo del III centenario del Quijote. El discurso de Ramón y Cajal le fue encargado por el Colegio Médico de San Carlos, y se pronunció en Madrid el día 9 de Mayo de 1905. Las ediciones existentes contienen algunas erratas e inexactitudes. Nuestro análisis expone las ideas de Ramón y Cajal en relación con la situación de la ciencia española y con los remedios que es necesario poner en práctica y con el espíritu que debe animarlos para poner luego en relación las ideas de Ramón y Cajal con las de Unamuno y Ortega, los dos principales autores españoles que escribieron sobre el tema en aquellos mismos años, subrayando la coincidencia entre Unamuno y Ramón y Cajal y la llamativa distancia de Ortega con las ideas de nuestro histólogo en relación con la ciencia.

  3. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    Science.gov (United States)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  4. Dose calculation for atmospheric releases from a nuclear accident using RAMS/HYPACT

    International Nuclear Information System (INIS)

    Tamura, Junji; Tomita, Kenichi; Homma, Toshimitsu

    2004-01-01

    This paper describes the investigation of uncertainties in the structure of the atmospheric dispersion/deposition model used in the probabilistic accident consequence assessment code, OSCAAR. To investigate these uncertainties, we have introduced the more sophisticated computer codes, RAMS and HYPACT, which were widely used in the research field of atmospheric phenomena. In this work, the capabilities of the HYPACT model were extended for use in accident consequence assessments. The preliminary comparison between the predictions by OSCAAR and those by RAMS/HYPACT were conducted for both individual and collective consequences in terms of probabilistic results. (author)

  5. Dual representation of item positions in verbal short-term memory: Evidence for two access modes.

    Science.gov (United States)

    Lange, Elke B; Verhaeghen, Paul; Cerella, John

    Memory sets of N = 1~5 digits were exposed sequentially from left-to-right across the screen, followed by N recognition probes. Probes had to be compared to memory list items on identity only (Sternberg task) or conditional on list position. Positions were probed randomly or in left-to-right order. Search functions related probe response times to set size. Random probing led to ramped, "Sternbergian" functions whose intercepts were elevated by the location requirement. Sequential probing led to flat search functions-fast responses unaffected by set size. These results suggested that items in STM could be accessed either by a slow search-on-identity followed by recovery of an associated location tag, or in a single step by following item-to-item links in study order. It is argued that this dual coding of location information occurs spontaneously at study, and that either code can be utilised at retrieval depending on test demands.

  6. CAMAC based continuous/transient digitizer for long duration ...

    Indian Academy of Sciences (India)

    CAMAC access to program various registers. • Transfers of data from ADC to various FIFO and RAM buffers. • Transfers from memory to CAMAC data way. • Clock generation. • CAMAC function decoding. • Control logic generation. 2.1 Clock generator and sampling rate selector. Crystal oscillator of 10 MHz is used for clock ...

  7. Minsk ja RAM 7 / Evelyn Müürsepp

    Index Scriptorium Estoniae

    Müürsepp, Evelyn

    2005-01-01

    Minskis toimunud RAM 7-st (Reapproaching New Media. Models of Collaboration / Ümberhinnates uut meediat. Koostöömudelid". Korraldajad Tatjana Dushina ja Denis Romanovski üritavad luua uue meedia keskust Valgevene kunstiakadeemiasse. Eestit esindasid Evelin Müürsepp ja John Grzinich (modereeris vabade ülikoolide kursust). Osalemisest fotomängus "FotoOhota"

  8. /TiN Resistive RAM (RRAM) Cells

    Science.gov (United States)

    Chen, Z. X.; Fang, Z.; Wang, Y.; Yang, Y.; Kamath, A.; Wang, X. P.; Singh, N.; Lo, G.-Q.; Kwong, D.-L.; Wu, Y. H.

    2014-11-01

    We present a study of Ni silicide as the bottom electrode in HfO2-based resistive random-access memory cells. Various silicidation conditions were used for each device, yielding different Ni concentrations within the electrode. A higher concentration of Ni in the bottom electrode was found to cause a parasitic SET operation during certain RESET operation cycles, being attributed to field-assisted Ni cation migration creating a Ni filament. As such, the RESET is affected unless an appropriate RESET voltage is used. Bottom electrodes with lower concentrations of Ni were able to switch at ultralow currents (RESET current <1 nA) by using a low compliance current (<500 nA). The low current is attributed to the tunneling barrier formed by the native SiO2 at the Ni silicide/HfO2 interface.

  9. Comparing interfertility data with random amplified microsatellites DNA (RAMS) studies in Ganoderma Karst. Taxonomy.

    Science.gov (United States)

    Nudin, Nur Fatihah Hasan; S, Siddiquee

    2012-03-01

    The taxonomy of the causal pathogen of basal stem rot of oil palms, Ganoderma is somewhat problematic at present. In order to determine the genetic distance relationship between G. boninense isolates and non-boninense isolates, a random amplified microsatellites DNA (RAMS) technique was carried out. The result was then compared with interfertility data of G. boninense that had been determined in previous mating studies to confirm the species of G. boninense. Dendrogram from cluster analysis based on UPGMA of RAMS data showed that two major clusters, I and II which separated at a genetic distance of 0.7935 were generated. Cluster I consisted of all the biological species G. boninense isolates namely CNLB, GSDK 3, PER 71, WD 814, GBL 3, GBL 6, OC, GH 02, 170 SL and 348781 while all non-boninense isolates namely G. ASAM, WRR, TFRI 129, G. RES, GJ, and CNLM were grouped together in cluster II. Although the RAMS markers showed polymorphisms in all the isolates tested, the results obtained were in agreement with the interfertility data. Therefore, the RAMS data could support the interfertility data for the identification of Ganoderma isolates.

  10. Species-specific nested PCR as a diagnostic tool for Brucella ovis infection in rams

    Directory of Open Access Journals (Sweden)

    L.F. Costa

    2013-02-01

    Full Text Available The aim of the present study was to evaluate a species-specific nested PCR based on a previously described species-specific PCR for detection of B. ovis in semen and urine samples of experimentally infected rams. The performance of the species-specific nested PCR was compared with the results of a genus-specific PCR. Fourteen rams were experimentally infected with the Brucella ovis REO 198 strain and samples of semen and urine were collected every week up to 180 days post infection. Out of 83 semen samples collected, 42 (50.6% were positive for the species-specific nested PCR, and 23 (27.7% were positive for the genus-specific PCR. Out of 75 urine samples, 49 (65.3% were positive for the species-specific nested PCR, whereas 11 (14.6% were genus-specific PCR positive. Species-specific nested PCR was significantly more sensitive (P<0.001 than the genus-specific PCR in semen and urine from experimentally infected rams. In conclusion, the species-specific nested PCR developed in this study may be used as a diagnostic tool for the detection of B. ovis in semen and urine samples from suspected rams.

  11. Thin TiOx layer as a voltage divider layer located at the quasi-Ohmic junction in the Pt/Ta2O5/Ta resistance switching memory.

    Science.gov (United States)

    Li, Xiang Yuan; Shao, Xing Long; Wang, Yi Chuan; Jiang, Hao; Hwang, Cheol Seong; Zhao, Jin Shi

    2017-02-09

    Ta 2 O 5 has been an appealing contender for the resistance switching random access memory (ReRAM). The resistance switching (RS) in this material is induced by the repeated formation and rupture of the conducting filaments (CFs) in the oxide layer, which are accompanied by the almost inevitable randomness of the switching parameters. In this work, a 1 to 2 nm-thick Ti layer was deposited on the 10 nm-thick Ta 2 O 5 RS layer, which greatly improved the RS performances, including the much-improved switching uniformity. The Ti metal layer was naturally oxidized to TiO x (x resistance value was comparable to the on-state resistance of the Ta 2 O 5 RS layer. The series resistor TiO x efficiently suppressed the adverse effects of the voltage (or current) overshooting at the moment of switching by the appropriate voltage partake effect, which increased the controllability of the CF formation and rupture. The switching cycle endurance was increased by two orders of magnitude even during the severe current-voltage sweep tests compared with the samples without the thin TiO x layer. The Ti deposition did not induce any significant overhead to the fabrication process, making the process highly promising for the mass production of a reliable ReRAM.

  12. Memory handling in the ATLAS submission system from job definition to sites limits

    CERN Document Server

    Forti, Alessandra; The ATLAS collaboration

    2016-01-01

    The ATLAS workload management system is a pilot system based on a late binding philosophy that avoided for many years to pass fine grained job requirements to the batch system. In particular for memory most of the requirements were set to request 4GB vmem as defined in the EGI portal VO card, i.e. 2GB RAM + 2GB swap. However in the past few years several changes have happened in the operating system kernel and in the applications that make such a definition of memory to use for requesting slots obsolete and ATLAS has introduced the new PRODSYS2 workload management which has a more flexible system to evaluate the memory requirements and to submit to appropriate queues. The work stemmed in particular from the introduction of 64bit multicore workloads and the increased memory requirements of some of the single core applications. This paper describes the overall review and changes of memory handling starting from the definition of tasks, the way tasks memory requirements are set using scout jobs and the new memor...

  13. Hybrid Josephson-CMOS memory: a solution for the Josephson memory problem

    International Nuclear Information System (INIS)

    Duzer, Theodore van; Feng Yijun; Meng Xiaofan; Whiteley, Stephen R; Yoshikawa, Nobuyuki

    2002-01-01

    The history of the development of superconductive memory for Josephson digital systems is presented along with the several current proposals. The main focus is on a proposed combination of the highly developed CMOS memory technology with Josephson peripheral circuits to achieve memories of significant size with subnanosecond access time. Background material is presented on the cryogenic operation of CMOS. Simulations and experiments on components of memory with emphasis on the important input interface amplifier are presented

  14. Transport of RAM in tanks: how to fit into the IAEA safety philosophy

    International Nuclear Information System (INIS)

    Schulz-Forberg, B.; Ulrich, A.

    1983-01-01

    The transport of radioactive materials (RAM) in tanks will become a field of increasing interest. Especially for substances with low radioactive hazard but may be with subsidary risks which can overrule the RAM-philosophy. The IAEA should pay attention to the problem whether to take over a more active part in the non-nuclear field of transport regulations by influencing and using the outcome of RAM transport conditions or to incorporate some or all provisions for tank transport in their own regulations. The necessity to solve the problems of shipments of substances with low radioactive hazards but high chemical hazards is highlighted by the requirements for UF 6 -cylinders. Up to now UF 6 is listed in class 7 only, but the IAEA requirements for large UF 6 cylinders don't come up to the level of requirements needed for the toxic and corrosive nature of UF 6 . 5 references

  15. Remote Memory Access Protocol Target Node Intellectual Property

    Science.gov (United States)

    Haddad, Omar

    2013-01-01

    The MagnetoSpheric Multiscale (MMS) mission had a requirement to use the Remote Memory Access Protocol (RMAP) over its SpaceWire network. At the time, no known intellectual property (IP) cores were available for purchase. Additionally, MMS preferred to implement the RMAP functionality with control over the low-level details of the design. For example, not all the RMAP standard functionality was needed, and it was desired to implement only the portions of the RMAP protocol that were needed. RMAP functionality had been previously implemented in commercial off-the-shelf (COTS) products, but the IP core was not available for purchase. The RMAP Target IP core is a VHDL (VHSIC Hardware Description Language description of a digital logic design suitable for implementation in an FPGA (field-programmable gate array) or ASIC (application-specific integrated circuit) that parses SpaceWire packets that conform to the RMAP standard. The RMAP packet protocol allows a network host to access and control a target device using address mapping. This capability allows SpaceWire devices to be managed in a standardized way that simplifies the hardware design of the device, as well as the development of the software that controls the device. The RMAP Target IP core has some features that are unique and not specified in the RMAP standard. One such feature is the ability to automatically abort transactions if the back-end logic does not respond to read/write requests within a predefined time. When a request times out, the RMAP Target IP core automatically retracts the request and returns a command response with an appropriate status in the response packet s header. Another such feature is the ability to control the SpaceWire node or router using RMAP transactions in the extended address range. This allows the SpaceWire network host to manage the SpaceWire network elements using RMAP packets, which reduces the number of protocols that the network host needs to support.

  16. Working memory retrieval differences between medial temporal lobe epilepsy patients and controls: a three memory layer approach.

    Science.gov (United States)

    López-Frutos, José María; Poch, Claudia; García-Morales, Irene; Ruiz-Vargas, José María; Campo, Pablo

    2014-02-01

    Multi-store models of working memory (WM) have given way to more dynamic approaches that conceive WM as an activated subset of long-term memory (LTM). The resulting framework considers that memory representations are governed by a hierarchy of accessibility. The activated part of LTM holds representations in a heightened state of activation, some of which can reach a state of immediate accessibility according to task demands. Recent neuroimaging studies have studied the neural basis of retrieval information with different states of accessibility. It was found that the medial temporal lobe (MTL) was involved in retrieving information within immediate access store and outside this privileged zone. In the current study we further explored the contribution of MTL to WM retrieval by analyzing the consequences of MTL damage to this process considering the state of accessibility of memory representations. The performance of a group of epilepsy patients with left hippocampal sclerosis in a 12-item recognition task was compared with that of a healthy control group. We adopted an embedded model of WM that distinguishes three components: the activated LTM, the region of direct access, and a single-item focus of attention. Groups did not differ when retrieving information from single-item focus, but patients were less accurate retrieving information outside focal attention, either items from LTM or items expected to be in the WM range. Analyses focused on items held in the direct access buffer showed that consequences of MTL damage were modulated by the level of accessibility of memory representations, producing a reduced capacity. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  17. Large-scale particle simulations in a virtual-memory computer

    International Nuclear Information System (INIS)

    Gray, P.C.; Wagner, J.S.; Tajima, T.; Million, R.

    1982-08-01

    Virtual memory computers are capable of executing large-scale particle simulations even when the memory requirements exceed the computer core size. The required address space is automatically mapped onto slow disc memory by the operating system. When the simulation size is very large, frequent random accesses to slow memory occur during the charge accumulation and particle pushing processes. Accesses to slow memory significantly reduce the execution rate of the simulation. We demonstrate in this paper that with the proper choice of sorting algorithm, a nominal amount of sorting to keep physically adjacent particles near particles with neighboring array indices can reduce random access to slow memory, increase the efficiency of the I/O system, and hence, reduce the required computing time

  18. Hand Shape Affects Access to Memories

    NARCIS (Netherlands)

    K. Dijkstra (Katinka); M.P. Kaschak; R.A. Zwaan (Rolf)

    2008-01-01

    textabstractThe present study examined the ways that body posture facilitated retrieval of autobiographical memories in more detail by focusing on two aspects of congruence in position of a specific body part: hand shape and hand orientation. Hand shape is important in the tactile perception and

  19. Nuwe dinge in Nuwe woorde* — 'n oorsig oor buitemikrostrukturele ...

    African Journals Online (AJOL)

    random-access memory • lees-en-skryf-geheue LSG,. RAM. (c) TGIF (infml.) thank God it's Friday • dank Vader dis Vrydag DVDV. Dit is wel jammer dat geen melding van die agterwerk in die "Key to entries". (of desnoods in die Voorwoord) gemaak word nie. Hierdeur ly tekstuele een- heid binne die makroteks skade, en die ...

  20. Incentives for use of inelastic analysis in RAM transport container design

    International Nuclear Information System (INIS)

    Ammerman, D.J.; Heinstein, M.W.

    1992-01-01

    The use of inelastic analysis methods instead of the traditional elastic analysis methods in the design of radioactive material (RAM) transport packagings leads to a better understanding of the response ofthe package to mechanical loadings. Thus, better assessment of the containment, thermal protection, and shielding integrity of the package after a structural accident event can be made. A more accurate prediction of the package response can lead to enhanced safety and also allow for a more efficient use of materials, possibly leading to a package with higher capacity and/or lower weight. This paper discusses the incentives for using inelastic analysis in the design of RAM shipping packages. Inelastic analysis provides an improved knowledge of the package behavior. It must be demonstrated that the use of inelastic analysis provides a better design to overcome the difficulties associated with this type of analysis. In this paper, comparisons between elastic and inelastic analyses are made to illustrate the differences in the two analysis techniques for two different types of packages. One is a package to transport a large quantity of RAM by rail with lead gamma shielding,and the other is a package to transport RAM by truck with depleteduranium gamma shielding. Analyses of the center-of-gravity-over-corner impacts will be compared for each package. The comparisons indicate thata package designed to just meet the elastic design criteria will actually undergo some yielding in the locations of highest stress. This results in two consequences in the predicted behavior of the cask. First,the overprediction of the stiffness of these yielded regions by theelastic analysis technique results in an underestimation of the stresses in other portions of the structure. Secondly, in an inelastic analysis, the yielding of a portion of a structure causes the force in thatregion to rise less rapidly than forces in adjacent regions